Proceedings of the Joint Eighth Working IEEE/IFIP Conference on Software Architecture and Third European Conference on Software Architecture
BibSLEIGH corpus
BibSLEIGH tags
BibSLEIGH bundles
BibSLEIGH people
EDIT!
CC-BY
Open Knowledge
XHTML 1.0 W3C Rec
CSS 2.1 W3C CanRec
email twitter


Proceedings of the Joint Eighth Working IEEE/IFIP Conference on Software Architecture and Third European Conference on Software Architecture
WICSA/ECSA, 2009.

ARCH
DBLP
Scholar
Full names Links ISxN
@proceedings{WICSA-ECSA-2009,
	address       = "Cambridge, United Kingdom",
	isbn          = "978-1-4244-4984-2",
	publisher     = "{IEEE}",
	title         = "{Proceedings of the Joint Eighth Working IEEE/IFIP Conference on Software Architecture and Third European Conference on Software Architecture}",
	year          = 2009,
}

Contents (53 items)

WICSA-ECSA-2009-AriasAA #execution #scalability
Defining execution viewpoints for a large and complex software-intensive system (TBCA, PA, PA), pp. 1–10.
WICSA-ECSA-2009-WieczorekRSKCKS #architecture #modelling
Viewpoints for modeling choreographies in service-oriented architectures (SW, AR, AS, VK, AC, FMK, IS), pp. 11–20.
WICSA-ECSA-2009-AboudAFHTUV #architecture #automation #classification #component #concept #using
Automated architectural component classification using concept lattices (NAA, GA, JRF, MH, CT, CU, SV), pp. 21–30.
WICSA-ECSA-2009-EmeryH #architecture #framework #using
Every architecture description needs a framework: Expressing architecture frameworks using ISO/IEC 42010 (DEE, RH), pp. 31–40.
WICSA-ECSA-2009-HenttonenM #architecture #open source #reuse #tool support #using
Open source based tools for sharing and reuse of software architectural knowledge (KH, MM), pp. 41–50.
WICSA-ECSA-2009-BoerLTV #architecture #design #visualisation
Ontology-driven visualization of architectural design decisions (RCdB, PL, ACT, HvV), pp. 51–60.
WICSA-ECSA-2009-FarenhorstHLV #architecture
The lonesome architect (RF, JFH, PL, HvV), pp. 61–70.
WICSA-ECSA-2009-BrebnerOG #architecture #enterprise #evolution #modelling #performance
Performance modeling evolving Enterprise Service Oriented Architectures (PB, LO, JG), pp. 71–80.
WICSA-ECSA-2009-Babar #agile #architecture #case study #challenge #development #using
An exploratory study of architectural practices and challenges in using agile software development approaches (MAB), pp. 81–90.
WICSA-ECSA-2009-EklundO #architecture #case study
A case study of the Architecture Business Cycle for an in-vehicle software architecture (UE, CMO), pp. 91–100.
WICSA-ECSA-2009-Axelsson #architecture #case study #embedded #industrial #product line
Evolutionary architecting of embedded automotive product lines: An industrial case study (JA), pp. 101–110.
WICSA-ECSA-2009-LiuLXSZ #architecture #enterprise #integration #using
Using architecture integration patterns to compose enterprise mashups (YL, XL, LX, MS, LZ), pp. 111–120.
WICSA-ECSA-2009-AschauerDP #architecture #modelling #multi #towards
Towards a generic architecture for multi-level modeling (TA, GD, WP), pp. 121–130.
WICSA-ECSA-2009-GarlanBSC #architecture #evolution #tool support
Evolution styles: Foundations and tool support for software architecture evolution (DG, JMB, BRS, OC), pp. 131–140.
WICSA-ECSA-2009-AngelovGG #architecture #classification #effectiveness
A classification of software reference architectures: Analyzing their success and effectiveness (SA, PWPJG, DG), pp. 141–150.
WICSA-ECSA-2009-PaceCBSC #architecture #documentation #implementation
Assisting the synchronization of UCM-based architectural documentation with implementation (JADP, JPC, MB, AS, MRC), pp. 151–160.
WICSA-ECSA-2009-BontaB #algebra #architecture #code generation #java #named #process
PADL2Java: A Java code generator for process algebraic architectural descriptions (EB, MB), pp. 161–170.
WICSA-ECSA-2009-TaylorMO #adaptation #architecture #runtime
Architectural styles for runtime software adaptation (RNT, NM, PO), pp. 171–180.
WICSA-ECSA-2009-BucchiaronePVR #modelling #self #using #verification
Self-Repairing systems modeling and verification using AGG (AB, PP, CV, OR), pp. 181–190.
WICSA-ECSA-2009-Weyns #multi #pattern matching
A pattern language for multi-agent systems (DW), pp. 191–200.
WICSA-ECSA-2009-PerovichRB #architecture #feature model #product line
Feature model to product architectures: Applying MDE to Software Product Lines (DP, POR, MCB), pp. 201–210.
WICSA-ECSA-2009-KotonyaLR #approach #development #product line
A consumer-centred approach for service-oriented product line development (GK, JL, DR), pp. 211–220.
WICSA-ECSA-2009-PerezDSG #architecture #component #variability
Plastic Partial Components: A solution to support variability in architectural components (JP, JD, CCS, JG), pp. 221–230.
WICSA-ECSA-2009-BritoRL #architecture #fault tolerance #verification
Verifying architectural variabilities in software fault tolerance techniques (PHSB, CMFR, RdL), pp. 231–240.
WICSA-ECSA-2009-NavarroCP #architecture #network #weaving
Weaving a network of architectural knowledge (EN, CEC, DEP), pp. 241–244.
WICSA-ECSA-2009-PintoFVPDM #aspect-oriented #design pattern #evolution #on the
On the need of architectural patterns in AOSD for software evolution (MP, LF, JAV, PFP, FCD, ÉM), pp. 245–248.
WICSA-ECSA-2009-NakagawaBM #architecture #ontology #testing
Exploring ontologies to support the establishment of reference architectures: An example on software testing (EYN, EFB, JCM), pp. 249–252.
WICSA-ECSA-2009-TangV #architecture #constraints #design #modelling #reasoning
Modeling constraints improves software architecture design reasoning (AT, HvV), pp. 253–256.
WICSA-ECSA-2009-HoylandA #development
RQ-Tech method for user-involved software development (CAH, KMA), pp. 257–260.
WICSA-ECSA-2009-FigueiredoGKGSPMSBRBAZM #architecture #case study #detection
Detecting architecture instabilities with concern traces: An exploratory study (EF, IG, SSK, AG, CS, AP, ALM, LFdS, TVB, RAR, PvdB, MA, SZ, AMDM), pp. 261–264.
WICSA-ECSA-2009-SangwanN #architecture #complexity
Characterizing essential and incidental complexity in software architectures (RSS, CJN), pp. 265–268.
WICSA-ECSA-2009-SethiCWGS #architecture #composition
From retrospect to prospect: Assessing modularity and stability from software architecture (KS, YC, SW, AG, CS), pp. 269–272.
WICSA-ECSA-2009-BielG #architecture #towards #usability
Towards a Method for Analyzing Architectural Support Levels of Usability (BB, VG), pp. 273–276.
WICSA-ECSA-2009-XuZSL #architecture #distributed
An architecting method for distributed process-intensive systems (XX, LZ, MS, YL), pp. 277–280.
WICSA-ECSA-2009-NallurBY #architecture #in the cloud #quality #self
Self-optimizing architecture for ensuring Quality Attributes in the cloud (VN, RB, XY), pp. 281–284.
WICSA-ECSA-2009-MattmannGKPM #grid #revisited
The anatomy and physiology of the grid revisited (CM, JG, IK, DP, NM), pp. 285–288.
WICSA-ECSA-2009-GuL #architecture #on the
On service-oriented architectural concerns and viewpoints (QG, PL), pp. 289–292.
WICSA-ECSA-2009-ShahinLK #architecture #design #modelling #tool support
Architectural design decision: Existing models and tools (MS, PL, MRK), pp. 293–296.
WICSA-ECSA-2009-Konemann #concept #modelling #tool support #uml
Integrating decision management with UML modeling concepts and tools (PK), pp. 297–300.
WICSA-ECSA-2009-CarignanoGL #architecture #design
A model to represent architectural design rationale (MCC, SG, HPL), pp. 301–304.
WICSA-ECSA-2009-Capilla #architecture #design #embedded
Embedded design rationale in software architecture (RC), pp. 305–308.
WICSA-ECSA-2009-Bass #approach #architecture #design
Generate and test as a software architecture design approach (LB), pp. 309–312.
WICSA-ECSA-2009-KuhnMKSBT #aspect-oriented #performance
Introducing Aspect-oriented Space Containers for efficient publish/subscribe scenarios in Intelligent Transportation Systems (EK, RM, LK, CS, SB, ST), pp. 313–316.
WICSA-ECSA-2009-SavolainenM #architecture #comparison #research
Layered architecture revisited — Comparison of research and practice (JS, VM), pp. 317–320.
WICSA-ECSA-2009-KeulerW #architecture #design #synthesis
Interaction-sensitive synthesis of architectural tactics in connector designs (TK, CW), pp. 321–324.
WICSA-ECSA-2009-NordCEH #architecture #documentation #set #using
Reviewing architecture documents using question sets (RLN, PCC, DEE, RH), pp. 325–328.
WICSA-ECSA-2009-RazavizadehVCD #architecture #multi
Multiple viewpoints architecture extraction (AR, HV, SC, SD), pp. 329–332.
WICSA-ECSA-2009-WoodsR #architecture
The system context architectural viewpoint (EW, NR), pp. 333–336.
WICSA-ECSA-2009-IngstrupH #architecture #configuration management #modelling
Modeling architectural change: Architectural scripting and its applications to reconfiguration (MI, KMH), pp. 337–340.
WICSA-ECSA-2009-RaibuletM #adaptation #evaluation #metric #question
Evaluation of dynamic adaptivity through metrics: an achievable target? (CR, LM), pp. 341–344.
WICSA-ECSA-2009-SpalazzeseII #formal method #on the fly #towards
Towards a formalization of mediating connectors for on the fly interoperability (RS, PI, VI), pp. 345–348.
WICSA-ECSA-2009-RooSA #adaptation #architecture #embedded #multi #optimisation #using
An architectural style for optimizing system qualities in adaptive embedded systems using Multi-Objective Optimization (AdR, HS, MA), pp. 349–352.
WICSA-ECSA-2009-RodriguezDCJ #adaptation #architecture #communication #configuration management #modelling #multi
A model-based multi-level architectural reconfiguration applied to adaptability management in context-aware cooperative communication support systems (IBR, KD, CC, MJ), pp. 353–356.

Bibliography of Software Language Engineering in Generated Hypertext (BibSLEIGH) is created and maintained by Dr. Vadim Zaytsev.
Hosted as a part of SLEBOK on GitHub.