BibSLEIGH
BibSLEIGH corpus
BibSLEIGH tags
BibSLEIGH bundles
BibSLEIGH people
EDIT!
CC-BY
Open Knowledge
XHTML 1.0 W3C Rec
CSS 2.1 W3C CanRec
email twitter
architecture
Google architecture

Tag #architecture

4285 papers:

POPLPOPL-2020-RaadWNV #semantics
Persistency semantics of the Intel-x86 architecture (AR, JW, GN, VV), p. 31.
ASPLOSASPLOS-2020-HeoWLBJHL #named
IIU: Specialized Architecture for Inverted Index Search (JH, JW, YL, SB, JJ, TJH, JWL), pp. 1233–1245.
ASPLOSASPLOS-2020-HuLL0Z0XDLSX #framework #learning #named
DeepSniffer: A DNN Model Extraction Framework Based on Learning Architectural Hints (XH, LL, SL, LD0, PZ, YJ0, XX, YD, CL, TS, YX), pp. 385–399.
ASPLOSASPLOS-2020-HyunKCKR #named #performance
NeuMMU: Architectural Support for Efficient Address Translations in Neural Processing Units (BH, YK, YC, JK, MR), pp. 1109–1124.
ASPLOSASPLOS-2020-KalinskyKE #graph #relational
The TrieJax Architecture: Accelerating Graph Operations Through Relational Joins (OK, BK, YE), pp. 1217–1231.
ASPLOSASPLOS-2020-KimPCKOMK #named #performance
Evanesco: Architectural Support for Efficient Data Sanitization in Modern Flash-Based Storage Systems (MK, JP, GC, YK, LO, OM, JK), pp. 1311–1326.
ASPLOSASPLOS-2020-LiD0 #design #performance #quantum #towards
Towards Efficient Superconducting Quantum Processor Architecture Design (GL, YD, YX0), pp. 1031–1045.
ASPLOSASPLOS-2020-MaZCSWQ #data type #framework #implementation #named #performance #persistent #symmetry
AsymNVM: An Efficient Framework for Implementing Persistent Data Structures on Asymmetric NVM Architecture (TM, MZ, KC, ZS, YW, XQ), pp. 757–773.
ASPLOSASPLOS-2020-MinBLNK #effectiveness #named #performance #scalability
CryoCache: A Fast, Large, and Cost-Effective Cache Architecture for Cryogenic Computing (DM, IB, GhL, SN, JK), pp. 449–464.
ASPLOSASPLOS-2020-RiaziLPD #named
HEAX: An Architecture for Computing on Encrypted Data (MSR, KL, BP, WD), pp. 1295–1309.
ASPLOSASPLOS-2020-TorkMS #named #network
Lynx: A SmartNIC-driven Accelerator-centric Architecture for Network Servers (MT, LM, MS), pp. 117–131.
ASPLOSASPLOS-2020-WangHZYZMQ #named
DNNGuard: An Elastic Heterogeneous DNN Accelerator Architecture against Adversarial Attacks (XW, RH, BZ, FY, JZ, DM, XQ), pp. 19–34.
ASPLOSASPLOS-2020-ZhangBBBL #consistency #memory management #named
Peacenik: Architecture Support for Not Failing under Fail-Stop Memory Consistency (RZ, SB, VB, MDB, BL), pp. 317–333.
ECSAECSA-2019-BuschFEK #component #quality
Assessing the Quality Impact of Features in Component-Based Software Architectures (AB, DF, ME, AK), pp. 211–219.
ECSAECSA-2019-LujicT #data-driven
Architecturing Elastic Edge Storage Services for Data-Driven Decision Making (IL, HLT0), pp. 97–105.
ECSAECSA-2019-MalkiZ
Guiding Architectural Decision Making on Service Mesh Based Microservice Architectures (AEM, UZ), pp. 3–19.
ECSAECSA-2019-MayrhoferMZGWE #adaptation #physics
Assessing Adaptability of Software Architectures for Cyber Physical Production Systems (MM, CMD, AZ, OG, GW, AE), pp. 143–158.
ECSAECSA-2019-NtentosZPSLM #data transformation
Supporting Architectural Decision Making on Data Management in Microservice Architectures (EN, UZ, KP, DS0, FL0, SM), pp. 20–36.
ECSAECSA-2019-NunesSS #approach #transaction
From a Monolith to a Microservices Architecture: An Approach Based on Transactional Contexts (LN, NS0, ARS), pp. 37–52.
ECSAECSA-2019-PigazziniFM #case study #industrial #migration #tool support
Tool Support for the Migration to Microservice Architecture: An Industrial Case Study (IP, FAF, AM), pp. 247–263.
ECSAECSA-2019-PowerW #case study
An Exploratory Study of Naturalistic Decision Making in Complex Software Architecture Environments (KP, RWB), pp. 55–70.
ECSAECSA-2019-SanctisST #internet
QoS-Based Formation of Software Architectures in the Internet of Things (MDS, RS, CT), pp. 178–194.
ECSAECSA-2019-Sozer #clustering #composition #effectiveness #multi
Evaluating the Effectiveness of Multi-level Greedy Modularity Clustering for Software Architecture Recovery (HS), pp. 71–87.
ECSAECSA-2019-WagemannKS #multi #optimisation #product line
ADOOPLA - Combining Product-Line- and Product-Level Criteria in Multi-objective Optimization of Product Line Architectures (TW, RTK, KS), pp. 126–142.
ECSAECSA-2019-Yasaweerasinghelage #optimisation #performance #security
Optimising Architectures for Performance, Cost, and Security (RY, MS, HYP, IW), pp. 161–177.
ICSAICSA-2019-ArcelliCPET #modelling #performance #runtime #traceability
Exploiting Architecture/Runtime Model-Driven Traceability for Performance Improvement (DA, VC, DDP, RE, MT0), pp. 81–90.
ICSAICSA-2019-EismannGWKK #modelling #performance #statistics
Integrating Statistical Response Time Models in Architectural Performance Models (SE, JG, JW, JvK, SK), pp. 71–80.
ICSAICSA-2019-GerkingS #component #cyber-physical #data flow #policy #refinement #security #verification
Component-Based Refinement and Verification of Information-Flow Security Policies for Cyber-Physical Microservice Architectures (CG, DS), pp. 61–70.
ICSAICSA-2019-GonzalezAM #empirical #industrial #security
Architectural Security Weaknesses in Industrial Control Systems (ICS) an Empirical Study Based on Disclosed Software Vulnerabilities (DG, FA, MM), pp. 31–40.
ICSAICSA-2019-JahicEMA #development #embedded
Mitigating the Influence of Embedded Software Development Environments and Toolsets (ESDT) on Software Architecture (JJ, PE, UM, POA), pp. 111–120.
ICSAICSA-2019-JahicKAW #concurrent #implementation #testing
Testing the Implementation of Concurrent AUTOSAR Drivers Against Architecture Decisions (JJ, VK, POA, GW), pp. 171–180.
ICSAICSA-2019-MartenssonSMB #towards
Continuous Architecture: Towards the Goldilocks Zone and Away from Vicious Circles (TM, DS, AM, JB), pp. 131–140.
ICSAICSA-2019-RademacherSZ #aspect-oriented #modelling
Aspect-Oriented Modeling of Technology Heterogeneity in Microservice Architecture (FR, SS, AZ), pp. 21–30.
ICSAICSA-2019-SeifermannHR #data-driven
Data-Driven Software Architecture for Analyzing Confidentiality (SS, RH, RHR), pp. 1–10.
ICSAICSA-2019-SionDLWEVJ #design
An Architectural View for Data Protection by Design (LS, PD, DVL, KW, IE, PV, WJ), pp. 11–20.
ICSAICSA-2019-TianLB #case study #developer #how #smell #stack overflow
How Developers Discuss Architecture Smells? An Exploratory Study on Stack Overflow (FT, PL0, MAB), pp. 91–100.
ICSAICSA-2019-UllahB #adaptation #approach #big data #security
An Architecture-Driven Adaptation Approach for Big Data Cyber Security Analytics (FU, MAB), pp. 41–50.
ICSAICSA-2019-VerdecchiaML #android #empirical #guidelines
Guidelines for Architecting Android Apps: A Mixed-Method Empirical Study (RV, IM, PL), pp. 141–150.
ICSAICSA-2019-WeberLTDGS #framework #multitenancy #platform
A Platform Architecture for Multi-Tenant Blockchain-Based Systems (IW, QL0, ABT, AD, MG, MS), pp. 101–110.
ICSAICSA-2019-WohlrabEPH #consistency #guidelines
Improving the Consistency and Usefulness of Architecture Descriptions: Guidelines for Architects (RW, UE, PP, RH), pp. 151–160.
ICSAICSA-2019-WohlrabPKH #agile #case study #interface #on the
On Interfaces to Support Agile Architecting in Automotive: An Exploratory Case Study (RW, PP, EK, RH), pp. 161–170.
ICSAICSA-2019-ZhangLJZZ #industrial
Microservice Architecture in Reality: An Industrial Inquiry (HZ0, SL, ZJ, CZ, CZ), pp. 51–60.
EDMEDM-2019-Woodruff #education #interactive #machine learning #predict #student
Predicting student academic outcomes in UK secondary phase education: an architecture for machine learning and user interaction (MW).
ICSMEICSME-2019-Dobrean #automation #mobile
Automatic Examining of Software Architectures on Mobile Applications Codebases (DD), pp. 595–599.
ICSMEICSME-2019-EdwardsJS #issue tracking #named
Sciit: Aligning Source Control Management and Issue Tracking Architectures (NE, DJ, TS), pp. 402–405.
ICSMEICSME-2019-GalsterTB #maintenance #recommendation
Supporting Software Architecture Maintenance by Providing Task-Specific Recommendations (MG, CT, KB), pp. 370–372.
ICSMEICSME-2019-SasAF #case study #evolution #smell
Investigating Instability Architectural Smells Evolution: An Exploratory Case Study (DS, PA, FAF), pp. 557–567.
SANERSANER-2019-SierraTST #question #self #technical debt
Is Self-Admitted Technical Debt a Good Indicator of Architectural Divergences? (GS, AT, ES, NT), pp. 534–543.
SCAMSCAM-2019-BergerSK #security
The Architectural Security Tool Suite - ARCHSEC (BJB, KS, RK), pp. 250–255.
SCAMSCAM-2019-MondalRS #analysis #automation #case study #natural language #using
An Exploratory Study on Automatic Architectural Change Analysis Using Natural Language Processing Techniques (AKM, BR, KAS), pp. 62–73.
CIAACIAA-2019-HanKMS #automaton #finite #simulation
A General Architecture of Oritatami Systems for Simulating Arbitrary Finite Automata (YSH, HK, YM, SS), pp. 146–157.
DLTDLT-2019-Seki
Single-Stranded Architectures for Computing (SS), pp. 41–56.
FMFM-2019-MarmsolerB #modelling #named #proving
APML: An Architecture Proof Modeling Language (DM, GB), pp. 611–630.
CoGVS-Games-2019-GiariskanisPM #3d #design #multimodal #music #named
ARCHIMUSIC3D: Multimodal Playful Transformations between Music and Refined Urban Architectural Design (FG, PP, KM), pp. 1–4.
CoGVS-Games-2019-Hohl #game studies #interactive #learning #visualisation
Game-Based Learning - Developing a Business Game for Interactive Architectural Visualization (WH), pp. 1–4.
CoGVS-Games-2019-KharvariH #3d #artificial reality #game studies #using #visualisation
The Role of Serious Gaming using Virtual Reality Applications for 3D Architectural Visualization (FK, WH), pp. 1–2.
ECIRECIR-p2-2019-BelkacemMDB #symmetry
Asymmetry Sensitive Architecture for Neural Text Matching (TB, JGM, TD, MB), pp. 62–69.
ICMLICML-2019-Abu-El-HaijaPKA #graph #higher-order #named
MixHop: Higher-Order Graph Convolutional Architectures via Sparsified Neighborhood Mixing (SAEH, BP, AK, NA, KL, HH, GVS, AG), pp. 21–29.
ICMLICML-2019-AkimotoSYUSN #adaptation #probability
Adaptive Stochastic Natural Gradient Method for One-Shot Neural Architecture Search (YA, SS, NY, KU, SS, KN), pp. 171–180.
ICMLICML-2019-HoCSDA #design #generative #modelling
Flow++: Improving Flow-Based Generative Models with Variational Dequantization and Architecture Design (JH, XC0, AS, YD, PA), pp. 2722–2730.
ICMLICML-2019-WiqvistMPF #approximate #learning #network #statistics #summary
Partially Exchangeable Networks and Architectures for Learning Summary Statistics in Approximate Bayesian Computation (SW, PAM, UP, JF), pp. 6798–6807.
ICMLICML-2019-YingKCR0H #named #towards
NAS-Bench-101: Towards Reproducible Neural Architecture Search (CY, AK, EC, ER, KM0, FH), pp. 7105–7114.
ICMLICML-2019-ZhouYWP #approach #named
BayesNAS: A Bayesian Approach for Neural Architecture Search (HZ, MY, JW0, WP), pp. 7603–7613.
KDDKDD-2019-JinSH #named #performance
Auto-Keras: An Efficient Neural Architecture Search System (HJ, QS, XH), pp. 1946–1956.
MoDELSMoDELS-2019-BrandG #adaptation #approach #evaluation #modelling #runtime
Modeling Approach and Evaluation Criteria for Adaptable Architectural Runtime Model Instances (TB, HG), pp. 227–232.
MoDELSMoDELS-2019-BurguenoCG #model transformation #network
An LSTM-Based Neural Network Architecture for Model Transformations (LB, JC, SG), pp. 294–299.
MoDELSMoDELS-2019-JumagaliyevE #evolution #modelling #multitenancy
A Modelling Language to Support the Evolution of Multi-tenant Cloud Data Architectures (AJ, YE), pp. 139–149.
MoDELSMoDELS-2019-PhilippSE #analysis #modelling #synthesis
Model-Based Resource Analysis and Synthesis of Service-Oriented Automotive Software Architectures (PO, SK, ES), pp. 128–138.
MoDELSMoDELS-2019-ProcterW #design #modelling
Guided Architecture Trade Space Exploration: Fusing Model Based Engineering & Design by Shopping (SP, LW), pp. 117–127.
PLDIPLDI-2019-Dasgupta0KAR #semantics #set
A complete formal semantics of x86-64 user-level instruction set architecture (SD, DP0, TK, VSA, GR), pp. 1133–1148.
ESEC-FSEESEC-FSE-2019-RueckertBKSMF #case study #experience #industrial
Architectural decision forces at work: experiences in an industrial consultancy setting (JR, AB, HK, TS, AM, CF), pp. 996–1005.
ESEC-FSEESEC-FSE-2019-SantosSCGM #approach
Achilles' heel of plug-and-Play software architectures: a grounded theory based approach (JCSS, AS, TC, SG, MM), pp. 671–682.
ICSE-2019-GhorbaniGM #consistency #detection #java #nondeterminism
Detection and repair of architectural inconsistencies in Java (NG, JG, SM), pp. 560–571.
ASPLOSASPLOS-2019-0002R #latency #throughput
uops.info: Characterizing Latency, Throughput, and Port Usage of Instructions on Intel Microarchitectures (AA0, JR), pp. 673–686.
ASPLOSASPLOS-2019-GallagherBCAYAH #named
Morpheus: A Vulnerability-Tolerant Secure Architecture Based on Ensembles of Moving Target Defenses with Churn (MG, LB, SC, ZBA, SFY, MTA, AH, ZX, BK, VB, SM, MT, TMA), pp. 469–484.
ASPLOSASPLOS-2019-JiZXLWHZX #configuration management #named #stack
FPSA: A Full System Stack Solution for Reconfigurable ReRAM-based NN Accelerator Architecture (YJ0, YZ, XX, SL, PW0, XH0, YZ, YX0), pp. 733–747.
ASPLOSASPLOS-2019-TangZQC #message passing #named #performance
pLock: A Fast Lock for Architectures with Explicit Inter-core Message Passing (XT, JZ, XQ, WC), pp. 765–778.
ASPLOSASPLOS-2019-ZhangGFABNOA #security
Architectural Support for Containment-based Security (HZ, SG, JF, SA, SRB, NPN, TO, DIA), pp. 361–377.
CASECASE-2019-ToquicaBM #industrial #web
Web Compliant Open Architecture For Teleoperation of Industrial Robots (JST, DB, JMSTM), pp. 1408–1414.
CGOCGO-2019-FuH
Translating Traditional SIMD Instructions to Vector Length Agnostic Architectures (SYF, WCH), p. 275.
ICTSSICTSS-2019-SamsonV #automation #component #generative #testing
Automatic Generation of Test Oracles from Component Based Software Architectures (MS, TV), pp. 261–269.
ECSAECSA-2018-AlkhabbasSD #approach #internet #named
ECo-IoT: An Architectural Approach for Realizing Emergent Configurations in the Internet of Things (FA, RS, PD), pp. 86–102.
ECSAECSA-2018-Antonino0MFBBKN #embedded #prototype #re-engineering
Enabling Continuous Software Engineering for Embedded Systems Architectures with Virtual Prototypes (POA, MJ0, AM, FF, TB, AB, TK0, EYN), pp. 115–130.
ECSAECSA-2018-AvritzerFJRSH #approach #assessment #automation #deployment #performance #testing
A Quantitative Approach for the Assessment of Microservice Architecture Deployment Alternatives by Automated Performance Testing (AA, VF, AJ, BR, HS, AvH), pp. 159–174.
ECSAECSA-2018-BuchgeherWH #automation #framework #platform #scalability
A Platform for the Automated Provisioning of Architecture Information for Large-Scale Service-Oriented Software Systems (GB, RW, HH), pp. 203–218.
ECSAECSA-2018-CastellanosCR #big data #data analysis #modelling
Executing Architectural Models for Big Data Analytics (CC, DC, JDR), pp. 364–371.
ECSAECSA-2018-Christensen #assessment #automation #feedback #named
Crunch: Automated Assessment of Microservice Architecture Assignments with Formative Feedback (HBC), pp. 175–190.
ECSAECSA-2018-GerkingS #composition #cyber-physical #data flow #security #towards
Towards Preserving Information Flow Security on Architectural Composition of Cyber-Physical Systems (CG, DS), pp. 147–155.
ECSAECSA-2018-HeijenkBLVS #agile #empirical #evolution
Empirical Insights into the Evolving Role of Architects in Decision-Making in an Agile Context (FH, MvdB, HL, HvV, RS), pp. 247–264.
ECSAECSA-2018-KerdoudiTS #case study
Spotlighting Use Case Specific Architectures (MLK, CT, SS), pp. 236–244.
ECSAECSA-2018-MalakutiGK #design
A Catalogue of Architectural Decisions for Designing IIoT Systems (SM, TG, HK), pp. 103–111.
ECSAECSA-2018-MartinFKR
Guidance of Architectural Changes in Technical Systems with Varying Operational Modes (LM, NAF, AK, RHR), pp. 37–45.
ECSAECSA-2018-MartiniFBR #case study #identification #scalability #smell
Identifying and Prioritizing Architectural Debt Through Architectural Smells: A Case Study in a Large Software Company (AM, FAF, AB, RR), pp. 320–335.
ECSAECSA-2018-MucciniM
IoT Architectural Styles - A Systematic Mapping Study (HM, MTM), pp. 68–85.
ECSAECSA-2018-Oquendo #self
Formally Describing Self-organizing Architectures for Systems-of-Systems on the Internet-of-Things (FO), pp. 20–36.
ECSAECSA-2018-Plakidas0Z #case study #evolution #industrial #migration #multi #platform
Software Migration and Architecture Evolution with Industrial Platforms: A Multi-case Study (KP, DS0, UZ), pp. 336–343.
ECSAECSA-2018-PowerW #case study #comprehension #industrial
Understanding Architecture Decisions in Context - An Industry Case Study of Architects' Decision-Making Context (KP, RWB), pp. 284–299.
ECSAECSA-2018-SprayS #abstraction #embedded
Abstraction Layered Architecture: Writing Maintainable Embedded Code (JS, RS), pp. 131–146.
ECSAECSA-2018-TumaS #analysis
Two Architectural Threat Analysis Techniques Compared (KT, RS), pp. 347–363.
ECSAECSA-2018-WeynsI0M #adaptation
Applying Architecture-Based Adaptation to Automate the Management of Internet-of-Things (DW, MUI, DH0, NM), pp. 49–67.
ECSAECSA-2018-WuCKMLCGLZ #case study #experience #metric #multi
Software Architecture Measurement - Experiences from a Multinational Company (WW, YC, RK, RM, ZL, RC, YG, WL, JZ), pp. 303–319.
ICSAICSA-2018-AlshuqayranAE #empirical #towards
Towards Micro Service Architecture Recovery: An Empirical Study (NA, NA, RE), pp. 47–56.
ICSAICSA-2018-Apel #development #game studies #online
Reducing Development Overheads with a Generic and Model-Centric Architecture for Online Games (SA), pp. 21–28.
ICSAICSA-2018-ArcelliCDP #approach #multi #named #refactoring
EASIER: An Evolutionary Approach for Multi-objective Software ArchItecturE Refactoring (DA, VC, MD, DDP), pp. 105–114.
ICSAICSA-2018-ArtacBNGPT #approach #data-driven #development #modelling
Infrastructure-as-Code for Data-Intensive Architectures: A Model-Driven Development Approach (MA, TB, EDN, MG, DPP, DAT), pp. 156–165.
ICSAICSA-2018-Chen #delivery #named
Microservices: Architecting for Continuous Delivery and DevOps (LC), pp. 39–46.
ICSAICSA-2018-CortellessaET #bidirectional #co-evolution #model transformation #modelling #petri net #uml
Availability-Driven Architectural Change Propagation Through Bidirectional Model Transformations Between UML and Petri Net Models (VC, RE, MT0), pp. 125–134.
ICSAICSA-2018-FrancescoLM #industrial #migration #overview #towards
Migrating Towards Microservice Architectures: An Industrial Survey (PDF, PL, IM), pp. 29–39.
ICSAICSA-2018-GarciaMPBW #collaboration #distributed
An Architecture for Decentralized, Collaborative, and Autonomous Robots (SG0, CM, PP, TB, RW), pp. 75–84.
ICSAICSA-2018-KoziolekBD #automation #industrial #process #self
Self-Commissioning Industrial IoT-Systems in Process Automation: A Reference Architecture (HK, AB, JD), pp. 196–205.
ICSAICSA-2018-KugeleHP #communication
Data-Centric Communication and Containerization for Future Automotive Software Architectures (SK, DH, JP), pp. 65–74.
ICSAICSA-2018-LeLSM #empirical #open source
An Empirical Study of Architectural Decay in Open-Source Software (DML, DL, AS, NM), pp. 176–185.
ICSAICSA-2018-NaabRK #case study #ecosystem #experience
Architecting a Software-Based Ecosystem for the Automotive Aftermarket: An Experience Report (MN, DR, JK), pp. 57–64.
ICSAICSA-2018-ShahbazianLLBM #design
Recovering Architectural Design Decisions (AS, YKL, DML, YB, NM), pp. 95–104.
ICSAICSA-2018-SolimanSGZR #community #developer #online
Improving the Search for Architecture Knowledge in Online Developer Communities (MS, ARS, MG, OZ, MR), pp. 186–195.
ICSAICSA-2018-SzvetitsZ #analysis #design #modelling #multi #runtime
Architectural Design Decisions for Systems Supporting Model-Based Analysis of Runtime Events: A Qualitative Multi-method Study (MS, UZ), pp. 115–124.
ICSAICSA-2018-Yasaweerasinghelage #data analysis #modelling #performance #predict #privacy #simulation #using
Predicting the Performance of Privacy-Preserving Data Analytics Using Architecture Modelling and Simulation (RY, MS, IW, HYP), pp. 166–175.
ICPCICPC-2018-MoCKF #evolution
Assessing an architecture's ability to support feature evolution (RM, YC, RK, QF), pp. 297–307.
MSRMSR-2018-ShahbazianNM #implementation #predict #towards
Toward predicting architectural significance of implementation issues (AS, DN, NM), pp. 215–219.
SANERSANER-2018-Vogel-HeuserFFU #analysis #automation #composition #industrial
Modularity and architecture of PLC-based software for automated production systems: An analysis in industrial companies (journal-first abstract) (BVH, JF, SF, SU, SR), p. 473.
SCAMSCAM-2018-PaceTG #predict #research #smell #towards #using
[Research Paper] Towards Anticipation of Architectural Smells Using Link Prediction Techniques (JADP, AT, DG), pp. 62–71.
FMFM-2018-CimattiST #specification #verification
Formal Specification and Verification of Dynamic Parametrized Architectures (AC, IS, ST), pp. 625–644.
SEFMSEFM-2018-TonderG #synthesis
Cross-Architecture Lifter Synthesis (RvT, CLG), pp. 155–170.
AIIDEAIIDE-2018-LeeTZXDA #composition #learning
Modular Architecture for StarCraft II with Deep Reinforcement Learning (DL, HT, JOZ, HX, TD, PA), pp. 187–193.
AIIDEAIIDE-2018-SifaYRB #bottom-up #comparative #evaluation #game studies #matrix #online #recommendation
Matrix and Tensor Factorization Based Game Content Recommender Systems: A Bottom-Up Architecture and a Comparative Online Evaluation (RS, RY, RR, CB), pp. 102–108.
AIIDEAIIDE-2018-YoonAHK #design #mining
Design Mining for Minecraft Architecture (EY, EA, BH, RAK), pp. 250–256.
CoGCIG-2018-TakanoOIHT #game studies #hybrid
Applying Hybrid Reward Architecture to a Fighting Game AI (YT, WO, SI, TH, RT), pp. 1–4.
CIKMCIKM-2018-Balaneshinkordan #ad hoc #documentation #retrieval
Attentive Neural Architecture for Ad-hoc Structured Document Retrieval (SB, AK, FN), pp. 1173–1182.
ECIRECIR-2018-DurRF #benchmark #challenge #dataset #lessons learnt #metric
Reproducing a Neural Question Answering Architecture Applied to the SQuAD Benchmark Dataset: Challenges and Lessons Learned (AD, AR, PF), pp. 102–113.
ICMLICML-2018-BajgarKK #performance
A Boo(n) for Evaluating Architecture Performance (OB, RK, JK), pp. 344–352.
ICMLICML-2018-BenderKZVL #comprehension
Understanding and Simplifying One-Shot Architecture Search (GB, PJK, BZ, VV, QVL), pp. 549–558.
ICMLICML-2018-CaiYZHY #network #performance
Path-Level Network Transformation for Efficient Architecture Search (HC, JY, WZ0, SH, YY0), pp. 677–686.
ICMLICML-2018-ChoromanskiRSTW #evolution #optimisation #policy #scalability
Structured Evolution with Compact Architectures for Scalable Policy Optimization (KC, MR, VS, RET, AW), pp. 969–977.
ICMLICML-2018-EspeholtSMSMWDF #distributed #named #scalability
IMPALA: Scalable Distributed Deep-RL with Importance Weighted Actor-Learner Architectures (LE, HS, RM, KS, VM, TW, YD, VF, TH, ID, SL, KK), pp. 1406–1415.
ICMLICML-2018-LuZLD #difference #equation #finite #network
Beyond Finite Layer Neural Networks: Bridging Deep Architectures and Numerical Differential Equations (YL, AZ, QL, BD0), pp. 3282–3291.
ICMLICML-2018-PhamGZLD #parametricity #performance
Efficient Neural Architecture Search via Parameter Sharing (HP, MYG, BZ, QVL, JD), pp. 4092–4101.
ICPRICPR-2018-BiswasMGBP #documentation #hybrid #recognition #robust
A Hybrid Deep Architecture for Robust Recognition of Text Lines of Degraded Printed Documents (CB, PSM, KG, UB, SKP), pp. 3174–3179.
ICPRICPR-2018-LuoY #network #performance #segmentation
Fast Skin Lesion Segmentation via Fully Convolutional Network with Residual Architecture and CRF (WL, MY), pp. 1438–1443.
ICPRICPR-2018-MuhammadWCA #classification #image
Pre-trained VGGNet Architecture for Remote-Sensing Image Scene Classification (UM, WW, SPC, SA), pp. 1622–1627.
ICPRICPR-2018-PengSCCXJ #detection #multi #using
Detecting Heads using Feature Refine Net and Cascaded Multi-scale Architecture (DP, ZS, ZC, ZC, LX, LJ), pp. 2528–2533.
ICPRICPR-2018-ZhangCZ #network #recognition
Temporal Inception Architecture for Action Recognition with Convolutional Neural Networks (WZ, JC, HZ), pp. 3216–3221.
MoDELSMoDELS-2018-EderBVIK #automation #deployment #distributed #framework #hardware #synthesis
From Deployment to Platform Exploration: Automatic Synthesis of Distributed Automotive Hardware Architectures (JE, AB, SV, AI, MK), pp. 438–446.
MoDELSMoDELS-2018-HolmesZ #modelling #refactoring #requirements
Refactoring Architecture Models for Compliance with Custom Requirements (TH, UZ), pp. 267–277.
MoDELSMoDELS-2018-KinneerH #clustering #difference #metric
Dissimilarity Measures for Clustering Space Mission Architectures (CK, SJIH), pp. 392–402.
AdaEuropeAdaEurope-2018-MunariVV #agile
Microservice-Based Agile Architectures: An Opportunity for Specialized Niche Technologies (SM, SV, TV), pp. 158–174.
PLDIPLDI-2018-LinWCLDW #manycore #network
Mapping spiking neural networks onto a manycore neuromorphic architecture (CKL, AW, GNC, THL, MD, HW), pp. 78–89.
POPLPOPL-2018-DongolJR #memory management #transaction
Transactions in relaxed memory architectures (BD, RJ, JR), p. 29.
ASEASE-2018-MoSCRKN #analysis #automation #case study #experience
Experiences applying automated architecture analysis tool suites (RM, WS, YC, SR, RK, MN), pp. 779–789.
ICSE-2018-RehmanMNUT #case study #industrial
Roles and impacts of hands-on software architects in five industrial case studies (IR, MM, MN, AAU, MT), pp. 117–127.
ASPLOSASPLOS-2018-Chong #algorithm #quantum
Quantum Computing is Getting Real: Architecture, PL, and OS Roles in Closing the Gap between Quantum Algorithms and Machines (FTC), p. 285.
ASPLOSASPLOS-2018-ColinRL #configuration management #energy
A Reconfigurable Energy Storage Architecture for Energy-harvesting Devices (AC, ER, BL), pp. 767–781.
ASPLOSASPLOS-2018-LinZHSHTM #constraints
The Architectural Implications of Autonomous Driving: Constraints and Acceleration (SCL, YZ, CHH, MS, MEH, LT, JM), pp. 751–766.
CASECASE-2018-ArboPAD #assembly #constraints
A System Architecture for Constraint-Based Robotic Assembly with CAD Information (MHA, YP, EA, WD), pp. 690–696.
CASECASE-2018-FantiMRFF #in the cloud
A Cloud Computing Architecture for Eco Route Planning of Heavy Duty Vehicles (MPF, AMM, GR, GF, AF), pp. 730–735.
CASECASE-2018-KastnerKSJH #communication #cyber-physical #design #performance
Design of an efficient Communication Architecture for Cyber-Physical Production Systems (FK, DK, CS, BJ, MH), pp. 829–835.
CASECASE-2018-Lieberoth-Leden #automation #coordination #flexibility
Control Architecture and Transport Coordination for Autonomous Logistics Modules in Flexible Automated Material Flow Systems (CLL, JF, JF, BVH), pp. 736–743.
CASECASE-2018-OriveABM #industrial #monitoring #network #resource management
Passive Network State Monitoring for Dynamic Resource Management in Industry 4.0 Fog Architectures (AO, AA, JB, MM), pp. 1414–1419.
CASECASE-2018-RibeiroRPS #approach #design #using
An Approach for Architectural Design of Automotive Systems using MARTE and SysML (FGCR, AR, CEP, MSS), pp. 1574–1580.
CASECASE-2018-RuppertSWP #case study #comparative #distributed #flexibility
Comparative study of flexible and decentralized agent-based and service-oriented control architectures for production systems (SR, FS, TW, JP), pp. 316–321.
CASECASE-2018-SygullaWSBHWR #realtime
An EtherCAT-Based Real-Time Control System Architecture for Humanoid Robots (FS, RW, PS, TFCB, ACH, DW, DR), pp. 483–490.
CASECASE-2018-TanziniJSNA #embedded
Embedded Architecture of a Hydraulic Demolition Machine for Robotic Teleoperation in the Construction Sector (MT, JMJV, MS, MN, CAA), pp. 506–513.
CASECASE-2018-TelschigSK #distributed #embedded #realtime
A Real-Time Container Architecture for Dependable Distributed Embedded Applications (KT, AS, AK), pp. 1367–1374.
CASECASE-2018-TomzikX #distributed
Architecture of a Cloud-Based Control System Decentralised at Field Level (DAT, XWX), pp. 353–358.
CASECASE-2018-UnverdorbenBL
Reference Architectures for Future Production Systems in the Field of Discrete Manufacturing (SU, BB, AL), pp. 869–874.
CGOCGO-2018-JiangA
Conflict-free vectorization of associative irregular applications with recent SIMD architectural advances (PJ, GA), pp. 175–187.
ECSAECSA-2017-FilhoRAB #consistency #design #exception #using
Preventing Erosion in Exception Handling Design Using Static-Architecture Conformance Checking (JLMF, LSR, RMCA, RB), pp. 67–83.
ECSAECSA-2017-LeighWZ
Software Architecture Risk Containers (AL, MW, AZ), pp. 171–179.
ECSAECSA-2017-SharafAMA #cyber-physical #framework #modelling #simulation
An Architecture Framework for Modelling and Simulation of Situational-Aware Cyber-Physical Systems (MS, MA, HM, MA), pp. 95–111.
ECSAECSA-2017-TrubianiM #analysis #collaboration #modelling #nondeterminism #reduction
Continuous Rearchitecting of QoS Models: Collaborative Analysis for Uncertainty Reduction (CT, RM), pp. 40–48.
ECSAECSA-2017-WoodsB
A Model for Prioritization of Software Architecture Effort (EW, RB), pp. 183–190.
ECSAECSA-2017-YangLAEHP #case study #industrial
Architectural Assumptions and Their Management in Industry - An Exploratory Study (CY0, PL0, PA, UE, RH, PP), pp. 191–207.
ECSAECSA-2017-ZalewskiBR #bias #on the
On Cognitive Biases in Architecture Decision Making (AZ, KB, AR), pp. 123–137.
ICSAICSA-2017-AlkhabbasSD #internet
Architecting Emergent Configurations in the Internet of Things (FA, RS, PD), pp. 221–224.
ICSAICSA-2017-BedjetiLLBH #modelling
Modeling Context with an Architecture Viewpoint (AB, PL, GAL, RCdB, RH), pp. 117–120.
ICSAICSA-2017-ButtingKRW #component #difference #semantics
Semantic Differencing for Message-Driven Component & Connector Architectures (AB, OK, BR, AW), pp. 145–154.
ICSAICSA-2017-CzepaTZKWR #behaviour #constraints #empirical #on the #semantics
On the Understandability of Semantic Constraints for Behavioral Software Architecture Compliance: A Controlled Experiment (CC, HT, UZ, TTTK, EW, CR), pp. 155–164.
ICSAICSA-2017-FrancescoML #industrial #research #roadmap
Research on Architecting Microservices: Trends, Focus, and Potential for Industrial Adoption (PDF, IM, PL), pp. 21–30.
ICSAICSA-2017-GiaimoB #design #self
Design Criteria to Architect Continuous Experimentation for Self-Driving Vehicles (FG, CB0), pp. 203–210.
ICSAICSA-2017-GortonXYLZ #knowledge base #towards
Experiments in Curation: Towards Machine-Assisted Construction of Software Architecture Knowledge Bases (IG, RX, YY, HL, GZ), pp. 79–88.
ICSAICSA-2017-HammadBM #android
Determination and Enforcement of Least-Privilege Architecture in Android (MH, HB, SM), pp. 59–68.
ICSAICSA-2017-HassanAB #approach #metamodelling
Microservice Ambients: An Architectural Meta-Modelling Approach for Microservice Granularity (SH, NA, RB), pp. 1–10.
ICSAICSA-2017-KlockWGJ #clustering #set
Workload-Based Clustering of Coherent Feature Sets in Microservice Architectures (SK, JMEMvdW, JPG, SJ), pp. 11–20.
ICSAICSA-2017-MucciniS #named #physics
CAPS: Architecture Description of Situational Aware Cyber Physical Systems (HM, MS), pp. 211–220.
ICSAICSA-2017-MusilESISMB #integration #scalability
Continuous Architectural Knowledge Integration: Making Heterogeneous Architectural Knowledge Available in Large-Scale Organizations (JM, FJE, MS, TBI, DS0, AM, SB), pp. 189–192.
ICSAICSA-2017-PhamRGL #bidirectional
Bidirectional Mapping between Architecture Model and Code for Synchronization (VCP, AR, SG, SL), pp. 239–242.
ICSAICSA-2017-RostamiHBR #impact analysis #information management #process
Architecture-Based Change Impact Analysis in Information Systems and Business Processes (KR, RH, AB, RHR), pp. 179–188.
ICSAICSA-2017-RoyMRSW #analysis #framework #towards
Towards a Reference Architecture for Cloud-Based Plant Genotyping and Phenotyping Analysis Frameworks (BR, AKM, CKR, KAS, KW), pp. 41–50.
ICSAICSA-2017-SantosPMGVS #comprehension #empirical #php #security
Understanding Software Vulnerabilities Related to Architectural Security Tactics: An Empirical Investigation of Chromium, PHP and Thunderbird (JCSS, AP, MM, MG, JVV, AS), pp. 69–78.
ICSAICSA-2017-SolimanGR #community #developer #ontology
Developing an Ontology for Architecture Knowledge from Developer Communities (MS, MG, MR), pp. 89–92.
ICSAICSA-2017-TaivalsaariMPS #modelling #web
Comparing the Built-In Application Architecture Models in the Web Browser (AT, TM, CP, KS), pp. 51–54.
ICSAICSA-2017-TangRPH #aspect-oriented #overview #perspective
Human Aspects in Software Architecture Decision Making: A Literature Review (AT, MR, BP, TMH), pp. 107–116.
ICSAICSA-2017-XuWSZBBPR #design #taxonomy
A Taxonomy of Blockchain-Based Systems for Architecture Design (XX, IW, MS, LZ, JB, LB, CP, PR), pp. 243–252.
ICSAICSA-2017-Yasaweerasinghelage #latency #modelling #predict #simulation #using
Predicting Latency of Blockchain-Based Systems Using Architectural Modelling and Simulation (RY, MS, IW), pp. 253–256.
ICSAICSA-2017-ZhengCA #consistency #product line #source code #traceability
Mapping Features to Source Code through Product Line Architecture: Traceability and Conformance (YZ, CC, HUA), pp. 225–234.
JCDLJCDL-2017-ToepferS #automation #invariant
Descriptor-Invariant Fusion Architectures for Automatic Subject Indexing (MT0, CS), pp. 31–40.
ICPCICPC-2017-HuZLG #clone detection #compilation #detection
Binary code clone detection across architectures and compiling configurations (YH, YZ0, JL, DG), pp. 88–98.
AIIDEAIIDE-2017-HartHGOXW #simulation
Dante Agent Architecture for Force-On-Force Wargame Simulation and Training (BH, DH, RG, FJO, PGX, JW), pp. 200–206.
CoGCIG-2017-GuimaraesSJ #game studies #named #social
CiF-CK: An architecture for social NPCS in commercial games (MG, PS0, AJ), pp. 126–133.
FDGFDG-2017-BauerBP #design #game studies #learning #problem
Dragon architect: open design problems for guided learning in a creative computational thinking sandbox game (AB0, EB, ZP), p. 6.
FDGFDG-2017-CheongPPB #interactive
A database-centric architecture for interactive storytelling (YGC, KP, WHP, BCB), p. 4.
CIKMCIKM-2017-ChengHDL #case study #in memory #manycore
A Study of Main-Memory Hash Joins on Many-core Processor: A Case with Intel Knights Landing Architecture (XC, BH, XD, CTL), pp. 657–666.
CIKMCIKM-2017-PangLGXXC #information retrieval #named #ranking
DeepRank: A New Deep Architecture for Relevance Ranking in Information Retrieval (LP, YL, JG, JX0, JX, XC), pp. 257–266.
CIKMCIKM-2017-SinghMTSW #automation
A Neural Candidate-Selector Architecture for Automatic Structured Clinical Text Annotation (GS, IJM, JT, JST, BCW), pp. 1519–1528.
ICMLICML-2017-FoersterGSCS #network
Input Switched Affine Networks: An RNN Architecture Designed for Interpretability (JNF, JG, JSD, JC, DS), pp. 1136–1145.
ICMLICML-2017-LeiJBJ #graph #kernel #sequence
Deriving Neural Architectures from Sequence and Graph Kernels (TL0, WJ, RB, TSJ), pp. 2024–2033.
ICMLICML-2017-Luo #learning #network
Learning Deep Architectures via Generalized Whitened Neural Networks (PL0), pp. 2238–2246.
ICMLICML-2017-ZhaoYKJB #learning
Learning Sleep Stages from Radio Signals: A Conditional Adversarial Architecture (MZ, SY, DK, TSJ, MTB), pp. 4100–4109.
ECMFAECMFA-2017-ButtingHHKRW
Systematic Language Extension Mechanisms for the MontiArc Architecture Description Language (AB, AH, LH, OK, BR, AW), pp. 53–70.
ECMFAECMFA-2017-Kusmenko0RW #cyber-physical #modelling
Modeling Architectures of Cyber-Physical Systems (EK, AR, BR, MvW), pp. 34–50.
MoDELSMoDELS-2017-DenneyPW #development #modelling #safety
Model-Driven Development of Safety Architectures (ED, GP, IW), pp. 156–166.
OOPSLAOOPSLA-2017-Reid #specification #validation
Who guards the guards? formal validation of the Arm v8-m architecture specification (AR), p. 24.
ASEASE-2017-Busari #analysis #modelling #requirements #search-based #towards
Towards search-based modelling and analysis of requirements and architecture decisions (SAB), pp. 1026–1029.
ASEASE-2017-PaixaoKHRH #developer #question
Are developers aware of the architectural impact of their changes? (MP, JK, DH, CR, MH), pp. 95–105.
ESEC-FSEESEC-FSE-2017-AdzicC
Serverless computing: economic and architectural impact (GA, RC), pp. 884–889.
ESEC-FSEESEC-FSE-2017-FieldingTEGWKO #design #rest #web
Reflections on the REST architectural style and “principled design of the modern web architecture” (impact paper award) (RTF, RNT, JRE, MMG, JW, RK, PO), pp. 4–14.
ESEC-FSEESEC-FSE-2017-GalsterAMT #agile #question
Reference architectures and Scrum: friends or foes? (MG, SA, SMF, DT), pp. 896–901.
ESEC-FSEESEC-FSE-2017-HarmsRI #guidelines
Guidelines for adopting frontend architectures and patterns in microservices-based systems (HH, CR, LLI), pp. 902–907.
ESEC-FSEESEC-FSE-2017-Oliveira #co-evolution #dependence #fine-grained #named #refactoring #using
DRACO: discovering refactorings that improve architecture using fine-grained co-change dependencies (MCdO0), pp. 1018–1021.
ICSE-2017-BusariL #analysis #lightweight #named #requirements
RADAR: a lightweight tool for requirements and architecture decision analysis (SAB, EL), pp. 552–562.
ICSE-2017-GopalakrishnanS #predict #question #source code #topic
Can latent topics in source code predict missing architectural tactics? (RG, PS, MM, MG), pp. 15–26.
ASPLOSASPLOS-2017-CalciuSBA #black box #concurrent #data type
Black-box Concurrent Data Structures for NUMA Architectures (IC, SS0, MB0, MKA), pp. 207–221.
ASPLOSASPLOS-2017-CoxB #multi #performance
Efficient Address Translation for Architectures with Multiple Page Sizes (GC, AB), pp. 435–448.
ASPLOSASPLOS-2017-FerraiuoloXZMS #analysis #data flow #hardware #security #verification
Verification of a Practical Hardware Security Architecture Through Static Information Flow Analysis (AF, RX, DZ, ACM, GES), pp. 555–568.
ASPLOSASPLOS-2017-KimKKKKNOCL #lightweight
Typed Architectures: Architectural Support for Lightweight Scripting (CK, JK, SK, DYK, NK, GN, YHO, HGC, JWL), pp. 77–90.
ASPLOSASPLOS-2017-McMahanCNRGHS #analysis #composition
An Architecture Supporting Formal and Compositional Binary Analysis (JM, MC, LN, JR, SYG, BH, TS), pp. 177–191.
CASECASE-2017-CuiVZBB #adaptation #network #self
A software architecture supporting self-adaptation of wireless control networks (YC, RMV, XZ, JB, ESB), pp. 346–351.
CASECASE-2017-WeiHCMY
The essential elements of intelligent Manufacturing System Architecture (SW, JH, YC, YM, YY), pp. 1006–1011.
CGOCGO-2017-EggerLKMCLKHC #configuration management #energy
A space- and energy-efficient code Compression/Decompression technique for coarse-grained reconfigurable architectures (BE, HL, DK, MSM, YC, YL, SK, SH, KC), pp. 197–209.
CGOCGO-2017-QasemAR #data transformation #memory management
Characterizing data organization effects on heterogeneous memory architectures (AQ, AMA, GR), pp. 160–170.
CGOCGO-2017-ZhangWZHC #clustering #fine-grained #named
FinePar: irregularity-aware fine-grained workload partitioning on integrated architectures (FZ0, BW0, JZ, BH, WC), pp. 27–38.
CBSECBSE-2016-CrnkovicMMS #component #cyber-physical #on the #using
On the Use of Component-Based Principles and Practices for Architecting Cyber-Physical Systems (IC, IM, HM, MS), pp. 23–32.
CBSECBSE-2016-StevaneticZ #component #experience #metric #modelling #using
Exploring the Understandability of Components in Architectural Component Models Using Component Level Metrics and Participants' Experience (SS, UZ), pp. 1–6.
CBSECBSE-2016-WulfWH #parallel #throughput
Increasing the Throughput of Pipe-and-Filter Architectures by Integrating the Task Farm Parallelization Pattern (CW, CCW, WH), pp. 13–22.
ECSAECSA-2016-AlsharaSTBDS #component #object-oriented #source code
Materializing Architecture Recovered from Object-Oriented Source Code in Component-Based Languages (ZA, ADS, CT, HLB, CD, AS), pp. 309–325.
ECSAECSA-2016-AngelovMG #agile #challenge #question #what
Architects in Scrum: What Challenges Do They Face? (SA, MM, MG), pp. 229–237.
ECSAECSA-2016-BrogiCCDNGPPS #multi #named
SeaClouds: An Open Reference Architecture for Multi-cloud Governance (AB, JC, JC, FD, EDN, MG, DP, EP, JS), pp. 334–338.
ECSAECSA-2016-CavalcanteQTOBL #model checking #statistics
Statistical Model Checking of Dynamic Software Architectures (EC, JQ, LMT, FO, TB, AL), pp. 185–200.
ECSAECSA-2016-DasanayakeMAO #collaboration #empirical
An Empirical Study on Collaborative Architecture Decision Making in Software Teams (SD, JM, SA, MO), pp. 238–246.
ECSAECSA-2016-ErsoyKAS #clustering #re-engineering #using
Using Hypergraph Clustering for Software Architecture Reconstruction of Data-Tier Software (EE, KK, MA, HS), pp. 326–333.
ECSAECSA-2016-Gerostathopoulos #adaptation #cyber-physical #self
Architectural Homeostasis in Self-Adaptive Software-Intensive Cyber-Physical Systems (IG, DS, FP, TB, AK), pp. 113–128.
ECSAECSA-2016-HassanQO #evolution #framework #realtime
Evolution Style: Framework for Dynamic Evolution of Real-Time Software Architecture (AH, AQ, MO0), pp. 166–174.
ECSAECSA-2016-HeimKRRW #configuration management
Retrofitting Controlled Dynamic Reconfiguration into the Architecture Description Language MontiArcAutomaton (RH, OK, JOR, BR, AW), pp. 175–182.
ECSAECSA-2016-HolsteinW #multi #towards
Towards an Architecture for an UI-Compositor for Multi-OS Environments (TH, JW), pp. 138–145.
ECSAECSA-2016-KaptoEKT #analysis #approach #detection #evolution #source code
Inferring Architectural Evolution from Source Code Analysis - A Tool-Supported Approach for the Detection of Architectural Tactics (CK, GEB, SK, CT), pp. 149–165.
ECSAECSA-2016-MeCL #quality
A Long Way to Quality-Driven Pattern-Based Architecting (GM, CC, PL), pp. 39–54.
ECSAECSA-2016-Mesli-KesraouiK #diagrams #verification
Formal Verification of Software-Intensive Systems Architectures Described with Piping and Instrumentation Diagrams (SMK, DK, FO, AB, AT, PB), pp. 210–226.
ECSAECSA-2016-Oquendo #challenge #research
Software Architecture Challenges and Emerging Research in Software-Intensive Systems-of-Systems (FO), pp. 3–21.
ECSAECSA-2016-OquendoLB
Executing Software Architecture Descriptions with SysADL (FO, JCL, TB), pp. 129–137.
ECSAECSA-2016-RostN #developer #documentation #effectiveness #why
Task-Specific Architecture Documentation for Developers - Why Separation of Concerns in Architecture Documentation is Counterproductive for Developers (DR, MN), pp. 102–110.
ECSAECSA-2016-SchmerlGSBMCG #analysis #android #modelling #security
Architecture Modeling and Analysis of Security in Android Systems (BRS, JG, AS, HB, SM, JC, DG), pp. 274–290.
ECSAECSA-2016-SchriekWTB #design #game studies #reasoning
Software Architecture Design Reasoning: A Card Game to Help Novice Designers (CS, JMEMvdW, AT, FB), pp. 22–38.
ECSAECSA-2016-SchroderRS #process
Architecture Enforcement Concerns and Activities - An Expert Study (SS, MR, MS), pp. 247–262.
ECSAECSA-2016-SobhyBMK #perspective
Diversifying Software Architecture for Sustainability: A Value-Based Perspective (DS, RB, LLM, RK), pp. 55–63.
QoSAQoSA-2016-JamshidiSPAME #fuzzy #self
Fuzzy Self-Learning Controllers for Elasticity Management in Dynamic Cloud Architectures (PJ, AMS, CP, HA, AM, GE), pp. 70–79.
QoSAQoSA-2016-JohnsenLHPT #assurance #framework #named #quality
AQAF: An Architecture Quality Assurance Framework for Systems Modeled in AADL (AJ, KL, KH, PP, MT), pp. 31–40.
QoSAQoSA-2016-PitakratOHG #approach #online #predict
An Architecture-Aware Approach to Hierarchical Online Failure Prediction (TP, DO, AvH, LG), pp. 60–69.
WICSAWICSA-2016-BersaniMTJN
Continuous Architecting of Stream-Based Systems (MMB, FM, DAT, PJ, AN), pp. 146–151.
WICSAWICSA-2016-BuchgeherKHSH #approach #enterprise #testing
Improving Testing in an Enterprise SOA with an Architecture-Based Approach (GB, CK, WH, MS, HH), pp. 231–240.
WICSAWICSA-2016-BuresHKAS #approach #physics #statistics
Statistical Approach to Architecture Modes in Smart Cyber Physical Systems (TB, PH, JK, RAA, DS), pp. 168–177.
WICSAWICSA-2016-DarvasK
System Architecture Recovery Based on Software Structure Model (ÁD, RK), pp. 109–114.
WICSAWICSA-2016-ErnstPBD #legacy
Creating Software Modernization Roadmaps: The Architecture Options Workshop (NAE, MP, FB, PD), pp. 71–80.
WICSAWICSA-2016-FengKCMX #analysis #approach #security #towards
Towards an Architecture-Centric Approach to Security Analysis (QF, RK, YC, RM, LX0), pp. 221–230.
WICSAWICSA-2016-FontanaRZRC #case study #detection #experience
An Experience Report on Detecting and Repairing Software Architecture Erosion (FAF, RR, MZ, CR, RC), pp. 21–30.
WICSAWICSA-2016-GallidabinoPIMS #design #on the
On the Architecture of Liquid Software: Technology Alternatives and Design Space (AG, CP, VI, TM, KS, JPV, AT), pp. 122–127.
WICSAWICSA-2016-GalsterW #empirical #how #question #research
Empirical Research in Software Architecture: How Far have We Come? (MG, DW), pp. 11–20.
WICSAWICSA-2016-GerlitzK16a #analysis #matlab #modelling
Architectural Analysis of MATLAB/Simulink Models with Artshop (TG, SK), pp. 307–310.
WICSAWICSA-2016-GesvindrB #as a service #design #performance
Architectural Tactics for the Design of Efficient PaaS Cloud Applications (DG, BB), pp. 158–167.
WICSAWICSA-2016-Hofig #analysis #component #dependence #summary #tutorial
Tutorial Summary for Dependability Analysis in the Context of Component-Based System Architectures (KH), p. 255.
WICSAWICSA-2016-KazmanCHH #design #summary #tutorial #using
Tutorial Summary for Designing Software Architectures Using ADD 3.0 (RK, HC, SH, OH), p. 253.
WICSAWICSA-2016-LeCCM
Relating Architectural Decay and Sustainability of Software Systems (DML, CC, RC, NM), pp. 178–181.
WICSAWICSA-2016-MartiniB #agile #case study #framework #multi #scalability
A Multiple Case Study of Continuous Architecting in Large Agile Companies: Current Gaps and the CAFFEA Framework (AM, JB), pp. 1–10.
WICSAWICSA-2016-MonotOSW #embedded #realtime
Modern Software Architecture for Embedded Real-Time Devices: High Value, Little Overhead (AM, MO, CS, MW), pp. 201–210.
WICSAWICSA-2016-NicolaescuL #behaviour #consistency #re-engineering
Behavior-Based Architecture Reconstruction and Conformance Checking (AN, HL), pp. 152–157.
WICSAWICSA-2016-NordSDFTO #dependence
Missed Architectural Dependencies: The Elephant in the Room (RLN, RSS, JD, PHF, LT, IO), pp. 41–50.
WICSAWICSA-2016-OquendoLB #behaviour #specification
Specifying Architecture Behavior with SysADL (FO, JCL, TB), pp. 140–145.
WICSAWICSA-2016-SantosMMZ #named #research #traceability
BUDGET: A Tool for Supporting Software Architecture Traceability Research (JCSS, MM, IM, WZ), pp. 303–306.
WICSAWICSA-2016-SchultisEL #ecosystem
Architecture-Violation Management for Internal Software Ecosystems (KBS, CE, DL), pp. 241–246.
WICSAWICSA-2016-Seifermann #analysis #data flow
Architectural Data Flow Analysis (SS), pp. 270–271.
WICSAWICSA-2016-SobernigZ #design #using
Distilling Architectural Design Decisions and Their Relationships Using Frequent Item-Sets (SS, UZ), pp. 61–70.
WICSAWICSA-2016-SolimanGSR #case study #community #developer #stack overflow
Architectural Knowledge for Technology Decisions in Developer Communities: An Exploratory Study with StackOverflow (MS, MG, ARS, MR), pp. 128–133.
WICSAWICSA-2016-SutterFWBCDZ
A Reference Architecture for Software Protection (BDS, PF, BW, CB, MC, Jd, MZ), pp. 291–294.
WICSAWICSA-2016-TaspolatogluH #analysis #security
Context-Based Architectural Security Analysis (ET, RH), pp. 281–282.
WICSAWICSA-2016-ValdeonCT
Defeasible Argumentation of Software Architectures (JMCV, ARC, MT), pp. 115–121.
WICSAWICSA-2016-YuanM #component #detection #interactive #mining #security
Mining Software Component Interactions to Detect Security Threats at the Architectural Level (EY, SM), pp. 211–220.
CSEETCSEET-2016-GeorgasPM #learning #runtime #using #visualisation
Supporting Software Architecture Learning Using Runtime Visualization (JCG, JDP, MJM), pp. 101–110.
EDMEDM-2016-MacLellanHPK #education #learning
The Apprentice Learner architecture: Closing the loop between learning theory and educational data (CJM, EH, RP, KRK), pp. 151–158.
ICSMEICSME-2016-AnicheBTDG #mvc #set #smell
A Validated Set of Smells in Model-View-Controller Architectures (MFA, GB, CT, AvD, MAG), pp. 233–243.
ICSMEICSME-2016-FontanaPRZ #automation #detection #smell
Automatic Detection of Instability Architectural Smells (FAF, IP, RR, MZ), pp. 433–437.
ICSMEICSME-2016-GovinAEDM #case study #how #industrial
How Can We Help Software Rearchitecting Efforts? Study of an Industrial Case (BG, NA, AE, SD, AM), pp. 509–518.
SANERSANER-2016-HuZLG #comparison #comprehension #semantics
Cross-Architecture Binary Semantics Understanding via Similar Code Comparison (YH, YZ0, JL, DG), pp. 57–67.
SCAMSCAM-2016-AnicheTZDG #metric #named
SATT: Tailoring Code Metric Thresholds for Different Software Architectures (MFA, CT, AZ, AvD, MAG), pp. 41–50.
FMFM-2016-HouSTLH #case study #execution #formal method #set
An Executable Formalisation of the SPARCv8 Instruction Set Architecture: A Case Study for the LEON3 Processor (ZH, DS, AT, YL0, KCH), pp. 388–405.
ICMLICML-2016-CisseAB #named
ADIOS: Architectures Deep In Output Space (MC, MAS, SB), pp. 2770–2779.
ICMLICML-2016-PezeshkiFBCB #network
Deconstructing the Ladder Network Architecture (MP, LF, PB, ACC, YB), pp. 2368–2376.
ICMLICML-2016-WangSHHLF #learning #network
Dueling Network Architectures for Deep Reinforcement Learning (ZW0, TS, MH, HvH, ML, NdF), pp. 1995–2003.
ICMLICML-2016-WiatowskiTSGB #feature model
Discrete Deep Feature Extraction: A Theory and New Architectures (TW, MT, AS, PG, HB), pp. 2149–2158.
ICPRICPR-2016-LangenkamperN #classification #detection #learning #online #realtime
COATL - a learning architecture for online real-time detection and classification assistance for environmental data (DL, TWN), pp. 597–602.
ICPRICPR-2016-MurguiaRA #adaptation #dataset #evaluation #modelling #network #parallel
Evaluation of the background modeling method Auto-Adaptive Parallel Neural Network Architecture in the SBMnet dataset (MICM, JARQ, GRA), pp. 137–142.
ICPRICPR-2016-SharmaCH #framework #semantics
A unified framework for semantic matching of architectural floorplans (DS, CC, GH), pp. 2422–2427.
ICPRICPR-2016-ShwetaE0B #identification #interactive #learning
A deep learning architecture for protein-protein Interaction Article identification (S, AE, SS0, PB), pp. 3128–3133.
POPLPOPL-2016-FlurGPSSMDS #concurrent #modelling
Modelling the ARMv8 architecture, operationally: concurrency and ISA (SF, KEG, CP, SS, AS, LM, WD, PS), pp. 608–621.
FSEFSE-2016-ChandramohanXXL #named
BinGo: cross-architecture cross-OS binary search (MC, YX, ZX, YL0, CYC, HBKT), pp. 678–689.
FSEFSE-2016-LinPCDZZ #interactive #recommendation #refactoring #search-based
Interactive and guided architectural refactoring with search-based recommendation (YL0, XP0, YC, DD, DZ, WZ), pp. 535–546.
ICSE-2016-AnishBSCDWG #requirements
Probing for requirements knowledge to stimulate architectural thinking (PRA, BB, AS, JCH, MD, RJW, SG), pp. 843–854.
ICSE-2016-MoCKXF #complexity #maintenance #metric
Decoupling level: a new metric for architectural maintenance complexity (RM, YC, RK, LX0, QF), pp. 499–510.
ICSE-2016-XiaoCKMF #identification
Identifying and quantifying architectural debt (LX0, YC, RK, RM, QF), pp. 488–498.
ASPLOSASPLOS-2016-AbadalCAT #communication #named #performance
WiSync: An Architecture for Fast Synchronization through On-Chip Wireless Communication (SA, ACA, EA, JT), pp. 3–17.
ASPLOSASPLOS-2016-LiaqatJLGTLGS #developer #energy #mobile #named #performance
Sidewinder: An Energy Efficient and Developer Friendly Heterogeneous Architecture for Continuous Mobile Sensing (DL, SJ, EdL, AG, WT, KL, IDMG, MS), pp. 205–215.
ASPLOSASPLOS-2016-MuralidharanRHG #adaptation
Architecture-Adaptive Code Variant Tuning (SM, AR, MWH, MG, PR), pp. 325–338.
CASECASE-2016-KussPHDH #industrial #overview #synthesis
Manufacturing knowledge for industrial robot systems: Review and synthesis of model architecture (AK, JRDP, RH, TD, MH), pp. 348–354.
CASECASE-2016-SteinmetzW #interactive
Skill parametrization approaches and skill architecture for human-robot interaction (FS, RW), pp. 280–285.
CASECASE-2016-YangLWC #hybrid #predict
A hybrid tool life prediction scheme in cloud architecture (HCY, YYL, MNW, FTC), pp. 1160–1165.
CCCC-2016-MajetiMBS #automation #cpu #generative #gpu #kernel #layout
Automatic data layout generation and kernel mapping for CPU+GPU architectures (DM, KSM, RB, VS), pp. 240–250.
CGOCGO-2016-ChenJA
Exploiting recent SIMD architectural advances for irregular applications (LC, PJ, GA), pp. 47–58.
CBSECBSE-2015-KramerLMSB #component #consistency #contract #modelling
Change-Driven Consistency for Component Code, Architectural Models, and Contracts (MEK, ML, DM, SS, EB), pp. 21–26.
CBSECBSE-2015-RuchkinSG #abstraction #hybrid #source code
Architectural Abstractions for Hybrid Programs (IR, BRS, DG), pp. 65–74.
ECSAECSA-2015-AbbasA #adaptation #case study #product line #reasoning #self
Architectural Reasoning Support for Product-Lines of Self-adaptive Software Systems — A Case Study (NA, JA), pp. 20–36.
ECSAECSA-2015-AlvaresRS #component #configuration management
High-Level Language Support for Reconfiguration Control in Component-Based Architectures (FA, ÉR, LS), pp. 3–19.
ECSAECSA-2015-AngelovB #agile #approach #development #education
An Approach to Software Architecting in Agile Software Development Projects in Education (SA, PdB), pp. 157–168.
ECSAECSA-2015-BaresiS #approach
An Architecture-Centric Approach for Dynamic Smart Spaces (LB, AS), pp. 277–284.
ECSAECSA-2015-BelleEDKM #polynomial #problem
The Layered Architecture Recovery as a Quadratic Assignment Problem (ABB, GEB, CD, SK, HM), pp. 339–354.
ECSAECSA-2015-FernandezAP #security
Revisiting Architectural Tactics for Security (EBF, HA, GPG), pp. 55–69.
ECSAECSA-2015-GalsterA #agile #comprehension #development #using
Understanding the Use of Reference Architectures in Agile Software Development Projects (MG, SA), pp. 268–276.
ECSAECSA-2015-GroherW #requirements
Collecting Requirements and Ideas for Architectural Group Decision-Making Based on Four Approaches (IG, RW), pp. 181–192.
ECSAECSA-2015-JagroepWSBVB #case study #energy
An Energy Consumption Perspective on Software Architecture — A Case Study on Architectural Change (EJ, JMEMvdW, RS, LB, RvV, SB), pp. 239–247.
ECSAECSA-2015-Jansen #challenge #ecosystem #interface
Opening the Ecosystem Flood Gates: Architecture Challenges of Opening Interfaces Within a Product Portfolio (SJ), pp. 121–136.
ECSAECSA-2015-KallelTTDK #automation #component #constraints #specification
Automatic Translation of Architecture Constraint Specifications into Components (SK, BT, CT, CD, AHK), pp. 322–338.
ECSAECSA-2015-KiwelekarW #learning
Learning Objectives for a Course on Software Architecture (AWK, HSW), pp. 169–180.
ECSAECSA-2015-MucciniTR #on the #social
On the Social Dimensions of Architectural Decisions (HM, DAT, VSR), pp. 137–145.
ECSAECSA-2015-PahlJ #formal method #modelling #roadmap #towards
Software Architecture for the Cloud — A Roadmap Towards Control-Theoretic, Model-Based Cloud Architecture (CP, PJ), pp. 212–220.
ECSAECSA-2015-RostWNLS #agile #case study #development #experience #industrial
Distilling Best Practices for Agile Development from Architecture Methodology — Experiences from Industrial Application (DR, BW, MN, TL, HS), pp. 259–267.
ECSAECSA-2015-ShahinB #design #quality
Improving the Quality of Architecture Design Through Peer-Reviews and Recombination (MS, MAB), pp. 70–86.
ECSAECSA-2015-StierKGR #analysis #energy #modelling #performance
Model-Based Energy Efficiency Analysis of Software Architectures (CS, AK, HG, RHR), pp. 221–238.
ECSAECSA-2015-TahriDP #deployment #distributed #feature model #modelling #smarttech #using
Using Feature Models for Distributed Deployment in Extended Smart Home Architecture (AT, LD, JP), pp. 285–293.
ECSAECSA-2015-TrubianiGE #analysis #modelling #nondeterminism #performance #traceability
Exploiting Traceability Uncertainty Between Software Architectural Models and Performance Analysis Results (CT, AG, AE), pp. 305–321.
ECSAECSA-2015-WangC #network #performance #social
A Specialised Social Network Software Architecture for Efficient Household Water Use Management (ZW, AC), pp. 146–153.
QoSAQoSA-2015-DurisicST #identification #set #standard
Identifying Optimal Sets of Standardized Architectural Features: A Method and its Automotive Application (DD, MS, MT), pp. 103–112.
QoSAQoSA-2015-KjaergaardK #mobile #on the
On Architectural Qualities and Tactics for Mobile Sensing (MBK, MK), pp. 63–72.
QoSAQoSA-2015-LewisL
A Catalog of Architectural Tactics for Cyber-Foraging (GAL, PL), pp. 53–62.
QoSAQoSA-2015-RostamiSHR #assessment
Architecture-based Assessment and Planning of Change Requests (KR, JS, RH, RHR), pp. 21–30.
QoSAQoSA-2015-WuLG #modelling #performance
Exploring Performance Models of Hadoop Applications on Cloud Architecture (XW, YL, IG), pp. 93–101.
WICSAWICSA-2015-BarnettVT #concept #mobile
A Conceptual Model for Architecting Mobile Applications (SB, RV, AT), pp. 105–114.
WICSAWICSA-2015-CaraccioloLN #approach #consistency
A Unified Approach to Architecture Conformance Checking (AC, MFL, ON), pp. 41–50.
WICSAWICSA-2015-CavalcanteBO #implementation
Supporting Dynamic Software Architectures: From Architectural Description to Implementation (EC, TVB, FO), pp. 31–40.
WICSAWICSA-2015-Chen #delivery #towards
Towards Architecting for Continuous Delivery (LC), pp. 131–134.
WICSAWICSA-2015-CoutoTCL #abstract syntax tree #migration #syntax
Migrating to an Extensible Architecture for Abstract Syntax Trees (LDC, PWVTJ, JWC, KL), pp. 145–154.
WICSAWICSA-2015-EliassonHPL
Architecting in the Automotive Domain: Descriptive vs Prescriptive Architecture (UE, RH, PP, JL), pp. 115–118.
WICSAWICSA-2015-GortonKN #database #scalability
Architecture Knowledge for Evaluating Scalable Databases (IG, JK, AN), pp. 95–104.
WICSAWICSA-2015-GroherW #case study
A Study on Architectural Decision-Making in Context (IG, RW), pp. 11–20.
WICSAWICSA-2015-Harper0
Exploring Software Architecture Context (KEH, JZ), pp. 123–126.
WICSAWICSA-2015-LiLA #identification #technical debt
Architectural Technical Debt Identification Based on Architecture Decisions and Change Scenarios (ZL, PL, PA), pp. 65–74.
WICSAWICSA-2015-MartiniB #technical debt
The Danger of Architectural Technical Debt: Contagious Debt and Vicious Circles (AM, JB), pp. 1–10.
WICSAWICSA-2015-MoCKX #automation #detection #smell
Hotspot Patterns: The Formal Definition and Automatic Detection of Architecture Smells (RM, YC, RK, LX), pp. 51–60.
WICSAWICSA-2015-MusilMWB #framework
An Architecture Framework for Collective Intelligence Systems (JM, AM, DW, SB), pp. 21–30.
WICSAWICSA-2015-NaabBLHEMCK #case study #design #ecosystem #experience #mobile #prototype #scalability #why
Why Data Needs more Attention in Architecture Design — Experiences from Prototyping a Large-Scale Mobile App Ecosystem (MN, SB, TL, SH, AE, DM, RC, FK), pp. 75–84.
WICSAWICSA-2015-PoortV #case study #cost analysis #experience
Architecting in a Solution Costing Context: Early Experiences with Solution-Based Estimating (EP, EvdV), pp. 127–130.
WICSAWICSA-2015-SolimanRZ #design
Enriching Architecture Knowledge with Technology Design Decisions (MS, MR, UZ), pp. 135–144.
WICSAWICSA-2015-TamburriN #social
When Software Architecture Leads to Social Debt (DAT, EDN), pp. 61–64.
WICSAWICSA-2015-ZimmermannWKG #in the cloud #modelling #problem
Architectural Decision Guidance Across Projects — Problem Space Modeling, Decision Backlog Management and Cloud Computing Knowledge (OZ, LW, HK, TG), pp. 85–94.
DRRDRR-2015-BideaultMCP #regular expression #using #word
Spotting handwritten words and REGEX using a two stage BLSTM-HMM architecture (GB, LM, CC, TP).
DRRDRR-2015-MiouletBCPB #multi #network #recognition
Exploring multiple feature combination strategies with a recurrent neural network architecture for off-line handwriting recognition (LM, GB, CC, TP, SB).
DRRDRR-2015-YousefiSBS #2d #comparison #recognition
A comparison of 1D and 2D LSTM architectures for the recognition of handwritten Arabic (MRY, MRS, TMB, DS).
VLDBVLDB-2015-CrottyGDKBCZ #compilation #workflow
An Architecture for Compiling UDF-centric Workflows (AC, AG, KD, TK, CB, , SZ), pp. 1466–1477.
VLDBVLDB-2015-GoelPABMFGMBL #realtime #scalability #towards
Towards Scalable Real-time Analytics: An Architecture for Scale-out of OLxP Workloads (AKG, JP, NA, PB, SM, FF, FG, CM, TB, WL), pp. 1716–1727.
VLDBVLDB-2015-MukherjeeCCDGHH #database #distributed #in memory
Distributed Architecture of Oracle Database In-memory (NM, SC, MC, DD, MG, SH, AH, HJ, JK, KK, TL, JL, NM, VM, AM, AW, JY, MZ), pp. 1630–1641.
ICSMEICSME-2015-GaoH #named #web
ArchFLoc: Locating and explaining architectural features in running web applications (YG, DH), pp. 333–335.
ICSMEICSME-2015-ValeM #dynamic analysis #mining #named #using
Keecle: Mining key architecturally relevant classes using dynamic analysis (LdNV, MdAM), pp. 566–570.
MSRMSR-2015-KouroshfarMBXMC #case study #evolution #quality
A Study on the Role of Software Architecture in the Evolution and Quality of Software (EK, MM, HB, LX, SM, YC), pp. 246–257.
MSRMSR-2015-LeBGLSM #empirical #open source
An Empirical Study of Architectural Change in Open-Source Software Systems (DML, PB, JG, DL, AS, NM), pp. 235–245.
MSRMSR-2015-MirakhorliC #debugging
Modifications, Tweaks, and Bug Fixes in Architectural Tactics (MM, JCH), pp. 377–380.
MSRMSR-2015-WermelingerY #dataset #evolution
An Architectural Evolution Dataset (MW, YY), pp. 502–505.
SANERSANER-2015-Mirakhorli #how #question #re-engineering #what #why
Software architecture reconstruction: Why? What? How? (MM), p. 595.
FMFM-2015-BringerCML #design #privacy #reasoning
Privacy by Design in Practice: Reasoning about Privacy Properties of Biometric System Architectures (JB, HC, DLM, RL), pp. 90–107.
SFMSFM-2015-Alglave #modelling
Modeling of Architectures (JA), pp. 97–145.
AIIDEAIIDE-2015-ChurchillB #game studies #robust #scalability
Hierarchical Portfolio Search: Prismata's Robust AI Architecture for Games with Large Search Spaces (DC, MB), pp. 16–22.
CoGCIG-2015-ChauvinLDN #process
Making sense of emergent narratives: An architecture supporting player-triggered narrative processes (SC, GL, JYD, SN), pp. 91–98.
CHICHI-2015-SchwarzMH #feedback #generative #interactive #probability #user interface
An Architecture for Generating Interactive Feedback in Probabilistic User Interfaces (JS, JM, SEH), pp. 2545–2554.
HCIDUXU-IXD-2015-Alomran #web
Building Information Architecture Criteria for Assessing and Evaluating Universities’ Web Portals (HIA), pp. 131–141.
HCIDUXU-IXD-2015-EndaraL #design #interface #mobile
Designing an Interface Agent-Based Architecture for Creating a Mobile System of Medical Care (AEE, CJPdL), pp. 606–615.
HCIDUXU-IXD-2015-KoshiyamaPR #analysis #repository #usability
Analysis of Usability and Information Architecture of the UFRN Institutional Repository (DK, ALSdP, JGSR), pp. 197–207.
HCIHCI-UC-2015-FaliagkaLRS #usability
Usability and Aesthetics: The Case of Architectural Websites (EF, EL, MR, SS), pp. 54–64.
HCIHIMI-IKD-2015-PiconeP #synthesis
A New Information Architecture: A Synthesis of Structure, Flow, and Dialectic (RARP, BP), pp. 320–331.
HCILCT-2015-VallsRF #design #education #game studies #roadmap
E-Learning and Serious Games — New Trends in Architectural and Urban Design Education (FV, ER, DF), pp. 632–643.
ICEISICEIS-v2-2015-DuarteOB #approach
Smart Cities — An Architectural Approach (AD, CO, JB), pp. 563–573.
ICEISICEIS-v2-2015-KhlifKKD #approach #multi #uml
A UML-based Approach for Multi-scale Software Architectures (IK, MHK, AHK, KD), pp. 374–381.
ICEISICEIS-v2-2015-NetoKCBM #android #case study #design
Unveiling the Architecture and Design of Android Applications — An Exploratory Study (ECN, UK, RC, RB, LM), pp. 201–211.
ICEISICEIS-v2-2015-SmirnovP #hybrid #network #peer-to-peer #privacy #recommendation
Privacy-preserving Hybrid Peer-to-Peer Recommendation System Architecture — Locality-Sensitive Hashing in Structured Overlay Network (AVS, AP), pp. 532–542.
ICEISICEIS-v3-2015-BhatRM #enterprise #evolution #metric #tool support
Tool Support for Analyzing the Evolution of Enterprise Architecture Metrics (MB, TR, FM), pp. 154–161.
ICEISICEIS-v3-2015-CruzV #enterprise #towards
Towards a Reference Enterprise Application Architecture for the Customer Relationship Management Domain (AC, AV), pp. 185–195.
ICEISICEIS-v3-2015-GeorgeF #component #enterprise
Enterprise Architecture Components for Cloud Service Consumers (EG, GF), pp. 360–365.
ICEISICEIS-v3-2015-NetoH #composition #lifecycle #web #web service
e-Business Architecture for Web Service Composition based on e-Contract Lifecycle (JBN, CH), pp. 276–283.
ICEISICEIS-v3-2015-PonsardM #case study #enterprise #lessons learnt
Driving the Adoption of Enterprise Architecture Inside Small Companies — Lessons Learnt from a Long Term Case Study (CP, AM), pp. 334–339.
ICEISICEIS-v3-2015-SilvaMLFNS #experience #health #information management #modelling #using
An Experience of using SoaML for Modeling a Service-Oriented Architecture for Health Information Systems (FGS, JSSdM, JdSL, JMSF, RPCdN, MSS), pp. 322–327.
ICEISICEIS-v3-2015-Syynimaa #enterprise #modelling
Modelling the Resistance of Enterprise Architecture Adoption — Linking Strategic Level of Enterprise Architecture to Organisational Changes and Change Resistance (NS), pp. 143–153.
ICEISICEIS-v3-2015-WissotzkiTS #enterprise #overview
A Survey on Enterprise Architecture Management in Small and Medium Enterprises (MW, FT, AS), pp. 213–220.
ICMLICML-2015-JozefowiczZS #empirical #network
An Empirical Exploration of Recurrent Network Architectures (RJ, WZ, IS), pp. 2342–2350.
KDDKDD-2015-ChangHTQAH #network
Heterogeneous Network Embedding via Deep Architectures (SC, WH, JT, GJQ, CCA, TSH), pp. 119–128.
KDDKDD-2015-Schleier-Smith #agile #machine learning #realtime
An Architecture for Agile Machine Learning in Real-Time Applications (JSS), pp. 2059–2068.
KDDKDD-2015-VeeriahDQ #learning #predict
Deep Learning Architecture with Dynamically Programmed Layers for Brain Connectome Prediction (VV, RD, GJQ), pp. 1205–1214.
SEKESEKE-2015-AdjoyanS #product line
An Architecture Description Language for Dynamic Service-Oriented Product Lines (SA, AS), pp. 231–236.
SEKESEKE-2015-AdornesGLF #distributed #domain-specific language #memory management #pipes and filters
A Unified MapReduce Domain-Specific Language for Distributed and Shared Memory Architectures (DA, DG, CL, LGF), pp. 619–624.
SEKESEKE-2015-CheP #design #development #evaluation #paradigm
An Evaluation Study of Architectural Design Decision Paradigms in Global Software Development (MC, DEP), pp. 158–163.
SEKESEKE-2015-DingLTV #communication #empirical
Causes of Architecture Changes: An Empirical Study through the Communication in OSS Mailing Lists (WD, PL, AT, HvV), pp. 403–408.
SEKESEKE-2015-FariasGSOV #composition #towards
Toward an Architecture for Model Composition Techniques (KF, LG, MS, TCO, MV), pp. 656–659.
SEKESEKE-2015-LaserRDOZ #case study #evolution #experience #product line
Architectural Evolution of a Software Product Line: an experience report (ML, EMR, ARPD, FMdO, AFZ), pp. 217–222.
SEKESEKE-2015-LiuL
An Exploration of System Architecture on Integrating Building Management System in High-Rise Building (ZL, YL), pp. 342–345.
SEKESEKE-2015-MokniHUVZ #component #evolution #multi
An evolution management model for multi-level component-based software architectures (AM, MH, CU, SV, HYZ), pp. 674–679.
SEKESEKE-2015-RamosCRSAP #analysis #recommendation
Recommendation in the Digital TV Domain: an Architecture based on Textual Description Analysis (FBAR, AAMC, RRdS, GS, HOdA, AP), pp. 99–104.
SEKESEKE-2015-RibeiroFVOVF #named #reuse #semantics
APRImora: A Semantic Architecture for Patterns Reuse (AAdAR, JLF, LFdMV, AdPO, RMMBV, EJdSF), pp. 465–470.
SPLCSPLC-2015-FederleFCV #design #named #product line #search-based
OPLA-tool: a support tool for search-based product line architecture design (ÉLF, TdNF, TEC, SRV), pp. 370–373.
SPLCSPLC-2015-McGeeM #composition #cyber-physical
Composition of proof-carrying architectures for cyber-physical systems (ETM, JDM), pp. 419–426.
SPLCSPLC-2015-SmileySD #evolution #industrial #product line
Evolving an industrial analytics product line architecture (KS, WS, AD), pp. 263–272.
SPLCSPLC-2015-TraskR #modelling #product line
Leveraging model driven engineering in software product line architectures (BT, AR), p. 392.
ECOOPECOOP-2015-DongolDGS #concurrent #correctness #manycore
Defining Correctness Conditions for Concurrent Objects in Multicore Architectures (BD, JD, LG, GS), pp. 470–494.
AdaEuropeAdaEurope-2015-NelissenPP #monitoring #novel #performance #runtime
A Novel Run-Time Monitoring Architecture for Safe and Efficient Inline Monitoring (GN, DP, LMP), pp. 66–82.
ASEASE-2015-Salama #adaptation #self
Stability of Self-Adaptive Software Architectures (MS), pp. 886–889.
ASEASE-2015-WangD #behaviour #message passing #using
A Message-Passing Architecture without Public Ids Using Send-to-Behavior (ESSW, ZD), pp. 902–905.
ESEC-FSEESEC-FSE-2015-KoskiM #lessons learnt #quality #requirements
Requirements, architecture, and quality in a mission critical system: 12 lessons learned (AK, TM), pp. 1018–1021.
ESEC-FSEESEC-FSE-2015-NasrBAFBSD #matrix #named
MatrixMiner: a red pill to architect informal product descriptions in the matrix (SBN, GB, MA, JBFF, BB, NS, JMD), pp. 982–985.
ESEC-FSEESEC-FSE-2015-Xiao
Quantifying architectural debts (LX), pp. 1030–1033.
ICSEICSE-v1-2015-WatermanNA #agile #how
How Much Up-Front? A Grounded theory of Agile Architecture (MW, JN, GA), pp. 347–357.
ICSEICSE-v2-2015-Assuncao #migration #product line #search-based
Search-Based Migration of Model Variants to Software Product Line Architectures (WKGA), pp. 895–898.
ICSEICSE-v2-2015-Caracciolo #approach #automation #constraints #testing
A Unified Approach to Automatic Testing of Architectural Constraints (AC), pp. 871–874.
ICSEICSE-v2-2015-Cavalcante #development #on the
On the Architecture-Driven Development of Software-Intensive Systems-of-Systems (EC), pp. 899–902.
ICSEICSE-v2-2015-GoldsteinS #automation #validation
Automatic and Continuous Software Architecture Validation (MG, IS), pp. 59–68.
ICSEICSE-v2-2015-Hachem #analysis #data access #modelling #towards
Towards Model Driven Architecture and Analysis of System of Systems Access Control (JEH), pp. 867–870.
ICSEICSE-v2-2015-KazmanCMFXHFS #case study #technical debt
A Case Study in Locating the Architectural Roots of Technical Debt (RK, YC, RM, QF, LX, SH, VF, AS), pp. 179–188.
ICSEICSE-v2-2015-LutellierCGTRMK #dependence #using
Comparing Software Architecture Recovery Techniques Using Accurate Dependencies (TL, DC, JG, LT, DR, NM, RK), pp. 69–78.
ICSEICSE-v2-2015-RupakhetiC #case study #education #experience #student
Teaching Software Architecture to Undergraduate Students: An Experience Report (CRR, SVC), pp. 445–454.
ICSEICSE-v2-2015-SchroederH0HLM #case study #design #evaluation #industrial #multi #product line #self
Design and Evaluation of a Customizable Multi-Domain Reference Architecture on Top of Product Lines of Self-Driving Heavy Vehicles — An Industrial Case Study (JS, DH, CB, CJH, LL, AM), pp. 189–198.
ICSEICSE-v2-2015-SongENCFC #adaptation #on the
On Architectural Diversity of Dynamic Adaptive Systems (HS, AE, VN, FC, FF, SC), pp. 595–598.
SACSAC-2015-Ahn #object-oriented #re-engineering #runtime
Reconstruction of runtime software architecture for object-oriented systems (HA), pp. 1668–1669.
SACSAC-2015-BassoMJV #design #evaluation #privacy #requirements #web
Requirements, design and evaluation of a privacy reference architecture for web applications and services (TB, RM, MJ, MV), pp. 1425–1432.
SACSAC-2015-CamaraGS0 #adaptation #game studies #model checking #probability #self
Optimal planning for architecture-based self-adaptation via model checking of stochastic games (JC, DG, BRS, AP), pp. 428–435.
SACSAC-2015-ConstantinouS #evolution #metric #reuse
Architectural stability and evolution measurement for software reuse (EC, IS), pp. 1580–1585.
SACSAC-2015-DiasGKT #3d #adaptation #clustering #collaboration
A dynamic-adaptive architecture for 3d collaborative virtual environments based on graphic clusters (DRCD, MdPG, TWK, LCT), pp. 480–487.
SACSAC-2015-DiazCMR #model checking #verification #web #web service
Model-checking verification of publish-subscribe architectures in web service contexts (GD, MEC, HM, VVR), pp. 1688–1695.
SACSAC-2015-GassaraRJ #approach #deployment #modelling #multi
A multi-scale modeling approach for software architecture deployment (AG, IBR, MJ), pp. 1405–1410.
SACSAC-2015-GoncalvesON
A meta-process to construct software architectures for system of systems (MBG, FO, EYN), pp. 1411–1416.
SACSAC-2015-GuessiMAON #named #ontology
OntolAD: a formal ontology for architectural descriptions (MG, DAM, GA, FO, EYN), pp. 1417–1424.
SACSAC-2015-GuessiNBFON #overview
A systematic literature review on the description of software architectures for systems of systems (MG, VVGN, TB, KRF, FO, EYN), pp. 1433–1440.
SACSAC-2015-JoshiSIY #behaviour #design #embedded #functional #modelling
Mapping functional behavior onto architectural model in a model driven embedded system design (PJ, SKS, JPT, HY), pp. 1624–1630.
SACSAC-2015-Khenfri #approach #optimisation #synthesis
A holistic optimization approach for the synthesis of AUTOSAR E/E architecture (FK), pp. 1960–1961.
SACSAC-2015-KhlifKKD #approach #modelling #multi #towards
Towards a multi-scale modeling approach for software architectures (IK, MHK, AHK, KD), pp. 1468–1470.
SACSAC-2015-LeeKKE #algorithm #hybrid #memory management #named
M-CLOCK: migration-optimized page replacement algorithm for hybrid DRAM and PCM memory architecture (ML, DK, JK, YIE), pp. 2001–2006.
SACSAC-2015-SilvaBNCS #design #quality
A decision-making tool to support architectural designs based on quality attributes (ICLS, PHSB, BFdSN, EC, AAS), pp. 1457–1463.
SACSAC-2015-TizzeiABC #case study #product line #tool support #using
Architecting cloud tools using software product line techniques: an exploratory study (LPT, LGA, MdB, RFGC), pp. 1441–1448.
SACSAC-2015-VianaTVAGA #as a service #platform
A service-oriented architecture for billing resources in IaaS cloud platforms (NPV, FT, RV, RMCA, VCG, REA), pp. 1719–1721.
ASPLOSASPLOS-2015-AgrawalDPSF
Architectural Support for Dynamic Linking (VA, AD, TP, YS, MF), pp. 691–702.
ASPLOSASPLOS-2015-ChisnallRWWVMRD #automaton #c
Beyond the PDP-11: Architectural Support for a Memory-Safe C Abstract Machine (DC, CR, RNMW, JW, MV, SWM, MR, BD, PGN), pp. 117–130.
ASPLOSASPLOS-2015-DautenhahnKDCA #kernel #operating system
Nested Kernel: An Operating System Architecture for Intra-Kernel Privilege Separation (ND, TK, WD, JC, VSA), pp. 191–206.
ASPLOSASPLOS-2015-DhawanHRVCSKPD #metadata
Architectural Support for Software-Defined Metadata Processing (UD, CH, RR, NV, SC, JMS, TFKJ, BCP, AD), pp. 487–502.
ASPLOSASPLOS-2015-Lee #cyber-physical
Architectural Support for Cyber-Physical Systems (EAL), p. 1.
ASPLOSASPLOS-2015-MaSSLYHXYCWZB #on-demand #programmable
Supporting Differentiated Services in Computers via Programmable Architecture for Resourcing-on-Demand (PARD) (JM, XS, NS, YL, ZY, BH, TX, ZY, YC, HW, LZ, YB), pp. 131–143.
CASECASE-2015-AndersenDBH #flexibility #performance #reuse
An architecture for efficient reuse in flexible production scenarios (RHA, LD, ABB, JH), pp. 151–157.
CASECASE-2015-LiYTC #fault
Extracting relevant features for diagnosing machine tool faults in cloud architecture (YYL, HCY, HT, FTC), pp. 1434–1439.
CASECASE-2015-NakhaeiniaPHK #hybrid #mobile #navigation
A hybrid control architecture for autonomous mobile robot navigation in unknown dynamic environment (DN, PP, TSH, BK), pp. 1274–1281.
CGOCGO-2015-HasabnisQS #code generation #correctness #specification
Checking correctness of code generator architecture specifications (NH, RQ, RS), pp. 167–178.
CGOCGO-2015-KimHSLH #concurrent #cpu #modelling #programming #scheduling #thread
Locality-centric thread scheduling for bulk-synchronous programming models on CPU architectures (HSK, IEH, JAS, SSL, WmWH), pp. 257–268.
DACDAC-2015-BalajiFDGA #abstraction #cyber-physical #modelling
Models, abstractions, and architectures: the missing links in cyber-physical systems (BB, MAAF, NDD, RKG, YA), p. 6.
DACDAC-2015-BokhariJSHP #manycore #named
SuperNet: multimode interconnect architecture for manycore chips (HB, HJ, MS, JH, SP), p. 6.
DACDAC-2015-ChenC
Routing-architecture-aware analytical placement for heterogeneous FPGAs (SYC, YWC), p. 6.
DACDAC-2015-CongGHRY #network
On-chip interconnection network for accelerator-rich architectures (JC, MG, YH, GR, BY), p. 6.
DACDAC-2015-GrafRGTP #component #design #platform #robust
Robust design of E/E architecture component platforms (SG, SR, MG, JT, DP), p. 6.
DACDAC-2015-MundhenkSLFC #analysis #model checking #probability #security #using
Security analysis of automotive architectures using probabilistic model checking (PM, SS, ML, SAF, SC), p. 6.
DACDAC-2015-Peeters #security
SoC security architecture: current practices and emerging needs (EP), p. 6.
DACDAC-2015-PengKPPJCL #3d #delivery #design #policy
Design, packaging, and architectural policy co-optimization for DC power integrity in 3D DRAM (YP, BWK, YSP, KIP, SJJ, JSC, SKL), p. 6.
DACDAC-2015-PolianF #automation #challenge #design #quantum #scalability
Design automation challenges for scalable quantum architectures (IP, AGF), p. 6.
DACDAC-2015-RoloffSHT #parallel #simulation
Execution-driven parallel simulation of PGAS applications on heterogeneous tiled architectures (SR, DS, FH, JT), p. 6.
DACDAC-2015-WangJSX #adaptation #human-computer #interface
Adaptive compressed sensing architecture in wireless brain-computer interface (AW, ZJ, CS, WX), p. 6.
DACDAC-2015-WangLZYW #configuration management #control flow
Acceleration of control flows on reconfigurable architecture with a composite method (JW, LL, JZ, SY, SW), p. 6.
DACDAC-2015-WolfF #continuation #question #what
What don’t we know about CPS architectures? (MW, EF), p. 4.
DATEDATE-2015-AhsanK #optimisation #quantum #using
Optimization of quantum computer architecture using a resource-performance simulator (MA, JK), pp. 1108–1113.
DATEDATE-2015-AntoniadisKEBS #memory management #on the #optimisation #statistics
On the statistical memory architecture exploration and optimization (CA, GK, NEE, APB, GIS), pp. 543–548.
DATEDATE-2015-BajajNMS #cyber-physical #effectiveness #reliability
Optimized selection of reliable and cost-effective cyber-physical system architectures (NB, PN, MM, ALSV), pp. 561–566.
DATEDATE-2015-DinizSDBH #hardware #performance #standard #video
A deblocking filter hardware architecture for the high efficiency video coding standard (CMD, MS, FVD, SB, JH), pp. 1509–1514.
DATEDATE-2015-HamdiouiXNTBCJC #data-driven #in memory
Memristor based computation-in-memory architecture for data-intensive applications (SH, LX, HADN, MT, KB, HC, HJ, FC, DW, LE, JvL), pp. 1718–1725.
DATEDATE-2015-HanyuSOMNM #in memory #paradigm #power management #reliability #towards
Spintronics-based nonvolatile logic-in-memory architecture towards an ultra-low-power and highly reliable VLSI computing paradigm (TH, DS, NO, SM, MN, AM), pp. 1006–1011.
DATEDATE-2015-HuangTTC
Feedback-bus oscillation ring: a general architecture for delay characterization and test of interconnects (SYH, MTT, KHHT, WTC), pp. 924–927.
DATEDATE-2015-Ibing #execution #symbolic computation
Architecture description language based retargetable symbolic execution (AI), pp. 241–246.
DATEDATE-2015-JoostenS #automation #communication #design #modelling
Automatic extraction of micro-architectural models of communication fabrics from register transfer level designs (SJCJ, JS), pp. 1413–1418.
DATEDATE-2015-KaneYHSS #interface #realtime
A neural machine interface architecture for real-time artificial lower limb control (JK, QY, RH, WS, MS), pp. 633–636.
DATEDATE-2015-LocatelliVMFVKK #energy
Spintronic devices as key elements for energy-efficient neuroinspired architectures (NL, AFV, AM, JSF, DV, JVK, JOK, WZ, JG, DQ), pp. 994–999.
DATEDATE-2015-LoCH #clustering #fault
Architecture of ring-based redundant TSV for clustered faults (WHL, KC, TH), pp. 848–853.
DATEDATE-2015-MajumderLBP #analysis #manycore #probability
NoC-enabled multicore architectures for stochastic analysis of biomolecular reactions (TM, XL, PB, PP), pp. 1102–1107.
DATEDATE-2015-MajumderPK #biology #manycore
On-chip network-enabled many-core architectures for computational biology applications (TM, PPP, AK), pp. 259–264.
DATEDATE-2015-MavropoulosKN #configuration management
A defect-aware reconfigurable cache architecture for low-vccmin DVFS-enabled systems (MM, GK, DN), pp. 417–422.
DATEDATE-2015-MineoRPACM #energy #performance #self
A closed loop transmitting power self-calibration scheme for energy efficient WiNoC architectures (AM, MSR, MP, GA, VC, MNM), pp. 513–518.
DATEDATE-2015-PajouhiFR #co-evolution #design #reliability
Device/circuit/architecture co-design of reliable STT-MRAM (ZP, XF, KR), pp. 1437–1442.
DATEDATE-2015-RawatS #concurrent #hybrid #manycore #memory management #thread
Enabling multi-threaded applications on hybrid shared memory manycore architectures (TR, AS), pp. 742–747.
DATEDATE-2015-ReehmanCCS #approach #hardware #memory management #parallel
In-place memory mapping approach for optimized parallel hardware interleaver architectures (SUR, CC, PC, AS), pp. 896–899.
DATEDATE-2015-RosenMH #implementation #multi #reliability
Semiautomatic implementation of a bioinspired reliable analog task distribution architecture for multiple analog cores (JvR, MM, LH), pp. 912–915.
DATEDATE-2015-RustLP #approximate
QR-decomposition architecture based on two-variable numeric function approximation (JR, FL, SP), pp. 892–895.
DATEDATE-2015-SchaffnerGSB #image #linear
DRAM or no-DRAM?: exploring linear solver architectures for image domain warping in 28 nm CMOS (MS, FKG, AS, LB), pp. 707–712.
DATEDATE-2015-ShutoYS #case study #comparative #using
Comparative study of power-gating architectures for nonvolatile FinFET-SRAM using spintronics-based retention technology (YS, SY, SS), pp. 866–871.
DATEDATE-2015-TuYOLW #configuration management #hardware #named
RNA: a reconfigurable architecture for hardware neural acceleration (FT, SY, PO, LL, SW), pp. 695–700.
DATEDATE-2015-WeiDC #memory management #multi #scalability
A scalable and high-density FPGA architecture with multi-level phase change memory (CW, AD, DC), pp. 1365–1370.
DATEDATE-2015-YaoWGMCZ #manycore #named
SelectDirectory: a selective directory for cache coherence in many-core architectures (YY, GW, ZG, TM, WC, NZ), pp. 175–180.
HPCAHPCA-2015-ChandramoorthyT
Exploring architectural heterogeneity in intelligent vision systems (NC, GT, KMI, AP, SA, SAH, MC, JS, VN, LB), pp. 1–12.
HPCAHPCA-2015-FarahaniAMK #memory management #named #standard
NDA: Near-DRAM acceleration architecture leveraging commodity DRAM devices and standard memory modules (AFF, JHA, KM, NSK), pp. 283–295.
HPCAHPCA-2015-HayesPUCV #algorithm #novel #sorting
VSR sort: A novel vectorised sorting algorithm & architecture extensions for future microprocessors (TH, OP, OSÜ, AC, MV), pp. 26–38.
HPCAHPCA-2015-LengZR #gpu
GPU voltage noise: Characterization and hierarchical smoothing of spatial and temporal voltage noise interference in GPU architectures (JL, YZ, VJR), pp. 161–173.
HPCAHPCA-2015-MaZLSLLS0N #energy
Architecture exploration for ambient energy harvesting nonvolatile processors (KM, YZ, SL, KS, XL, YL, JS, YX, VN), pp. 526–537.
HPCAHPCA-2015-MeswaniBRSIL #approach #memory management
Heterogeneous memory architectures: A HW/SW approach for mixing die-stacked and off-package memories (MRM, SB, DR, JS, MI, GHL), pp. 126–136.
HPCAHPCA-2015-NeuwirthFNB #communication #scalability
Scalable communication architecture for network-attached accelerators (SN, DF, MN, UB), pp. 627–638.
HPCAHPCA-2015-SonLSKKA #named
CiDRA: A cache-inspired DRAM resilience architecture (YHS, SL, OS, SK, NSK, JHA), pp. 502–513.
HPCAHPCA-2015-WangM #approach #manycore #named #resource management #scalability
XChange: A market-based approach to scalable dynamic multi-resource allocation in multicore architectures (XW, JFM), pp. 113–125.
HPCAHPCA-2015-WangPBAK #alloy #memory management #named
Alloy: Parallel-serial memory channel architecture for single-chip heterogeneous processor systems (HW, CJP, GB, JHA, NSK), pp. 296–308.
HPCAHPCA-2015-XiJBWB #fault
Quantifying sources of error in McPAT and potential impacts on architectural studies (SLX, HMJ, PB, GYW, DMB), pp. 577–589.
HPCAHPCA-2015-XuNMBZY0 #challenge #memory management
Overcoming the challenges of crossbar resistive memory architectures (CX, DN, NM, RB, TZ, SY, YX), pp. 476–488.
LCTESLCTES-2015-BairdGSWU #optimisation #pipes and filters
Optimizing Transfers of Control in the Static Pipeline Architecture (RB, PG, MS, DBW, GRU), p. 10.
LCTESLCTES-2015-WoitheK #named #programming
TrilobiteG: A programming architecture for autonomous underwater vehicles (HCW, UK), p. 10.
PDPPDP-2015-BravoSW #algorithm #implementation #performance
Efficient Implementation of a Fast Viewshed Algorithm on SIMD Architectures (JCB, TS, JW), pp. 199–202.
PDPPDP-2015-CheshmiMVTT #clustering
A Clustered GALS NoC Architecture with Communication-Aware Mapping (KC, SM, DV, DT, JT), pp. 425–429.
PDPPDP-2015-GlantzMN #algorithm #grid #parallel #process
Algorithms for Mapping Parallel Processes onto Grid and Torus Architectures (RG, HM, AN), pp. 236–243.
PDPPDP-2015-JeongLK #streaming
A High-Performance Media Streaming Architecture Based on KVM (WYJ, YL, JSK), pp. 203–206.
CBSECBSE-2014-BliudzeSBJ
Architecture internalisation in BIP (SB, JS, MB, MJ), pp. 169–178.
CBSECBSE-2014-BuresHP #continuation #modelling #product line #runtime
Strengthening architectures of smart CPS by modeling them as runtime product-lines (TB, PH, FP), pp. 91–96.
CBSECBSE-2014-HorcasPF #injection #quality #variability
Injecting quality attributes into software architectures with the common variability language (JMH, MP, LF), pp. 35–44.
CBSECBSE-2014-NoorshamsRRKR #modelling #performance #predict #statistics
Enriching software architecture models with statistical models for performance prediction in modern storage environments (QN, RR, AR, SK, RHR), pp. 45–54.
CBSECBSE-2014-OzkayaK #component #reuse
Design-by-contract for reusable components and realizable architectures (MO, CK), pp. 129–138.
CBSECBSE-2014-SpacekDT #component #implementation #modelling #programming #prototype
A component-based meta-level architecture and prototypical implementation of a reflective component-based programming and modeling language (PS, CD, CT), pp. 13–22.
CBSECBSE-2014-SurajbaliGC #configuration management #flexibility #named
AO-OpenCom: an AO-middleware architecture supporting flexible dynamic reconfiguration (BS, PG, GC), pp. 75–84.
ECSAECSA-2014-AbukwaikTR #information management #problem
Interoperability-Related Architectural Problems and Solutions in Information Systems: A Scoping Study (HA, DT, HDR), pp. 308–323.
ECSAECSA-2014-AngelovH #towards
Towards an Improved Stakeholder Management for Software Reference Architectures (SA, RH), pp. 90–97.
ECSAECSA-2014-AnvaariZ #automation #design #development #framework
Semi-automated Design Guidance Enhancer (SADGE): A Framework for Architectural Guidance Development (MA, OZ), pp. 41–49.
ECSAECSA-2014-BennaceurI #distributed
Layered Connectors — Revisiting the Formal Basis of Architectural Connection for Complex Distributed Systems (AB, VI), pp. 283–299.
ECSAECSA-2014-CaraccioloLN #how #quality #question #requirements #validation
How Do Software Architects Specify and Validate Quality Requirements? (AC, MFL, ON), pp. 374–389.
ECSAECSA-2014-CavalcanteOB #code generation #implementation
Architecture-Based Code Generation: From π-ADL Architecture Descriptions to Implementations in the Go Language (EC, FO, TVB), pp. 130–145.
ECSAECSA-2014-ChiprianovFSP #distributed #embedded #modelling #performance #predict #realtime
Architectural Support for Model-Driven Performance Prediction of Distributed Real-Time Embedded Systems of Systems (VC, KEF, CS, GP), pp. 357–364.
ECSAECSA-2014-FreudenreichAFB #complexity #policy #using
Using Policies for Handling Complexity of Event-Driven Architectures (TF, SA, SF, APB), pp. 114–129.
ECSAECSA-2014-GerdesLR #design #evolution #legacy
Combining Architectural Design Decisions and Legacy System Evolution (SG, SL, MR), pp. 50–57.
ECSAECSA-2014-GurbuzTE #design #safety
Safety Perspective for Supporting Architectural Design of Safety-Critical Systems (HGG, BT, NPE), pp. 365–373.
ECSAECSA-2014-HeroldM #consistency #recommendation #refactoring
Recommending Refactorings to Re-establish Architectural Consistency (SH, MM), pp. 390–397.
ECSAECSA-2014-JamrozPW #adaptation #development #enterprise
Adapting Enterprise Architecture at a Software Development Company and the Resultant Benefits (KJ, DP, JW), pp. 170–185.
ECSAECSA-2014-KabbedijkPJB #comparison #multitenancy
Multi-tenant Architecture Comparison (JK, MP, SJ, SB), pp. 202–209.
ECSAECSA-2014-KriechbaumBW #development #enterprise
Service Development and Architecture Management for an Enterprise SOA (TK, GB, RW), pp. 186–201.
ECSAECSA-2014-LewisLP #overview #perspective
Architecture Strategies for Cyber-Foraging: Preliminary Results from a Systematic Literature Review (GAL, PL, GP), pp. 154–169.
ECSAECSA-2014-MachadoSBLN #named #ubiquitous
RA-Ubi: A Reference Architecture for Ubiquitous Computing (CAM, ES, TVB, JCL, EYN), pp. 98–105.
ECSAECSA-2014-MusilMB #coordination #metamodelling #social #towards #web
Towards a Coordination-Centric Architecture Metamodel for Social Web Applications (JM, AM, SB), pp. 106–113.
ECSAECSA-2014-OliveiraLFON #design #process #towards
Towards a Process to Design Architectures of Service-Oriented Robotic Systems (LBRdO, EL, KRF, FO, EYN), pp. 218–225.
ECSAECSA-2014-OzturkSSA #estimation #refactoring
Effort Estimation for Architectural Refactoring to Introduce Module Isolation (, ES, HS, BA), pp. 300–307.
ECSAECSA-2014-PlateniusBS
Integrating Service Matchers into a Service Market Architecture (MCP, SB, WS), pp. 210–217.
ECSAECSA-2014-RekhaM
Suitability of Software Architecture Decision Making Methods for Group Decisions (VSR, HM), pp. 17–32.
ECSAECSA-2014-SolimanR #interactive #modelling
Modeling the Interactions between Decisions within Software Architecture Knowledge (MS, MR), pp. 33–40.
ECSAECSA-2014-SurajbaliGC #configuration management #consistency #framework
A Consistency Framework for Dynamic Reconfiguration in AO-Middleware Architectures (BS, PG, GC), pp. 398–405.
ECSAECSA-2014-XiongFPM #as a service #cost analysis #performance #platform #scalability
Scalable Architectures for Platform-as-a-Service Clouds: Performance and Cost Analysis (HX, FF, CP, NM), pp. 226–233.
ECSAECSA-2014-XuL #co-evolution #synthesis
Co-evolving Pattern Synthesis and Class Responsibility Assignment in Architectural Synthesis (YX, PL), pp. 74–81.
QoSAQoSA-2014-BrunnertWK #enterprise #modelling #performance #using
Using architecture-level performance models as resource profiles for enterprise applications (AB, KW, HK), pp. 53–62.
QoSAQoSA-2014-CamaraCLV #adaptation #empirical #evaluation #self
Empirical resilience evaluation of an architecture-based self-adaptive software system (JC, PC, RdL, MV), pp. 63–72.
QoSAQoSA-2014-ChavarriagaNCJ #in the cloud
Architectural tactics support in cloud computing providers: the jelastic case (JC, CN, RC, VJ), pp. 13–22.
QoSAQoSA-2014-DajsurenGSWVB #formal method
Formalizing correspondence rules for automotive architecture views (YD, CMG, AS, AW, BV, MvdB), pp. 129–138.
QoSAQoSA-2014-FouquetNDBBPM #design #distributed #evolution #using
Designing and evolving distributed architecture using kevoree (FF, GN, ED, JB, OB, NP, BM), pp. 147–148.
QoSAQoSA-2014-JohnsonC #evolution #performance #smt #specification
Efficient re-resolution of SMT specifications for evolving software architectures (KJ, RC), pp. 93–102.
QoSAQoSA-2014-LiLAGA #composition #empirical #metric #technical debt
An empirical investigation of modularity metrics for indicating architectural technical debt (ZL, PL, PA, NG, AA), pp. 119–128.
QoSAQoSA-2014-OlssonTWE #consistency #evaluation #game studies
Evaluation of a static architectural conformance checking method in a line of computer games (TO, DT, AW, ME), pp. 113–118.
QoSAQoSA-2014-RaatikainenSM #approach #case study #evaluation #experience #lightweight #maturity
Architecture management and evaluation in mature products: experiences from a lightweight approach (MR, JS, TM), pp. 73–82.
WICSAWICSA-2014-AliBGKP #adaptation #estimation
Architecture Adaptation Based on Belief Inaccuracy Estimation (RAA, TB, IG, JK, FP), pp. 87–90.
WICSAWICSA-2014-AmorimAM #ecosystem #scalability
Scalability of Ecosystem Architectures (SdSA, ESdA, JDM), pp. 49–52.
WICSAWICSA-2014-BaroniMMW #modelling #semantics #wiki
Architecture Description Leveraging Model Driven Engineering and Semantic Wikis (AB, HM, IM, EW), pp. 251–254.
WICSAWICSA-2014-Braude #cumulative #development
Cumulative Software Architecture Development (EJB), pp. 163–166.
WICSAWICSA-2014-ChauhanB #as a service #development #tool support #towards
Towards a Reference Architecture to Provision Tools as a Service for Global Software Development (MAC, MAB), pp. 167–170.
WICSAWICSA-2014-ChenB #agile #comprehension #development #refactoring #towards
Towards an Evidence-Based Understanding of Emergence of Architecture through Continuous Refactoring in Agile Software Development (LC, MAB), pp. 195–204.
WICSAWICSA-2014-CostaPDM #question #rest #what
Evaluating a Representational State Transfer (REST) Architecture: What is the Impact of REST in My Architecture? (BC, PFP, FCD, PM), pp. 105–114.
WICSAWICSA-2014-DamRE #consistency #modelling #nondeterminism
Inconsistency Resolution in Merging Versions of Architectural Models (HKD, AR, AE), pp. 153–162.
WICSAWICSA-2014-DragomirLB #approach
Systematic Architectural Decision Management, A Process-Based Approach (AD, HL, TB), pp. 255–258.
WICSAWICSA-2014-FaniyiLBY #self
Architecting Self-Aware Software Systems (FF, PRL, RB, XY), pp. 91–94.
WICSAWICSA-2014-GalsterB #empirical #information management
Empirical Study of Architectural Knowledge Management Practices (MG, MAB), pp. 239–242.
WICSAWICSA-2014-HarperD #agile #data analysis
Agile Software Architecture in Advanced Data Analytics (KEH, AD), pp. 243–246.
WICSAWICSA-2014-JavedZ #comprehension #traceability
The Supportive Effect of Traceability Links in Architecture-Level Software Understanding: Two Controlled Experiments (MAJ, UZ), pp. 215–224.
WICSAWICSA-2014-KnodelN #evaluation #industrial
Software Architecture Evaluation in Practice: Retrospective on More Than 50 Architecture Evaluations in Industry (JK, MN), pp. 115–124.
WICSAWICSA-2014-ManteuffelTKGA #documentation #framework #implementation #industrial
Industrial Implementation of a Documentation Framework for Architectural Decisions (CM, DT, HK, TG, PA), pp. 225–234.
WICSAWICSA-2014-NakagawaGMFO #design #evaluation #process #representation
Consolidating a Process for the Design, Representation, and Evaluation of Reference Architectures (EYN, MG, JCM, DF, FO), pp. 143–152.
WICSAWICSA-2014-PerovichB #formal method #modelling
Model-Based Formalization of Software Architecture Knowledge (DP, MCB), pp. 235–238.
WICSAWICSA-2014-ProcacciantiLL
Green Architectural Tactics for the Cloud (GP, PL, GAL), pp. 41–44.
WICSAWICSA-2014-RekhaM #case study
A Study on Group Decision-Making in Software Architecture (VSR, HM), pp. 185–194.
WICSAWICSA-2014-SapienzaCP #clustering #multi
Architectural Decisions for HW/SW Partitioning Based on Multiple Extra-Functional Properties (GS, IC, PP), pp. 175–184.
WICSAWICSA-2014-SeeleSB #functional #modelling #web
The Functional Architecture Modeling Method Applied on Web Browsers (WS, SS, SB), pp. 171–174.
WICSAWICSA-2014-SmileyMW #adaptation #performance #product line #reuse
A Dynamic Software Product Line Architecture for Prepackaged Expert Analytics: Enabling Efficient Capture, Reuse and Adaptation of Operational Knowledge (KS, SM, PW), pp. 205–214.
WICSAWICSA-2014-TamburriLDH
Architecting in Networked Organizations (DAT, PL, CD, RH), pp. 247–250.
WICSAWICSA-2014-UusitaloRKMM #automation #lessons learnt #safety
Lessons Learned from Safety-Critical Software-Based Automation Architectures of Nuclear Power Plants (EJU, MR, MK, VM, TM), pp. 45–48.
WICSAWICSA-2014-VierhauserRGDWZ #flexibility #framework #monitoring #runtime
A Flexible Framework for Runtime Monitoring of System-of-Systems Architectures (MV, RR, PG, CD, SW, HZ), pp. 57–66.
WICSAWICSA-2014-WeinreichB #automation #consistency
Automatic Reference Architecture Conformance Checking for SOA-Based Software Systems (RW, GB), pp. 95–104.
WICSAWICSA-2014-WeitzelRS #case study #development #experience #research
Sustaining Agility through Architecture: Experiences from a Joint Research and Development Laboratory (BW, DR, MS), pp. 53–56.
HTHT-2014-ChengKWT #distributed #memory management #performance #rdf #scalability
A two-tier index architecture for fast processing large RDF data over distributed memory (LC, SK, TEW, GT), pp. 300–302.
SIGMODSIGMOD-2014-SolimanAREGSCGRPWNKB #big data #composition #named #query
Orca: a modular query optimizer architecture for big data (MAS, LA, VR, AEH, ZG, ES, GCC, CGA, FR, MP, FW, SN, KK, RB), pp. 337–348.
VLDBVLDB-2014-FloratouMO #database #named
SQL-on-Hadoop: Full Circle Back to Shared-Nothing Database Architectures (AF, UFM, ), pp. 1295–1306.
VLDBVLDB-2014-ToNP #named #sql #symmetry
SQL/AA: Executing SQL on an Asymmetric Architecture (QCT, BN, PP), pp. 1625–1628.
VLDBVLDB-2015-HeZH14 #cpu #gpu #query
In-Cache Query Co-Processing on Coupled CPU-GPU Architectures (JH, SZ, BH), pp. 329–340.
SANERCSMR-WCRE-2014-AmalfitanoFMTMFS #case study #industrial #legacy #migration #mvc #web
Migrating legacy spreadsheets-based systems to Web MVC architecture: An industrial case study (DA, ARF, VM, PT, GDM, FF, SS), pp. 387–390.
SANERCSMR-WCRE-2014-KnodelN #industrial
Mitigating the Risk of software change in practice: Retrospective on more than 50 architecture evaluations in industry (JK, MN), pp. 2–17.
SANERCSMR-WCRE-2014-ZhangPNMELWCT #embedded #experience
Experience on applying software architecture recovery to automotive embedded systems (XZ, MP, MN, BM, AE, HL, JW, DJC, MT), pp. 379–382.
ICPCICPC-2014-ShahinLL #comprehension #design
Do architectural design decisions improve the understanding of software architecture? two controlled experiments (MS, PL, ZL), pp. 3–13.
ICPCICPC-2014-ZapalowskiNN #source code
Revealing the relationship between architectural elements and source code characteristics (VZ, IN, DJN), pp. 14–25.
ICSMEICSME-2014-BouwersDV #encapsulation
Quantifying the Encapsulation of Implemented Software Architectures (EB, AvD, JV), pp. 211–220.
MSRMSR-2014-FarahTC #analysis #named #quality #scalability
OpenHub: a scalable architecture for the analysis of software quality attributes (GF, JST, DC), pp. 420–423.
IFMIFM-2014-DerrickSD #verification
Verifying Linearizability on TSO Architectures (JD, GS, BD), pp. 341–356.
SEFMSEFM-2014-AttieBBJS #framework
A General Framework for Architecture Composability (PCA, EB, SB, MJ, JS), pp. 128–143.
FDGFDG-2014-GrowGGMW #analysis #authoring #requirements #tool support
A methodology for requirements analysis of AI architecture authoring tools (AG, SEG, PG, MM, NWF).
FDGFDG-2014-ZhaoS #behaviour #scheduling #using
Virtual character behavior architecture using cyclic scheduling (RZ, DS).
HCIDHM-2014-MazzolaACRADA #education #monitoring
Integrated Architecture for Next-Generation m-Health Services (Education, Monitoring and Prevention) in Teenagers (MM, PA, GC, CR, MA, CD, GA), pp. 403–414.
HCIDUXU-TMT-2014-BystrickyB #towards #user interface
Post Media: Towards a User Interface Architecture (JB, JB), pp. 519–526.
HCIHCI-TMT-2014-Fross
Ergonomics in the Practice of Project Architect on Selected Examples (KF), pp. 77–85.
HCIHCI-TMT-2014-TiefenbacherBR #composition #evaluation #industrial #interface #using
Evaluation of Industrial Touch Interfaces Using a Modular Software Architecture (PT, FB, GR), pp. 589–600.
HCILCT-NLE-2014-IbanezN #analysis #interactive
An Interactive Installation for the Architectural Analysis of Space and Form in Historical Buildings (LAHI, VBN), pp. 43–52.
HCILCT-NLE-2014-PurgathoferL #harmful #layout
Layout Considered Harmful: On the Influence of Information Architecture on Dialogue (PP, NL), pp. 216–225.
CAiSECAiSE-2014-EngelsmanW #concept #enterprise #requirements
Understandability of Goal-Oriented Requirements Engineering Concepts for Enterprise Architects (WE, RW), pp. 105–119.
EDOCEDOC-2014-Franke #analysis #enterprise
Enterprise Architecture Analysis with Production Functions (UF), pp. 52–60.
EDOCEDOC-2014-GarciaV #abstraction #framework #implementation #process
Object-Business Process Mapping Frameworks: Abstractions, Architecture, and Implementation (RG, MTV), pp. 160–169.
EDOCEDOC-2014-VenebergISB #enterprise
Enterprise Architecture Intelligence: Combining Enterprise Architecture and Operational Data (RKMV, MEI, MvS, LB), pp. 22–31.
ICEISICEIS-v1-2014-FaheemK #algorithm #case study #framework #multi #problem
A Multiagent-based Framework for Solving Computationally Intensive Problems on Heterogeneous Architectures — Bioinformatics Algorithms as a Case Study (HMF, BKR), pp. 526–533.
ICEISICEIS-v1-2014-KannistoHPK #assessment #distributed #information management #mobile #performance #reasoning #rule-based
Distributed Knowledge Management Architecture and Rule Based Reasoning for Mobile Machine Operator Performance Assessment (PK, DH, LP, SK), pp. 440–449.
ICEISICEIS-v1-2014-PintoB #health #implementation
Architectural Key Dimensions for a Successful Electronic Health Record Implementation (EP, ACB), pp. 136–143.
ICEISICEIS-v2-2014-OliveiraG #empirical #metric #product line #validation
Empirical Validation of Product-line Architecture Extensibility Metrics (EAOJ, IMdSG), pp. 111–118.
ICEISICEIS-v3-2014-AlvesVS #analysis
Architecture Principles Compliance Analysis (JA, AV, PS), pp. 328–334.
ICEISICEIS-v3-2014-CuencaBOT
Business-IT Alignment and Service Oriented Architecture — A Proposal of a Service-Oriented Strategic Alignment Model (LC, AB, ÁOB, JJMT), pp. 490–495.
ICEISICEIS-v3-2014-GonzalezAY #enterprise #evolution
Evolving a Core Banking Enterprise Architecture — Leveraging Business Events Exploitation (BSMG, JMdÁ, JCY), pp. 181–189.
ICEISICEIS-v3-2014-NaranjoSV #analysis #enterprise #named
PRIMROSe — A Tool for Enterprise Architecture Analysis and Diagnosis (DN, MES, JV), pp. 201–213.
ICEISICEIS-v3-2014-OussenaEK #enterprise #formal method #framework #metamodelling #validation
Formalization of Validation Extension Metamodel for Enterprise Architecture Frameworks (SO, JE, PK), pp. 427–434.
ICEISICEIS-v3-2014-RamosV #agile #development #enterprise
Extreme Enterprise Architecture Planning (XEAP) — Extrapolating Agile Characteristics to the Development of Enterprise Architectures (HR, AV), pp. 376–383.
ICEISICEIS-v3-2014-RohlfsGP #comprehension #concept #enterprise
Understanding Enterprise Architecture through Bodies of Knowledge — A Conceptual Model (CLdRR, GG, FSP), pp. 249–259.
ICEISICEIS-v3-2014-SinghJIS #enterprise #modelling
Modeling Value Creation with Enterprise Architecture (PMS, HJ, MEI, MvS), pp. 343–351.
ICEISICEIS-v3-2014-WissotzkiK #concept #enterprise #evaluation
Evaluation Concept of the Enterprise Architecture Management Capability Navigator (MW, HK), pp. 319–327.
CIKMCIKM-2014-AnchuriSS #detection
Hotspot Detection in a Service-Oriented Architecture (PA, RS, SS), pp. 1749–1758.
ICPRICPR-2014-AokiFKM #algorithm #visual notation
KIZUKI Processing for Visual Inspection: A Smart Pattern Pop-Out Algorithm Based on Human Visual Architecture (KA, TF, HK, YM), pp. 2317–2322.
KEODKEOD-2014-LietoMPRF #ontology #process
A Dual Process Architecture for Ontology-based Systems (AL, AM, AP, DPR, MF), pp. 48–55.
KMISKMIS-2014-Kaczmarek #documentation #music
The Application of the IODA Document Architecture to Music Data (ALK), pp. 268–273.
KMISKMIS-2014-ToureMM #design #information management #towards
Re-Designing Knowledge Management Systems — Towards User-Centred Design Methods Integrating Information Architecture (CET, CM, JCM), pp. 298–305.
KRKR-2014-BhattST #analysis #design #experience #industrial #user interface
Computing Narratives of Cognitive User Experience for Building Design Analysis: KR for Industry Scale Computer-Aided Architecture Design (MB, CPLS, MT).
SEKESEKE-2014-AnvaariZ #design #reuse #towards
Towards Reusing Architectural Knowledge as Design Guides (MA, OZ), pp. 181–186.
SEKESEKE-2014-BakerS #aspect-oriented #implementation
Aspect-Oriented Secure Connectors for Implementation of Secure Software Architecture (CB, MS), pp. 187–192.
SEKESEKE-2014-GrieblerAF #evaluation #interface #manycore #parallel #performance #programming #usability
Performance and Usability Evaluation of a Pattern-Oriented Parallel Programming Interface for Multi-Core Architectures (DG, DA, LGF), pp. 25–30.
SEKESEKE-2014-GuessiON #approach
An Approach for Capturing and Documenting Architectural Decisions of Reference Architectures (MG, FO, EYN), pp. 162–167.
SEKESEKE-2014-LiuCB
Software Architecture Rationale Capture through Intelligent Argumentation (XFL, NC, ECB), pp. 156–161.
SEKESEKE-2014-NetoASFM #behaviour #data transformation #framework #modelling #named #using
CoMoVi: a Framework for Data Transformation in Credit Behavioral Scoring Applications Using Model Driven Architecture (RFON, PJLA, ACS, DRdCF, GRM), pp. 286–291.
SEKESEKE-2014-Parvizi-MosaedMHH #adaptation #evaluation #self #towards
Towards a Tactic-Based Evaluation of Self-Adaptive Software Architecture Availability (APM, SM, JH, AH), pp. 168–173.
SEKESEKE-2014-SilvaBCR #development #trade-off
A Tool for Trade-off Resolution on Architecture-Centered Software Development (ICLS, PHSB, EC, HR), pp. 35–38.
SEKESEKE-2014-XuL #approach #automation #synthesis #using
Automated Software Architectural Synthesis using Patterns: A Cooperative Coevolution Approach (YX, PL), pp. 174–180.
SEKESEKE-2014-YangL #agile #development #identification
Identifying and Recording Software Architectural Assumptions in Agile Development (CY, PL), pp. 308–313.
ECMFAECMFA-2014-LammelV
Interpretation of Linguistic Architecture (RL, AV), pp. 67–82.
SPLCSPLC-2014-MannionS #product line #requirements
Mapping product line requirements to a product line architecture (MM, JS), p. 362.
SPLCSPLC-2014-TraskR #modelling #product line
Leveraging model driven engineering in software product line architectures (BT, AR), pp. 360–361.
ECOOPECOOP-2014-PrincehouseCJBFS #composition #named #protocol
MiCA: A Compositional Architecture for Gossip Protocols (LP, RC, ZJ, KPB, NF, RS), pp. 644–669.
HILTHILT-2014-GacekBCSW #assurance #modelling #named
Resolute: an assurance case language for architecture models (AG, JB, DDC, KS, MWW), pp. 19–28.
LOPSTRLOPSTR-2014-GallF #semantics
A Formal Semantics for the Cognitive Architecture ACT-R (DG, TWF), pp. 74–91.
PLDIPLDI-2014-PhothilimthanaJSTCB #compilation #named #power management
Chlorophyll: synthesis-aided compiler for low-power spatial architectures (PMP, TJ, RS, NT, SC, RB), p. 42.
POPLPOPL-2014-AmorimCDDHPPPT #data flow
A verified information-flow architecture (AAdA, NC, AD, DD, CH, DP, BCP, RP, AT), pp. 165–178.
RERE-2014-Chen #requirements
From architecture to requirements: Relating requirements and architecture for better Requirements Engineering (FC), pp. 451–455.
ASEASE-2014-PruijtKWB #named #set
HUSACCT: architecture compliance checking with rich sets of module and rule types (LJP, CK, JMvdW, SB), pp. 851–854.
FSEFSE-2014-Marmsoler #formal method #towards
Towards a theory of architectural styles (DM), pp. 823–825.
FSEFSE-2014-MirakhorliFGWC #detection #monitoring #named
Archie: a tool for detecting, monitoring, and preserving architecturally significant code (MM, AF, AG, MW, JCH), pp. 739–742.
FSEFSE-2014-SchultisEL #case study #challenge #ecosystem #industrial #scalability
Architecture challenges for internal software ecosystems: a large-scale industry case study (KBS, CE, DL), pp. 542–552.
FSEFSE-2014-Xiao #debugging #detection
Detecting and preventing the architectural roots of bugs (LX), pp. 811–813.
FSEFSE-2014-XiaoCK #analysis #named #quality #tool support
Titan: a toolset that connects software architecture with quality analysis (LX, YC, RK), pp. 763–766.
ICSEICSE-2014-LetierSB #nondeterminism #requirements
Uncertainty, risk, and information value in software requirements and architecture (EL, DS, ETB), pp. 883–894.
ICSEICSE-2014-XiaoCK #design
Design rule spaces: a new form of architecture insight (LX, YC, RK), pp. 967–977.
SACSAC-2014-AndradeRMOCFR #hybrid #performance #scheduling
Efficient dynamic scheduling of heterogeneous applications in hybrid architectures (GA, GSR, DM, RSO, EC, RF, LCdR), pp. 866–871.
SACSAC-2014-AntunesBMBC #analysis #enterprise #ontology
Ontology-based enterprise architecture model analysis (GA, MB, RM, JLB, AC), pp. 1420–1422.
SACSAC-2014-FerreiraBBAG #case study #detection #effectiveness
Detecting architecturally-relevant code anomalies: a case study of effectiveness and effort (MF, EAB, IMB, RA, AG), pp. 1158–1163.
SACSAC-2014-Guimaraes #composition #distributed #fault tolerance
A fault-tolerant architecture for decentralized compositions of services in the wild (FPG), pp. 1255–1256.
SACSAC-2014-KrikavaCF #adaptation #modelling #named #self
ACTRESS: domain-specific modeling of self-adaptive software architectures (FK, PC, RBF), pp. 391–398.
SACSAC-2014-ManhaesCZ #named #predict #using
WAVE: an architecture for predicting dropout in undergraduate courses using EDM (LMBM, SMSdC, GZ), pp. 243–247.
SACSAC-2014-OhK #requirements #traceability
A hierarchical model for traceability between requirements and architecture (JO, SK), pp. 1035–1042.
SACSAC-2014-RamosV #enterprise
eXtreme enterprise architecture planning (HR, AV), pp. 1417–1419.
SACSAC-2014-SilvaPBAP #cyber-physical #modelling #testing
A model-based architecture for testing medical cyber-physical systems (LCS, MP, FMB, HOA, AP), pp. 25–30.
SACSAC-2014-TesfayHBO #communication #network
Cyber-secure communication architecture for active power distribution networks (TTT, JPH, JYLB, PO), pp. 545–552.
ASPLOSASPLOS-2014-Gehlhaar #future of #scalability
Neuromorphic processing: a new frontier in scaling computer architecture (JG), pp. 317–318.
ASPLOSASPLOS-2014-LiuSYYW #memory management #persistent
NVM duet: unified working memory and persistent store architecture (RSL, DYS, CLY, SCY, CYMW), pp. 455–470.
ASPLOSASPLOS-2014-PichaiHB #cpu #design #memory management
Architectural support for address translation on GPUs: designing memory management units for CPU/GPUs with unified address spaces (BP, LH, AB), pp. 743–758.
ASPLOSASPLOS-2014-Wood #named
Resolved: specialized architectures, languages, and system software should supplant general-purpose alternatives within a decade (DAW), pp. 653–654.
ASPLOSASPLOS-2014-WuLPKR #database #design #named
Q100: the architecture and design of a database processing unit (LW, AL, TKP, MAK, KAR), pp. 255–268.
ASPLOSASPLOS-2014-ZhouW #as a service
The sharing architecture: sub-core configurability for IaaS clouds (YZ, DW), pp. 559–574.
CASECASE-2014-EderKKR
Continuum worm-like robotic mechanism with decentral control architecture (ME, MK, AK, SR), pp. 866–871.
CASECASE-2014-LeeMXW #in the cloud #virtual machine
Open architecture of virtual machine tool for cloud computing (RSL, KJM, PX, CMW), pp. 905–909.
CGOCGO-2014-DingEO #compilation #congruence
Single Assignment Compiler, Single Assignment Architecture: Future Gated Single Assignment Form*; Static Single Assignment with Congruence Classes (SD, JE, ), p. 196.
CGOCGO-2014-XuWGLGQ #gpu #memory management #transaction
Software Transactional Memory for GPU Architectures (YX, RW, NG, TL, LG, DQ), p. 1.
DACDAC-2014-CongGGGGR
Accelerator-Rich Architectures: Opportunities and Progresses (JC, MAG, MG, BG, KG, GR), p. 6.
DACDAC-2014-CongLXZ #clustering #reuse
An Optimal Microarchitecture for Stencil Computation Acceleration Based on Non-Uniform Partitioning of Data Reuse Buffers (JC, PL, BX, PZ), p. 6.
DACDAC-2014-HameedBH #latency #novel
Reducing Latency in an SRAM/DRAM Cache Hierarchy via a Novel Tag-Cache Architecture (FH, LB, JH), p. 6.
DACDAC-2014-HenkelBZRS #dependence #multi
Multi-Layer Dependability: From Microarchitecture to Application Level (JH, LB, HZ, SR, MS), p. 6.
DACDAC-2014-MaoWZCL #memory management #using
Exploration of GPGPU Register File Architecture Using Domain-wall-shift-write based Racetrack Memory (MM, WW, YZ, YC, HHL), p. 6.
DACDAC-2014-RahimiGLCBG #collaboration #compilation #energy
Energy-Efficient GPGPU Architectures via Collaborative Compilation and Memristive Memory-Based Computing (AR, AG, MALM, KTC, LB, RKG), p. 6.
DACDAC-2014-ReimannGTCGUWEA #integration
Advanced Diagnosis: SBST and BIST Integration in Automotive E/E Architectures (FR, MG, JT, AC, LRG, DU, HJW, PE, UA), p. 9.
DACDAC-2014-SagstetterAWLSCK #framework #integration
Schedule Integration Framework for Time-Triggered Automotive Architectures (FS, SA, PW, ML, HS, SC, AK), p. 6.
DACDAC-2014-SamavatianAAS #performance
An Efficient STT-RAM Last Level Cache Architecture for GPUs (MHS, HA, MA, HSA), p. 6.
DACDAC-2014-SorinMZ #power management
Architecting Dynamic Power Management to be Formally Verifiable (DJS, OM, MZ), p. 3.
DACDAC-2014-SwaminathanLLKSN #paradigm
Steep Slope Devices: Enabling New Architectural Paradigms (KS, HL, XL, MSK, JS, VN), p. 6.
DATEDATE-2014-AbeleinCEGRGRTUW #integration
Non-intrusive integration of advanced diagnosis features in automotive E/E-architectures (UA, AC, PE, MG, FR, LRG, TR, JT, DU, HJW), pp. 1–6.
DATEDATE-2014-AhariAKT #configuration management #power management #using
A power-efficient reconfigurable architecture using PCM configuration technology (AA, HA, BK, MBT), pp. 1–6.
DATEDATE-2014-BoettcherAEGR
Advanced SIMD: Extending the reach of contemporary SIMD architectures (MB, BMAH, ME, GG, AR), pp. 1–4.
DATEDATE-2014-BortolottiBWRB #hybrid #manycore #memory management #power management #scalability
Hybrid memory architecture for voltage scaling in ultra-low power multi-core biomedical processors (DB, AB, CW, DR, LB), pp. 1–6.
DATEDATE-2014-EusseLASLS #component #embedded #flexibility
A flexible ASIP architecture for connected components labeling in embedded vision applications (JFE, RL, GA, PS, BL, TS), pp. 1–6.
DATEDATE-2014-FarbehM #fault tolerance #low cost #memory management #named
PSP-Cache: A low-cost fault-tolerant cache memory architecture (HF, SGM), pp. 1–4.
DATEDATE-2014-GiannopoulouSHT #manycore
Mapping mixed-criticality applications on multi-core architectures (GG, NS, PH, LT), pp. 1–6.
DATEDATE-2014-GuerreAL #benchmark #metric #parallel #performance
A unified methodology for a fast benchmarking of parallel architecture (AG, JTA, YL), pp. 1–4.
DATEDATE-2014-HsuCMGB #named #performance #validation
ArChiVED: Architectural checking via event digests for high performance validation (CHH, DC, RM, RG, VB), pp. 1–6.
DATEDATE-2014-ImhofW #fault tolerance
Bit-Flipping Scan — A unified architecture for fault tolerance and offline test (MEI, HJW), pp. 1–6.
DATEDATE-2014-KarkarDATMY #communication #hybrid
Hybrid wire-surface wave architecture for one-to-many communication in networks-on-chip (AK, ND, RAD, KT, TSTM, AY), pp. 1–4.
DATEDATE-2014-KhanSH #manycore #performance #power management #video
Software architecture of High Efficiency Video Coding for many-core systems with power-efficient workload balancing (MUKK, MS, JH), pp. 1–6.
DATEDATE-2014-LeeA #hybrid #novel #power management #using
A novel low power 11-bit hybrid ADC using flash and delay line architectures (HCL, JAA), pp. 1–4.
DATEDATE-2014-MembarthRHT #android #code generation #embedded
Code generation for embedded heterogeneous architectures on android (RM, OR, FH, JT), pp. 1–6.
DATEDATE-2014-NarayanaswamySLKC
Optimal dimensioning of active cell balancing architectures (SN, SS, ML, MK, SC), pp. 1–6.
DATEDATE-2014-NoursPB #evaluation #manycore #performance
A dynamic computation method for fast and accurate performance evaluation of multi-core architectures (SLN, AP, NWB), pp. 1–6.
DATEDATE-2014-PalitSHHNN #case study
Impact of steep-slope transistors on non-von Neumann architectures: CNN case study (IP, BS, AH, XSH, JN, MTN), pp. 1–6.
DATEDATE-2014-RaminiGGBFB #energy
Assessing the energy break-even point between an optical NoC architecture and an aggressive electronic baseline (LR, AG, PG, SB, HTF, DB), pp. 1–6.
DATEDATE-2014-SampaioSZBH #distributed #energy #memory management #named #performance #video
dSVM: Energy-efficient distributed Scratchpad Video Memory Architecture for the next-generation High Efficiency Video Coding (FS, MS, BZ, SB, JH), pp. 1–6.
DATEDATE-2014-SassolasSGAVBFP #design #evaluation
Early design stage thermal evaluation and mitigation: The locomotiv architectural case (TS, CS, AG, AA, PV, HB, LF, NP), pp. 1–2.
DATEDATE-2014-SeitanidisPDN #named
ElastiStore: An elastic buffer architecture for Network-on-Chip routers (IS, AP, GD, CN), pp. 1–6.
DATEDATE-2014-ShinPM #hybrid #using
Thermal management of batteries using a hybrid supercapacitor architecture (DS, MP, EM), pp. 1–6.
DATEDATE-2014-SilvanoPXS #manycore
Voltage island management in near threshold manycore architectures to mitigate dark silicon (CS, GP, SX, ISS), pp. 1–6.
DATEDATE-2014-SwaminathanKCSPSN #modelling
Modeling steep slope devices: From circuits to architectures (KS, MSK, NC, BS, RP, JS, VN), pp. 1–6.
DATEDATE-2014-Torrellas #energy #performance
Extreme-scale computer architecture: Energy efficiency from the ground up‡ (JT), pp. 1–5.
HPCAHPCA-2014-AhnYC #named #predict
DASCA: Dead Write Prediction Assisted STT-RAM Cache Architecture (JA, SY, KC), pp. 25–36.
HPCAHPCA-2014-DiTomasoKL #fault tolerance #named #power management
QORE: A fault tolerant network-on-chip architecture with power-efficient quad-function channel (QFC) buffers (DD, AKK, AL), pp. 320–331.
HPCAHPCA-2014-ElTantawyMOA #control flow #gpu #multi #performance #scalability
A scalable multi-path microarchitecture for efficient GPU control flow (AE, JWM, MO, TMA), pp. 248–259.
HPCAHPCA-2014-ElwellRAP #memory management
A Non-Inclusive Memory Permissions architecture for protection against cross-layer attacks (JE, RR, NBAG, DP), pp. 201–212.
HPCAHPCA-2014-HayengaNL #execution #named #performance
Revolver: Processor architecture for power efficient loop execution (MH, VRKN, MHL), pp. 591–602.
HPCAHPCA-2014-HeirmanCCHJE #clustering #thread
Undersubscribed threading on clustered cache architectures (WH, TEC, KVC, IH, AJ, LE), pp. 678–689.
HPCAHPCA-2014-KimLJK #gpu #memory management #named #using
GPUdmm: A high-performance and memory-oblivious GPU architecture using dynamic memory management (YK, JL, JEJ, JK), pp. 546–557.
HPCAHPCA-2014-ZhangPXSX #memory management #named
CREAM: A Concurrent-Refresh-Aware DRAM Memory architecture (TZ, MP, CX, GS, YX), pp. 368–379.
HPDCHPDC-2014-RajachandrasekarPVHWP #distributed #framework #named
MIC-Check: a distributed check pointing framework for the intel many integrated cores architecture (RR, SP, AV, KH, MWuR, DKP), pp. 121–124.
ISMMISMM-2014-ZakkakP #java #memory management #named
JDMM: a java memory model for non-cache-coherent memory architectures (FSZ, PP), pp. 83–92.
LCTESLCTES-2014-LeeLLP #performance
Improving performance of loops on DIAM-based VLIW architectures (JL, JL, JL, YP), pp. 135–144.
PDPPDP-2014-BuonoMMV #hardware #manycore #message passing #optimisation #thread #using
Optimizing Message-Passing on Multicore Architectures Using Hardware Multi-threading (DB, TDM, GM, MV), pp. 262–270.
PDPPDP-2014-LuoKZLL #comprehension
Understanding the Data Traffic of Uncore in Westmere NUMA Architecture (QL, CK, YZ, GL, CL), pp. 392–399.
PDPPDP-2014-UddinPJ #manycore #simulation #thread
Analytical-Based High-Level Simulation of the Microthreaded Many-Core Architectures (MIU, RP, CRJ), pp. 344–351.
PPoPPPPoPP-2014-Hill
21st century computer architecture (MDH), pp. 1–2.
PPoPPPPoPP-2014-LiuM #parallel #performance #source code #thread
A tool to analyze the performance of multithreaded programs on NUMA architectures (XL, JMMC), pp. 259–272.
FASEFASE-2014-LanduytJ #requirements
Modularizing Early Architectural Assumptions in Scenario-Based Requirements (DVL, WJ), pp. 170–184.
CAVCAV-2014-Voronkov #first-order #named #proving #theorem proving
AVATAR: The Architecture for First-Order Theorem Provers (AV), pp. 696–710.
CBSECBSE-2013-HeinzemannB #component #configuration management
Executing reconfigurations in hierarchical component architectures (CH, SB), pp. 3–12.
ECSAECSA-2013-AmellerGAF #overview #quality
The Role of Quality Attributes in Service-Based Systems Architecting: A Survey (DA, MG, PA, XF), pp. 200–207.
ECSAECSA-2013-AngelovTK #design
Software Reference Architectures — Exploring Their Usage and Design in Practice (SA, JJMT, RJK), pp. 17–24.
ECSAECSA-2013-AnvaariCJ #case study #enterprise #industrial
Architectural Decision-Making in Enterprises: Preliminary Findings from an Exploratory Study in Norwegian Electricity Industry (MA, RC, LJ), pp. 162–175.
ECSAECSA-2013-BuchgeherW #analysis #consistency #towards
Towards Continuous Reference Architecture Conformance Analysis (GB, RW), pp. 332–335.
ECSAECSA-2013-CavalcanteMB
Describing Cloud Applications Architectures (EC, ALM, TVB), pp. 320–323.
ECSAECSA-2013-ChoualiCM #component #interface #requirements #specification
Specifying System Architecture from SysML Requirements and Component Interfaces (SC, OC, HM), pp. 348–352.
ECSAECSA-2013-ChristensenH #automation #prototype #slicing #towards
Architectural Slicing: Towards Automatic Harvesting of Architectural Prototypes (HBC, KMH), pp. 316–319.
ECSAECSA-2013-CuestaMF #realtime #semantics #towards
Towards an Architecture for Managing Big Semantic Data in Real-Time (CEC, MAMP, JDF), pp. 45–53.
ECSAECSA-2013-DimechB #approach #consistency #development #maintenance
Maintaining Architectural Conformance during Software Development: A Practical Approach (CD, DB), pp. 208–223.
ECSAECSA-2013-EklundOL #perspective #platform
Characterising Software Platforms from an Architectural Perspective (UE, CMO, ML), pp. 344–347.
ECSAECSA-2013-GassaraRJ #deployment #graph #modelling #multi #towards
Towards a Multi-scale Modeling for Architectural Deployment Based on Bigraphs (AG, IBR, MJ), pp. 122–129.
ECSAECSA-2013-HaitzerZ #component #comprehension #design #diagrams #empirical
Controlled Experiment on the Supportive Effect of Architectural Component Diagrams for Design Understanding of Novice Architects (TH, UZ), pp. 54–71.
ECSAECSA-2013-Kuster #design #modelling #traceability #validation
Architecture-Centric Modeling of Design Decisions for Validation and Traceability (MK), pp. 184–191.
ECSAECSA-2013-LeiteOB #named
SysADL: A SysML Profile for Software Architecture Description (JCL, FO, TVB), pp. 106–113.
ECSAECSA-2013-LiZ #evaluation #industrial #towards
Toward Industry Friendly Software Architecture Evaluation (ZL, JZ), pp. 328–331.
ECSAECSA-2013-LytraTZ #component #consistency #design #modelling #reuse
Supporting Consistency between Architectural Design Decisions and Component Models through Reusable Architectural Knowledge Transformations (IL, HT, UZ), pp. 224–239.
ECSAECSA-2013-MairH #towards
Towards Extensive Software Architecture Erosion Repairs (MM, SH), pp. 299–306.
ECSAECSA-2013-Martinez-FernandezAFM
Benefits and Drawbacks of Reference Architectures (SMF, CPA, XF, HMM), pp. 307–310.
ECSAECSA-2013-NowakP
Team Situational Awareness and Architectural Decision Making with the Software Architecture Warehouse (MN, CP), pp. 146–161.
ECSAECSA-2013-PascualPF #runtime #variability
Run-Time Support to Manage Architectural Variability Specified with CVL (GGP, MP, LF), pp. 282–298.
ECSAECSA-2013-PramsohlerSB #adaptation #component #middleware #towards
Towards an Optimized Software Architecture for Component Adaptation at Middleware Level (TP, SS, UB), pp. 266–281.
ECSAECSA-2013-RostNLC #developer #documentation #overview
Software Architecture Documentation for Developers: A Survey (DR, MN, CL, CvFGC), pp. 72–88.
ECSAECSA-2013-SilvaB #automation #consistency #named
PANDArch: A Pluggable Automated Non-intrusive Dynamic Architecture Conformance Checker (LdS, DB), pp. 240–248.
ECSAECSA-2013-SilvaMCB #lightweight #product line
A Lightweight Language for Software Product Lines Architecture Description (ES, ALM, EC, TVB), pp. 114–121.
ECSAECSA-2013-TofanGA #overview
Difficulty of Architectural Decisions — A Survey with Professional Architects (DT, MG, PA), pp. 192–199.
ECSAECSA-2013-VenB #design
Making the Right Decision: Supporting Architects with Design Decision Data (JSvdV, JB), pp. 176–183.
ECSAECSA-2013-WeynsA #adaptation #overview #perspective #self
Claims and Evidence for Architecture-Based Self-adaptation: A Systematic Literature Review (DW, TA), pp. 249–265.
QoSAQoSA-2013-CaiWWW #design
Leveraging design rules to improve software architecture recovery (YC, HW, SW, LW), pp. 133–142.
QoSAQoSA-2013-KlattK #analysis #difference
Improving product copy consolidation by architecture-aware difference analysis (BK, MK), pp. 117–122.
QoSAQoSA-2013-KleinV #overview #research
A systematic review of system-of-systems architecture research (JK, HvV), pp. 13–22.
QoSAQoSA-2013-KonersmannDGR #approach #evolution #towards
Towards architecture-centric evolution of long-living systems (the ADVERT approach) (MK, ZD, MG, RHR), pp. 163–168.
QoSAQoSA-2013-TekinerdoganD #evaluation #framework
Evaluation framework for software architecture viewpoint languages (BT, ED), pp. 89–98.
QoSAQoSA-2013-TrubianiMCAG #analysis #modelling #nondeterminism #performance
Model-based performance analysis of software architectures under uncertainty (CT, IM, VC, AA, LG), pp. 69–78.
QoSAQoSA-2013-YuanMSGG #self
Architecture-based self-protecting software systems (EY, SM, BRS, DG, JG), pp. 33–42.
ICDARICDAR-2013-FleuryGLAJ #design #interactive #recognition
User-Centered Design of an Interactive Off-Line Handwritten Architectural Floor Plan Recognition (SF, AG, AL, ÉA, EJ), pp. 1073–1077.
ICDARICDAR-2013-HerasFVLS #detection
Unsupervised Wall Detector in Architectural Floor Plans (LPdlH, DFM, EV, JL, GS), pp. 1245–1249.
SIGMODSIGMOD-2013-MishneDLSL #big data #performance #query #realtime #twitter
Fast data in the era of big data: Twitter’s real-time related query suggestion architecture (GM, JD, ZL, AS, JL), pp. 1147–1158.
TPDLTPDL-2013-BuccioNS #linked data #open data #open source
An Open Source System Architecture for Digital Geolinguistic Linked Open Data (EDB, GMDN, GS), pp. 438–441.
VLDBVLDB-2013-DeBrabantPTSZ #approach #database #named
Anti-Caching: A New Approach to Database Management System Architecture (JD, AP, ST, MS, SBZ), pp. 1942–1953.
VLDBVLDB-2013-HeLH #cpu #gpu
Revisiting Co-Processing for Hash Joins on the Coupled CPU-GPU Architecture (JH, ML, BH), pp. 889–900.
VLDBVLDB-2013-ZhangHHL #cpu #gpu #named #parallel #performance #query #towards
OmniDB: Towards Portable and Efficient Query Processing on Parallel CPU/GPU Architectures (SZ, JH, BH, ML), pp. 1374–1377.
CSEETCSEET-2013-CaiKJA #bibliography #design #education
Introducing tool-supported architecture review into software design education (YC, RK, CJ, JA), pp. 70–79.
CSEETCSEET-2013-UrregoC #named
Archinotes: A tool for assisting software architecture courses (JSU, DC), pp. 80–88.
ITiCSEITiCSE-2013-BeltranGP #concept #in the cloud #using
Using CloudSim to learn cloud computing architecture/system concepts in a graduate course (MB, AG, MP), pp. 82–87.
ITiCSEITiCSE-2013-PorterGTZ #comprehension #concept #student
Evaluating student understanding of core concepts in computer architecture (LP, SG, HWT, DZ), pp. 279–284.
CSMRCSMR-2013-BergerSK #security
Extracting and Analyzing the Implemented Security Architecture of Business Applications (BJB, KS, RK), pp. 285–294.
CSMRCSMR-2013-BertranGCS #detection
Enhancing the Detection of Code Anomalies with Architecture-Sensitive Strategies (IMB, AG, CC, AvS), pp. 177–186.
CSMRCSMR-2013-BorrielloMC #android #migration #towards
Migrating Android Applications towards Service-centric Architectures with Sip2Share (AB, FM, GC), pp. 413–416.
CSMRCSMR-2013-JamshidiGAP #evolution #framework #research
A Framework for Classifying and Comparing Architecture-centric Software Evolution Research (PJ, MG, AA, CP), pp. 305–314.
ICPCICPC-2013-KobayashiKYKM #visualisation
SArF map: Visualizing software architecture from feature and layer viewpoints (KK, MK, KY, KK, AM), pp. 43–52.
ICPCICPC-2013-PruijtKB #analysis #dependence #on the
On the accuracy of Architecture Compliance Checking support Accuracy of dependency analysis and violation reporting (LP, CK, SB), pp. 172–181.
ICSMEICSM-2013-NordOSDGK #using
Variations on Using Propagation Cost to Measure Architecture Modifiability Properties (RLN, IO, RSS, JD, MAG, PK), pp. 400–403.
ICSMEICSM-2013-PruijtKB #case study #comparative #composition #semantics #tool support
Architecture Compliance Checking of Semantically Rich Modular Architectures: A Comparative Study of Tool Support (LP, CK, SB), pp. 220–229.
WCREWCRE-2013-AversanoMT
Evaluating architecture stability of software projects (LA, MM, MT), pp. 417–424.
WCREWCRE-2013-MaffortVBAH #heuristic
Heuristics for discovering architectural violations (CAM, MTV, MAdSB, NA, AH), pp. 222–231.
ICALPICALP-v2-2013-GenestGMW #game studies
Asynchronous Games over Tree Architectures (BG, HG, AM, IW), pp. 275–286.
AIIDEAIIDE-2013-LlansoGGG #automation #component #empirical #evaluation #game studies #generative
Empirical Evaluation of the Automatic Generation of a Component-Based Software Architecture for Games (DL, PPGM, MAGM, PAGC).
FDGFDG-2013-LlansoGGGE #component #game studies #learning
Tool-supported iterative learning of component-based software architecture for games (DL, MAGM, PPGM, PAGC, MSEN), pp. 376–379.
GRAPHITEGRAPHITE-2013-Majster-CederbaumS #constraints #operating system #reachability
Reachability in Cooperating Systems with Architectural Constraints is PSPACE-Complete (MEMC, NS), pp. 1–11.
CHICHI-2013-GrahamSPBD #distributed #game studies #what
Villains, architects and micro-managers: what tabula rasa teaches us about game orchestration (TCNG, IS, MP, QB, RD), pp. 705–714.
CHICHI-2013-SunLFK0 #interface #multi #performance #sketching
A multi-touch interface for fast architectural sketching and massing (QS, JL, CWF, SK, YH), pp. 247–256.
HCIDHM-HB-2013-WangTLCTL #behaviour #modelling
Cognitive Behavior Modeling of Manual Rendezvous and Docking Based on the ACT-R Cognitive Architecture (CW, YT, YL, SC, ZT, JL), pp. 143–148.
HCIDUXU-PMT-2013-ArningTZJ #elicitation #mobile #requirements
Eliciting User Requirements and Acceptance for Customizing Mobile Device System Architecture (KA, BT, MZ, EMJ), pp. 439–448.
HCIDUXU-WM-2013-Aurelio #design #simulation #visualisation
Visualizing Information Associated with Architectural Design Variations and Simulations (DA), pp. 469–477.
HCIHCI-IMT-2013-CarusoCLMRSSC #named #people #physics
My-World-in-My-Tablet: An Architecture for People with Physical Impairment (MC, FC, FL, MM, AR, FS, LS, TC), pp. 637–647.
CAiSECAiSE-2013-Eid-SabbaghW #process
Analyzing Business Process Architectures (RHES, MW), pp. 208–223.
EDOCEDOC-2013-GudenkaufJGN
A Reference Architecture for Cloud Service Offers (SG, MJ, AG, ON), pp. 227–236.
EDOCEDOC-2013-HeroldMRS #case study #consistency
Checking Conformance with Reference Architectures: A Case Study (SH, MM, AR, IS), pp. 71–80.
EDOCEDOC-2013-PlataniotisKP #design #enterprise #graph #using
Relating Decisions in Enterprise Architecture Using Decision Design Graphs (GP, SdK, HAP), pp. 139–146.
EDOCEDOC-2013-SousaMGM #approach #data type #enterprise #risk management #using
Assessing Risks and Opportunities in Enterprise Architecture Using an Extended ADT Approach (SS, DM, KG, NM), pp. 81–90.
EDOCEDOC-2013-TranZ #analysis #approach #verification
Event Actors Based Approach for Supporting Analysis and Verification of Event-Driven Architectures (HT, UZ), pp. 217–226.
EDOCEDOC-2013-VicenteGS #enterprise
The Value of ITIL in Enterprise Architecture (MV, NG, MMdS), pp. 147–152.
ICEISICEIS-J-2013-AhmadO13a #enterprise #ontology #semantics
Blueprint of a Semantic Business Process-Aware Enterprise Information Architecture: The EIAOnt Ontology (MA, MO), pp. 520–539.
ICEISICEIS-J-2013-DiefenthalerB13a #enterprise
From Gaps to Transformation Paths in Enterprise Architecture Planning (PD, BB), pp. 474–489.
ICEISICEIS-J-2013-GiordanoTSAF13a #approach #case study #enterprise #ontology #semantics
Joining Data and Maps in the Government Enterprise Architecture by a Semantic Approach: Methodology, Ontology and Case Study (DG, AT, CS, SA, AF), pp. 506–519.
ICEISICEIS-J-2013-MoraesSPP13a #health #pervasive
An Architecture for Health Information Exchange in Pervasive Healthcare Environment (JLCdM, WLdS, LFP, AFdP), pp. 385–401.
ICEISICEIS-J-2013-PintoKG13a #analysis #approach #automation #evaluation #metadata
An Automated Architectural Evaluation Approach Based on Metadata and Code Analysis (FP, UK, EMG), pp. 490–505.
ICEISICEIS-J-2013-Proper13a #enterprise
Enterprise Architecture: Informed Steering of Enterprises in Motion (HAP), pp. 16–34.
ICEISICEIS-v1-2013-Proper
Architecture-based Services Innovation (HAP), p. XI.
ICEISICEIS-v1-2013-SmirnovKTS #component
Virtual Tourist Hub for Infomobility — Service-Oriented Architecture and Major Components (AVS, AK, NT, NS), pp. 459–466.
ICEISICEIS-v2-2013-MoraesSPP #pervasive #reuse #towards
Towards a Reusable Architecture for Message Exchange in Pervasive Healthcare (JLCdM, WLdS, LFP, AFdP), pp. 391–400.
ICEISICEIS-v2-2013-PanfilenkoHEL #model transformation #recommendation
Model Transformation Recommendations for Service-Oriented Architectures (DVP, KH, BE, EL), pp. 248–256.
ICEISICEIS-v2-2013-TomasSGGA #api #approach #framework #using
Synaptic City — An Architectural Approach using an OSGI Infrastructure and GMaps API to Build a City Simulator (GHRPT, WMdS, KG, VCG, ), pp. 427–434.
ICEISICEIS-v2-2013-TomasSNGAG #overview #perspective
Smart Cities Architectures — A Systematic Review (GHRPT, WMdS, PAdMSN, VCG, , KG), pp. 410–417.
ICEISICEIS-v3-2013-AhmadO #approach #enterprise #process #semantics
A New Approach to Semantically Derive Enterprise Information Architecture from Business Process Architecture (MA, MO), pp. 363–369.
ICEISICEIS-v3-2013-BettouBL #adaptation #documentation #multi #quality
An Adaptation Architecture of Multimedia Documents for Management of the Quality of Service (FB, MB, IL), pp. 105–110.
ICEISICEIS-v3-2013-ChenHLSD #enterprise #semantics
Semantic Enterprise Architecture Management (WC, CH, ML, JS, PD), pp. 318–325.
ICEISICEIS-v3-2013-DiefenthalerB #analysis #enterprise #semantics #using #web
Gap Analysis in Enterprise Architecture using Semantic Web Technologies (PD, BB), pp. 211–220.
ICEISICEIS-v3-2013-EssienO #case study #component #enterprise #modelling #student #validation
Enterprise Architecture Models — Description of Integrated Components for Validation — A Case Study of Student Internship Programme (JE, SO), pp. 302–309.
ICEISICEIS-v3-2013-GarciaGAF #community #human-computer
HCI Architecture for Deaf Communities Cultural Inclusion and Citizenship (LSG, CG, DRA, SF), pp. 126–133.
ICEISICEIS-v3-2013-GeorgeF #enterprise
Enterprise Architecture Value Model (EG, GF), pp. 376–381.
ICEISICEIS-v3-2013-GiordanoTSAF #approach #case study #enterprise #ontology
An Ontology based Approach to Integrate Data and Maps — In the Government Enterprise Architecture: A Case Study (DG, AT, CS, SA, AF), pp. 356–362.
ICEISICEIS-v3-2013-GoeppP #enterprise #evaluation #towards
Towards an Enterprise Architecture based Strategic Alignment Model — An Evaluation of SAM based on ISO 15704 (VG, MP), pp. 370–375.
ICEISICEIS-v3-2013-GromoffKPS #approach #enterprise #flexibility
Newer Approach to Flexible Business Architecture of Modern Enterprise (AG, NK, MP, YS), pp. 326–332.
ICEISICEIS-v3-2013-KimOK #enterprise #framework #modelling
Complex Event as an Core Aspect of Enterprise Architecture — EDEMF: Event Driven Enterprise Architecture Modeling Framework (HK, SO, PK), pp. 292–301.
ICEISICEIS-v3-2013-LangermeierBB #approach #distributed #enterprise #framework #modelling #using
A Model Driven Approach for Open Distributed Systems using an Enterprise Architecture Framework (ML, AJB, BB), pp. 284–291.
ICEISICEIS-v3-2013-NassarBBB #design #security #towards
Towards Security Awareness in Designing Service-oriented Architectures (PBN, YB, FB, KB), pp. 347–355.
ICEISICEIS-v3-2013-PintoKG #automation #enterprise #evaluation #information management
Automating the Architecture Evaluation of Enterprise Information Systems (FP, UK, EMG), pp. 333–340.
ICEISICEIS-v3-2013-SunkleKR #enterprise #modelling #problem
Intentional Modeling for Problem Solving in Enterprise Architecture (SS, VK, SR), pp. 267–274.
ICEISICEIS-v3-2013-SzirbikB #network
Discovering the EIS Architecture that Supports Hub-and-Spoke Freight Transportation Networks Operating in a Cross Dock Mode (NBS, PB), pp. 388–395.
ICEISICEIS-v3-2013-Traverson #enterprise #exclamation #integration
EASI! Enterprise Architecture for Seamless Integration (BT), pp. 231–235.
ICMLICML-c1-2013-BergstraYC #optimisation
Making a Science of Model Search: Hyperparameter Optimization in Hundreds of Dimensions for Vision Architectures (JB, DY, DDC), pp. 115–123.
SEKESEKE-2013-AndradeM #adaptation #design #feedback #self
Architectural Design Spaces for Feedback Control Concerns in Self-Adaptive Systems (SSA, RJdAM), pp. 741–746.
SEKESEKE-2013-BarbosaFNM #learning #towards
Towards the Establishment of a Reference Architecture for Developing Learning Environments (EFB, MLF, EYN, JCM), pp. 350–355.
SEKESEKE-2013-BelleEDM #optimisation #problem #question
The Layered Architecture revisited: Is it an Optimization Problem? (ABB, GEB, CD, HM), pp. 344–349.
SEKESEKE-2013-CheP #design #development #paradigm
Exploring Architectural Design Decision Management Paradigms for Global Software Development (MC, DEP), pp. 8–13.
SEKESEKE-2013-SantosGGFN #embedded #evaluation
A Checklist for Evaluation of Reference Architectures of Embedded Systems (JFMS, MG, MG, DF, EYN), pp. 451–454.
SEKESEKE-2013-ShahinLL #concept #documentation #using
Recovering Software Architectural Knowledge from Documentation using Conceptual Model (MS, PL, ZL), pp. 556–561.
SEKESEKE-2013-SunSJ #maintenance #using
Using Architecture to Support the Collaborations in Software Maintenance (YS, HS, WJ), pp. 362–357.
SEKESEKE-2013-TaoLG #approach #component #configuration management #incremental #testing #using
Testing Configurable Architectures For Component-Based Software Using an Incremental Approach (CT, BL, JG), pp. 356–361.
SEKESEKE-2013-TofanGA #information management
Improving Architectural Knowledge Management in Public Sector Organizations — an Interview Study (DT, MG, PA), pp. 568–573.
SIGIRSIGIR-2013-AsadiL #effectiveness #generative #multi #performance #retrieval #trade-off
Effectiveness/efficiency tradeoffs for candidate generation in multi-stage retrieval architectures (NA, JL), pp. 997–1000.
AMTAMT-2013-AgirreES #automation #development #impact analysis #migration #modelling
Automatic Impact Analysis of Software Architecture Migration on Model Driven Software Development (JAA, LE, GS), pp. 52–61.
MODELSMoDELS-2013-Gonzalez-HuertaIA #approach #multi #validation
Defining and Validating a Multimodel Approach for Product Architecture Derivation and Improvement (JGH, EI, SA), pp. 388–404.
MODELSMoDELS-2013-SunkleKR #enterprise #modelling #ontology #using
Analyzing Enterprise Models Using Enterprise Architecture-Based Ontology (SS, VK, SR), pp. 622–638.
PLEASEPLEASE-2013-SchultisEL #ecosystem #industrial #question #towards
Moving towards industrial software ecosystems: Are our software architectures fit for the future? (KBS, CE, DL), pp. 9–12.
SPLCSPLC-2013-MannionS #product line #requirements
Aligning product line business and technical strategies: mapping product line requirements to a product line architecture (MM, JS), p. 278.
SPLCSPLC-2013-Nakagawa0M #design #process #product line #towards
Towards a process to design product line architectures based on reference architectures (EYN, MB, JCM), pp. 157–161.
SPLCSPLC-2013-Taylor #ecosystem
The role of architectural styles in successful software ecosystems (RNT), pp. 2–4.
SPLCSPLC-2013-TraskR #modelling #product line
Leveraging model driven engineering in software product line architectures (BT, AR), p. 279.
HILTHILT-2013-BolengS #concept #implementation
Service-oriented architecture (SOA) concepts and implementations (JB, RES), pp. 11–12.
HILTHILT-2013-Whalen #analysis #development #formal method #modelling #scalability #using
Up and out: scaling formal analysis using model-based development and architecture modeling (MWW), pp. 41–42.
LOPSTRLOPSTR-2013-GonzalezIS #debugging #eclipse #hybrid
A New Hybrid Debugging Architecture for Eclipse (JG, DI, JS), pp. 183–201.
PLDIPLDI-2013-NowatzkiSCSER #constraints #framework #scheduling
A general constraint-centric scheduling framework for spatial architectures (TN, MST, LDC, KS, CE, BR), pp. 495–506.
REER-BR-2013-PimentelCSSVG #adaptation #requirements
Requirements and Architectures for Adaptive Systems (JP, JC, ES, MS, JV, GG).
RERE-2013-Cousins #challenge #industrial #requirements
Starchitects and Jack-Hammers: Requirements engineering challenges and practices in the construction industry (FC), p. 2.
RERE-2013-SchneiderBB #enterprise #implementation #modelling #requirements
A tool implementation of the unified requirements modeling language as enterprise architect add-in (FS, BB, BB), pp. 334–335.
REFSQREFSQ-2013-Cleland-HuangCK #agile #approach #requirements
A Persona-Based Approach for Exploring Architecturally Significant Requirements in Agile Projects (JCH, AC, EK), pp. 18–33.
REFSQREFSQ-2013-DanevaBH #case study #experience #quality #question #requirements #what
Software Architects’ Experiences of Quality Requirements: What We Know and What We Do Not Know? (MD, LB, AH), pp. 1–17.
ASEASE-2013-BarnesPG #automation #evolution
Automated planning for software architecture evolution (JMB, AP, DG), pp. 213–223.
ASEASE-2013-GarciaIM #analysis #comparative
A comparative analysis of software architecture recovery techniques (JG, II, NM), pp. 486–496.
ASEASE-2013-Mirakhorli #implementation #visualisation
Preventing erosion of architectural tactics through their strategic implementation, preservation, and visualization (MM), pp. 762–765.
ASEASE-2013-VanciuA #constraints #using
Finding architectural flaws using constraints (RV, MAA), pp. 334–344.
ESEC-FSEESEC-FSE-2013-Dajsuren #quality
Automotive architecture description and its quality (YD), pp. 727–730.
ESEC-FSEESEC-FSE-2013-Vogel #towards
Towards open architecture system (BV), pp. 731–734.
ICSEICSE-2013-AlmorsyGI #analysis #automation #security #using
Automated software architecture security risk analysis using formalized signatures (MA, JG, ASI), pp. 662–671.
ICSEICSE-2013-BuckleyMRA #consistency #named
JITTAC: a just-in-time tool for architectural consistency (JB, SM, JR, NA), pp. 1291–1294.
ICSEICSE-2013-Che #approach #design #evolution
An approach to documenting and evolving architectural design decisions (MC), pp. 1373–1376.
ICSEICSE-2013-DornT #adaptation
Coupling software architecture and human architecture for collaboration-aware system adaptation (CD, RNT), pp. 53–62.
ICSEICSE-2013-EsfahaniMR #named #nondeterminism
GuideArch: guiding the exploration of architectural solution space under uncertainty (NE, SM, KR), pp. 43–52.
ICSEICSE-2013-GarciaKMM
Obtaining ground-truth software architectures (JG, IK, CM, NM), pp. 901–910.
ICSEICSE-2013-SchwankeXC #analysis #quality
Measuring architecture quality by structure plus history analysis (RWS, LX, YC), pp. 891–900.
SACSAC-2013-BusseSDMR #manycore #scheduling
Analyzing resource interdependencies in multi-core architectures to improve scheduling decisions (AB, JHS, MD, GM, JR), pp. 1595–1602.
SACSAC-2013-CouceiroPR
A collective robotic architecture in search and rescue scenarios (MSC, DP, RPR), pp. 64–69.
SACSAC-2013-DermevalCSPBBETS #design #metamodelling #on the #requirements #using
On the use of metamodeling for relating requirements and architectural design decisions (DD, JC, CTLLS, JP, IIB, PHdSB, EE, TT, APdS), pp. 1278–1283.
SACSAC-2013-FanYZ #framework #modelling #scalability
A generic framework for deriving architecture modeling methods for large-scale software-intensive systems (ZF, TY, LZ), pp. 1750–1757.
SACSAC-2013-GaoB
User centric complex event processing based on service oriented architectures (FG, SB), pp. 1933–1934.
SACSAC-2013-JungWKO #automation #interactive #using
Heterogeneous device interaction using an IPv6 enabled service-oriented architecture for building automation systems (MJ, JW, WK, AO), pp. 1939–1941.
SACSAC-2013-MezghaniHRD #configuration management #modelling
A model driven methodology for enabling autonomic reconfiguration of service oriented architecture (EM, RBH, IBR, KD), pp. 1772–1773.
SACSAC-2013-SilvaATADG #overview
Smart cities software architectures: a survey (WMdS, , GHRPT, RAA, KLD, VCG), pp. 1722–1727.
SACSAC-2013-SinghR #algorithm #optimisation #predict
Meta-learning based architectural and algorithmic optimization for achieving green-ness in predictive workload analytics (NS, SR), pp. 1169–1176.
SACSAC-2013-TranLZ #metadata #security
Derivation of domain-specific architectural knowledge views from governance and security compliance metadata (HT, IL, UZ), pp. 1728–1733.
ASPLOSASPLOS-2013-JooybarFODA #gpu #named
GPUDet: a deterministic GPU architecture (HJ, WWLF, MO, JD, TMA), pp. 1–12.
ASPLOSASPLOS-2013-MittalBBS #embedded #performance #platform
Efficient virtualization on embedded power architecture® platforms (AM, DB, SB, VS), pp. 445–458.
ASPLOSASPLOS-2013-PhothilimthanaARA #performance
Portable performance on heterogeneous architectures (PMP, JA, JRK, SPA), pp. 431–444.
CASECASE-2013-CuiVM #adaptation #embedded #named #self
ReFrESH: A self-adaptive architecture for autonomous embedded systems (YC, RMV, MHM), pp. 850–855.
CASECASE-2013-VenatorLN #hardware #industrial #mobile
Hardware and software architecture of ABBY: An industrial mobile manipulator (EV, GSL, WSN), pp. 324–329.
CASECASE-2013-ZenobioCTS #automation #named
EDISON: An innovative lighting architecture facilitating building automation (DDZ, NDC, ST, KS), pp. 231–236.
CCCC-2013-WhelanLK #data flow #independence #information management
Architecture-Independent Dynamic Information Flow Tracking (RW, TL, DRK), pp. 144–163.
CGOCGO-2013-LeeKGKA #convergence
Convergence and scalarization for data-parallel architectures (YL, RK, VG, SWK, KA), p. 11.
DACDAC-2013-AgrawalRHSPC #clustering #framework #multi #platform
Early exploration for platform architecture instantiation with multi-mode application partitioning (PA, PR, MH, NS, LVdP, FC), p. 8.
DACDAC-2013-AncajasNCR
HCI-tolerant NoC router microarchitecture (DMA, JMN, KC, SR), p. 10.
DACDAC-2013-GeorgakosSSC #challenge #reliability
Reliability challenges for electric vehicles: from devices to architecture and systems software (GG, US, RS, SC), p. 9.
DACDAC-2013-HamzehSV #configuration management #named
REGIMap: register-aware application mapping on coarse-grained reconfigurable architectures (CGRAs) (MH, AS, SBKV), p. 10.
DACDAC-2013-KauerNSLCH #composition #concurrent
Modular system-level architecture for concurrent cell balancing (MK, SN, SS, ML, SC, LH), p. 10.
DACDAC-2013-LukasiewyczSASWCKMSFC #design
System architecture and software design for electric vehicles (ML, SS, SA, FS, PW, WC, MK, PM, SS, SAF, SC), p. 6.
DACDAC-2013-RahimiBG
Aging-aware compiler-directed VLIW assignment for GPGPU architectures (AR, LB, RKG), p. 6.
DACDAC-2013-SchurmansZALACW #automation #communication #modelling #using
Creation of ESL power models for communication architectures using automatic calibration (SS, DZ, DA, RL, GA, XC, LW), p. 58.
DACDAC-2013-ShafaeiSP #distance #interactive #linear #nearest neighbour #optimisation #quantum
Optimization of quantum circuits for interaction distance in linear nearest neighbor architectures (AS, MS, MP), p. 6.
DACDAC-2013-TajikHD #3d #manycore #named #process
VAWOM: temperature and process variation aware wearout management in 3D multicore architecture (HT, HH, ND), p. 8.
DACDAC-2013-TurakhiaRGM #multi #named #synthesis
HaDeS: architectural synthesis for heterogeneous dark silicon chip multi-processors (YT, BR, SG, DM), p. 7.
DACDAC-2013-WagstaffGFT #partial evaluation #set
Early partial evaluation in a JIT-compiled, retargetable instruction set simulator generated from a high-level architecture description (HW, MG, BF, NPT), p. 6.
DATEDATE-2013-AkhlaghiKAP #network #performance
An efficient network on-chip architecture based on isolating local and non-local communications (VA, MK, AAK, MP), pp. 350–353.
DATEDATE-2013-BaiS #automation #data transformation #manycore #memory management #performance
Automatic and efficient heap data management for limited local memory multicore architectures (KB, AS), pp. 593–598.
DATEDATE-2013-ChenL #2d #data access #memory management
Dual-addressing memory architecture for two-dimensional memory access patterns (YHC, YYL), pp. 71–76.
DATEDATE-2013-FakihGFR #analysis #model checking #performance #towards #using
Towards performance analysis of SDFGs mapped to shared-bus architectures using model-checking (MF, KG, MF, AR), pp. 1167–1172.
DATEDATE-2013-GhiribaldiBN #effectiveness #manycore
A transition-signaling bundled data NoC switch architecture for cost-effective GALS multicore systems (AG, DB, SMN), pp. 332–337.
DATEDATE-2013-GomonyAG #memory management #multi #realtime
Architecture and optimal configuration of a real-time multi-channel memory controller (MDG, BA, KG), pp. 1307–1312.
DATEDATE-2013-HongK #named
AVICA: an access-time variation insensitive L1 cache architecture (SH, SK), pp. 65–70.
DATEDATE-2013-ImagawaTOS #analysis #configuration management #effectiveness
A cost-effective selective TMR for heterogeneous coarse-grained reconfigurable architectures based on DFG-level vulnerability analysis (TI, HT, HO, TS), pp. 701–706.
DATEDATE-2013-JahnH #manycore #named #pipes and filters #self
Pipelets: self-organizing software pipelines for many-core architectures (JJ, JH), pp. 1516–1521.
DATEDATE-2013-KinsyCKD #grid #named #smarttech
MARTHA: architecture for control and emulation of power electronics and smart grid systems (MAK, IC, OK, SD), pp. 519–524.
DATEDATE-2013-LeeJS #hybrid #memory management #performance
Fast shared on-chip memory architecture for efficient hybrid computing with CGRAs (JL, YJ, SS), pp. 1575–1578.
DATEDATE-2013-LotfianJ #hardware #power management #smarttech #using
An ultra-low power hardware accelerator architecture for wearable computers using dynamic time warping (RL, RJ), pp. 913–916.
DATEDATE-2013-MaricAV #hybrid #performance #reliability #using
Efficient cache architectures for reliable hybrid voltage operation using EDC codes (BM, JA, MV), pp. 917–920.
DATEDATE-2013-MaYGGTBH #analysis #towards #validation
Toward polychronous analysis and validation for timed software architectures in AADL (YM, HY, TG, PLG, JPT, LB, MH), pp. 1173–1178.
DATEDATE-2013-NugterenBC #future of #parametricity
Future of GPGPU micro-architectural parameters (CN, GJvdB, HC), pp. 392–395.
DATEDATE-2013-PiriouDRR #estimation #performance #programmable #reduction
A fast and accurate methodology for power estimation and reduction of programmable architectures (EP, RD, FR, SR), pp. 1054–1055.
DATEDATE-2013-RakossyHTSNO #array #fault #functional #testing
Hot-swapping architecture with back-biased testing for mitigation of permanent faults in functional unit array (ZER, MH, HT, TS, YN, HO), pp. 535–540.
DATEDATE-2013-RustLP #complexity #using
Low complexity QR-decomposition architecture using the logarithmic number system (JR, FL, SP), pp. 97–102.
DATEDATE-2013-SagstetterLSWBHJPPC #challenge #design #hardware #security
Security challenges in automotive hardware/software architecture design (FS, ML, SS, MW, AB, WRH, SJ, TP, AP, SC), pp. 458–463.
DATEDATE-2013-ThabetLAPD #flexibility #hardware #manycore #performance
An efficient and flexible hardware support for accelerating synchronization operations on the STHORM many-core architecture (FT, YL, CA, JMP, RD), pp. 531–534.
DATEDATE-2013-VermesanBJHBM #ecosystem #mobile
Smart, connected and mobile: architecting future electric mobility ecosystems (OV, LCJB, RJ, PH, RB, AM), pp. 1740–1744.
DATEDATE-2013-WangLPKC #configuration management #cost analysis #design #optimisation
Capital cost-aware design and partial shading-aware architecture optimization of a reconfigurable photovoltaic system (YW, XL, MP, JK, NC), pp. 909–912.
DATEDATE-2013-XydisPZS #compilation #framework #metamodelling #parametricity #synthesis
A meta-model assisted coprocessor synthesis framework for compiler/architecture parameters customization (SX, GP, VZ, CS), pp. 659–664.
DATEDATE-2013-YuehCM #quality
Perceptual quality preserving SRAM architecture for color motion pictures (WY, MC, SM), pp. 103–108.
DATEDATE-2013-ZhangCBACL #3d #composition #manycore #named #performance
3D-MMC: a modular 3D multi-core architecture with efficient resource pooling (TZ, AC, GB, PA, AKC, YL), pp. 1241–1246.
HPCAHPCA-2013-BlemMS
Power struggles: Revisiting the RISC vs. CISC debate on contemporary ARM and x86 architectures (ERB, JM, KS), pp. 1–12.
HPCAHPCA-2013-CarterABCDDFGGKLMMPTTVVX #named #ubiquitous
Runnemede: An architecture for Ubiquitous High-Performance Computing (NPC, AA, SB, RC, HD, DD, JBF, IG, RAG, RCK, RL, BM, AKM, WRP, JT, JT, NV, GV, JX), pp. 198–209.
HPCAHPCA-2013-GoswamiCL #memory management #throughput #using
Power-performance co-optimization of throughput core architecture using resistive memory (NG, BC, TL), pp. 342–353.
HPCAHPCA-2013-KayaalpSNPA #named #reuse
SCRAP: Architecture for signature-based protection from Code Reuse Attacks (MK, TS, JN, DP, NBAG), pp. 258–269.
HPCAHPCA-2013-KhanAWKJ #manycore #performance #using
Improving multi-core performance using mixed-cell cache architecture (SMK, ARA, CW, JK, DAJ), pp. 119–130.
HPCAHPCA-2013-LeeKSLSM #latency #low cost
Tiered-latency DRAM: A low latency and low cost DRAM architecture (DL, YK, VS, JL, LS, OM), pp. 615–626.
HPCAHPCA-2013-MahmoodKH #adaptation #named #scalability
Macho: A failure model-oriented adaptive cache architecture to enable near-threshold voltage scaling (TM, SK, SH), pp. 532–541.
HPCAHPCA-2013-RobatmiliLEGSPBK #effectiveness #how #manycore #predict
How to implement effective prediction and forwarding for fusable dynamic multicore architectures (BR, DL, HE, MSSG, AS, AP, DB, SWK), pp. 460–471.
HPCAHPCA-2013-SinghSFOA #gpu
Cache coherence for GPU architectures (IS, AS, WWLF, MO, TMA), pp. 578–590.
HPCAHPCA-2013-SudanBLXMLB #lightweight #novel #using #web
A novel system architecture for web scale applications using lightweight CPUs and virtualized I/O (KS, SB, SL, MX, DM, GL, RB), pp. 167–178.
HPCAHPCA-2013-WangCWMZLN #execution #parallel
A multiple SIMD, multiple data (MSMD) architecture: Parallel execution of dynamic and static SIMD fragments (YW, SC, JW, JM, KZ, WL, XN), pp. 603–614.
HPCAHPCA-2013-XiaLC #physics #virtual machine
Architecture support for guest-transparent VM protection from untrusted hypervisor and physical attacks (YX, YL, HC), pp. 246–257.
HPDCHPDC-2013-KassaN #named #performance #retrieval
SCDA: SLA-aware cloud datacenter architecture for efficient content storage and retrieval (DFK, KN), pp. 121–122.
PDPPDP-2013-DemirizBA #constraints #design #named #programming #using
CPNoC: On Using Constraint Programming in Design of Network-on-Chip Architecture (AD, NB, AA), pp. 486–493.
PDPPDP-2013-GoliG #algorithm #coordination #hybrid #performance
Heterogeneous Algorithmic Skeletons for Fast Flow with Seamless Coordination over Hybrid Architectures (MG, HGV), pp. 148–156.
PPoPPPPoPP-2013-LiuDJK #layout #optimisation
Data layout optimization for GPGPU architectures (JL, WD, OJ, MTK), pp. 283–284.
SOSPSOSP-2013-ThereskaBOKRTBZ #named
IOFlow: a software-defined storage architecture (ET, HB, GO, TK, AITR, TT, RB, TZ), pp. 182–196.
CBSECBSE-2012-AtkinsonH #component #development #incremental
Iterative and incremental development of component-based software architectures (CA, OH), pp. 77–82.
CBSECBSE-2012-Bosch
Speed and innovation through architecture (JB), pp. 1–2.
CBSECBSE-2012-BrosigHK #dependence #modelling #online #parametricity #performance
Modeling parameter and context dependencies in online architecture-level performance models (FB, NH, SK), pp. 3–12.
CBSECBSE-2012-GhafariJSH #approach #component #configuration management #consistency
An architectural approach to ensure globally consistent dynamic reconfiguration of component-based systems (MG, PJ, SS, HH), pp. 177–182.
CBSECBSE-2012-HeinzemannPB #component #configuration management #modelling #towards
Towards modeling reconfiguration in hierarchical component architectures (CH, CP, SB), pp. 23–28.
CBSECBSE-2012-RouvoyM #agile #prototype
Rapid prototyping of domain-specific architecture languages (RR, PM), pp. 13–22.
QoSAQoSA-2012-AliRB #case study #multi #realtime
Characterizing real-time reflexion-based architecture recovery: an in-vivo multi-case study (NA, JR, JB), pp. 23–32.
QoSAQoSA-2012-Barnes #case study #evolution #multi
NASA’s advanced multimission operations system: a case study in software architecture evolution (JMB), pp. 3–12.
QoSAQoSA-2012-Brebner #assessment #case study #experience #lifecycle #modelling #performance
Experiences with early life-cycle performance modeling for architecture assessment (PB), pp. 149–154.
QoSAQoSA-2012-DajsurenBSH #case study #consistency #multi
Automotive ADLS: a study on enforcing consistency through multiple architectural levels (YD, MvdB, AS, RH), pp. 71–80.
QoSAQoSA-2012-DurdikR #approach #design #modelling
Position paper: approach for architectural design and modelling with documented design decisions (ADMD3) (ZD, RHR), pp. 49–54.
QoSAQoSA-2012-EklundB #ecosystem #framework #multi #platform #using
Using architecture for multiple levels of access to an ecosystem platform (UE, JB), pp. 143–148.
QoSAQoSA-2012-EramoCPT #bidirectional #model transformation #refactoring
Performance-driven architectural refactoring through bidirectional model transformations (RE, VC, AP, MT), pp. 55–60.
QoSAQoSA-2012-HaitzerZ #abstraction #automation #component #lifecycle
DSL-based support for semi-automated architectural component model abstraction throughout the software lifecycle (TH, UZ), pp. 61–70.
QoSAQoSA-2012-NaabS #flexibility #lifecycle
Architectural flexibility in a software-system’s life-cycle: systematic construction and exploitation of flexibility (MN, JS), pp. 13–22.
WICSA-ECSAWICSA-ECSA-2012-Al-AzzaniB #evaluation #named #security #testing
SecArch: Architecture-level Evaluation and Testing for Security (SAA, RB), pp. 51–60.
WICSA-ECSAWICSA-ECSA-2012-BassN #comprehension #evaluation
Understanding the Context of Architecture Evaluation Methods (LB, RLN), pp. 277–281.
WICSA-ECSAWICSA-ECSA-2012-CortellessaSMT #performance
Enabling Performance Antipatterns to Arise from an ADL-based Software Architecture (VC, MdS, ADM, CT), pp. 310–314.
WICSA-ECSAWICSA-ECSA-2012-EklundB #empirical #scalability
Architecture for Large-Scale Innovation Experiment Systems (UE, JB), pp. 244–248.
WICSA-ECSAWICSA-ECSA-2012-ElorantaHVK #documentation #generative #knowledge base #named #topic #using
TopDocs: Using Software Architecture Knowledge Base for Generating Topical Documents (VPE, OH, TV, KK), pp. 191–195.
WICSA-ECSAWICSA-ECSA-2012-FrancoBR #automation #predict #reliability
Automated Reliability Prediction from Formal Architectural Descriptions (JMF, RB, MZR), pp. 302–309.
WICSA-ECSAWICSA-ECSA-2012-GarciaKMD #framework
A Framework for Obtaining the Ground-Truth in Architectural Recovery (JG, IK, NM, CD), pp. 292–296.
WICSA-ECSAWICSA-ECSA-2012-GhabiE #modelling #nondeterminism #traceability
Exploiting Traceability Uncertainty between Architectural Models and Code (AG, AE), pp. 171–180.
WICSA-ECSAWICSA-ECSA-2012-GorlickST #distributed #named #on-demand
COAST: An Architectural Style for Decentralized On-Demand Tailored Services (MMG, KS, RNT), pp. 71–80.
WICSA-ECSAWICSA-ECSA-2012-GraafTLV #documentation #ontology
Ontology-based Software Architecture Documentation (KAdG, AT, PL, HvV), pp. 121–130.
WICSA-ECSAWICSA-ECSA-2012-GroherW #variability
Integrating Variability Management and Software Architecture (IG, RW), pp. 262–266.
WICSA-ECSAWICSA-ECSA-2012-HeeschAH
Forces on Architecture Decisions — A Viewpoint (UvH, PA, RH), pp. 101–110.
WICSA-ECSAWICSA-ECSA-2012-HeymanSJ #formal method #modelling #reuse
Reusable Formal Models for Secure Software Architectures (TH, RS, WJ), pp. 41–50.
WICSA-ECSAWICSA-ECSA-2012-HilliardMMP #composition #framework #on the #reuse #using
On the Composition and Reuse of Viewpoints across Architecture Frameworks (RH, IM, HM, PP), pp. 131–140.
WICSA-ECSAWICSA-ECSA-2012-KeulerKNR #framework #re-engineering #towards
Architecture Engagement Purposes: Towards a Framework for Planning “Just Enough”-Architecting in Software Engineering (TK, JK, MN, DR), pp. 234–238.
WICSA-ECSAWICSA-ECSA-2012-KeulerWW #agile #programming
Architecture-aware Programming in Agile Environments (TK, SW, BW), pp. 229–233.
WICSA-ECSAWICSA-ECSA-2012-KoziolekDGVW #lightweight #named
MORPHOSIS: A Lightweight Method Facilitating Sustainable Software Architectures (HK, DD, TG, PV, RJW), pp. 253–257.
WICSA-ECSAWICSA-ECSA-2012-KuzZBSX #approach #effectiveness
An Architectural Approach for Cost Effective Trustworthy Systems (IK, LZ, LB, MS, XX), pp. 325–328.
WICSA-ECSAWICSA-ECSA-2012-LanduytTJ #requirements
Documenting Early Architectural Assumptions in Scenario-Based Requirements (DVL, ET, WJ), pp. 329–333.
WICSA-ECSAWICSA-ECSA-2012-LytraSZ #framework #integration #multi #platform
Architectural Decision Making for Service-Based Platform Integration: A Qualitative Multi-Method Study (IL, SS, UZ), pp. 111–120.
WICSA-ECSAWICSA-ECSA-2012-MiesbauerW #information management #maintenance #using
Capturing and Maintaining Architectural Knowledge Using Context Information (CM, RW), pp. 206–210.
WICSA-ECSAWICSA-ECSA-2012-NakagawaOB #named
RAModel: A Reference Model for Reference Architectures (EYN, FO, MB), pp. 297–301.
WICSA-ECSAWICSA-ECSA-2012-NewmanK #embedded #resource management #runtime
A Runtime Resource-aware Architecture for Service-oriented Embedded Systems (PN, GK), pp. 61–70.
WICSA-ECSAWICSA-ECSA-2012-NordOKG #metric #technical debt
In Search of a Metric for Managing Architectural Technical Debt (RLN, IO, PK, MGR), pp. 91–100.
WICSA-ECSAWICSA-ECSA-2012-SimantaLMHS #mobile
A Reference Architecture for Mobile Code Offload in Hostile Environments (SS, GAL, EJM, KH, MS), pp. 282–286.
WICSA-ECSAWICSA-ECSA-2012-SuTHG #case study #documentation #information management
A Study of Architectural Information Foraging in Software Architecture Documents (MTS, EDT, JGH, JCG), pp. 141–150.
WICSA-ECSAWICSA-ECSA-2012-SuYZ #analysis #component #semantics
Semantic Analysis of Component-aspect Dynamism for Connector-based Architecture Styles (GS, MY, CZ), pp. 151–160.
WICSA-ECSAWICSA-ECSA-2012-SzlenkZK #modelling #requirements
Modelling Architectural Decisions under Changing Requirements (MS, AZ, SK), pp. 211–214.
WICSA-ECSAWICSA-ECSA-2012-WeinreichMBK
Extracting and Facilitating Architecture in Service-Oriented Software Systems (RW, CM, GB, TK), pp. 81–90.
WICSA-ECSAWICSA-ECSA-2012-WoodsB #case study #experience #industrial #information management #scalability #using
Using an Architecture Description Language to Model a Large-Scale Information System — An Industrial Experience Report (EW, RB), pp. 239–243.
WICSA-ECSAWICSA-ECSA-2012-ZhangUVZHC #modelling #named
Dedal-CDL: Modeling First-class Architectural Changes in Dedal (H(Z, CU, SV, LZ, MH, BC), pp. 272–276.
DocEngDocEng-2012-SoaresNS #behaviour #constraints #hypermedia
Architecture for hypermedia dynamic applications with content and behavior constraints (LFGS, CdSSN, JGS), pp. 217–226.
HTHT-2012-SofeanS #design #detection #evaluation #implementation #network #realtime #social #using
A real-time architecture for detection of diseases using social networks: design, implementation and evaluation (MS, MS), pp. 309–310.
SIGMODSIGMOD-2012-FengKRR #towards
Towards a unified architecture for in-RDBMS analytics (XF, AK, BR, CR), pp. 325–336.
CSMRCSMR-2012-BertranAGCS #identification #on the
On the Relevance of Code Anomalies for Identifying Architecture Degradation Symptoms (IMB, RA, AG, CC, AvS), pp. 277–286.
CSMRCSMR-2012-CastrejonLV #maintenance #named #verification #web
Web2MexADL: Discovery and Maintainability Verification of Software Systems Architecture (JCC, RL, GVS), pp. 531–534.
CSMRCSMR-2012-HassaineGHA #evolution #named
ADvISE: Architectural Decay in Software Evolution (SH, YGG, SH, GA), pp. 267–276.
CSMRCSMR-2012-JuniorPCM #parallel #version control
A GPU-based Architecture for Parallel Image-aware Version Control (JRdSJ, TP, EWGC, LGPM), pp. 191–200.
CSMRCSMR-2012-LytraTZ #component #consistency #constraints #design #evolution #modelling
Constraint-Based Consistency Checking between Design Decisions and Component Models for Supporting Software Architecture Evolution (IL, HT, UZ), pp. 287–296.
CSMRCSMR-2012-PlateniusDB #design #named
Archimetrix: Improved Software Architecture Recovery in the Presence of Design Deficiencies (MCP, MvD, SB), pp. 255–264.
CSMRCSMR-2012-TerraVCB #recommendation #refactoring
Recommending Refactorings to Reverse Software Architecture Erosion (RT, MTV, KC, RdSB), pp. 335–340.
ICPCICPC-2012-Sajnani #approach #automation #machine learning
Automatic software architecture recovery: A machine learning approach (HS), pp. 265–268.
ICSMEICSM-2012-BertranACGS #identification
Supporting the identification of architecturally-relevant code anomalies (IMB, RA, EC, AG, AvS), pp. 662–665.
ICSMEICSM-2012-Schutter #automation
Automated architectural reviews with Semmle (KDS), pp. 557–565.
ICSMEICSM-2012-Weitzel #comprehension #deployment #enterprise #towards
Understanding deployment costs of enterprise systems: Towards architecture support in deployment decisions (BW), pp. 677–680.
MSRMSR-2012-GuanaRHS #analysis #android #multi
Do the stars align? Multidimensional analysis of Android’s layered architecture (VG, FR, AH, ES), pp. 124–127.
MSRMSR-2012-HuHHW #android #dependence
The build dependency perspective of Android’s concrete architecture (WH, DH, AH, KW), pp. 128–131.
WCREWCRE-2012-BrunetBGF #on the
On the Evolutionary Nature of Architectural Violations (JB, RAB, DSG, JCAdF), pp. 257–266.
WCREWCRE-2012-Detten #named #re-engineering
Archimetrix: A Tool for Deficiency-Aware Software Architecture Reconstruction (MvD), pp. 503–504.
WCREWCRE-2012-El-BoussaidiBVM #legacy
Reconstructing Architectural Views from Legacy Systems (GEB, ABB, SV, HM), pp. 345–354.
FDGFDG-2012-Gestwicki #development #game studies
The entity system architecture and its application in an undergraduate game development studio (PG), pp. 73–80.
CHICHI-2012-MoellerK #interactive #multi #named
ZeroTouch: an optical multi-touch and free-air interaction architecture (JM, AK), pp. 2165–2174.
CHICHI-2012-YangFLGB #navigation #scalability #web
Aural browsing on-the-go: listening-based back navigation in large web architectures (TY, MF, YL, RRG, DB), pp. 277–286.
EDOCEDOC-2012-FarwickPBSVH #automation #enterprise #maintenance #metamodelling
A Meta-Model for Automated Enterprise Architecture Model Maintenance (MF, WP, RB, CMS, KV, IH), pp. 1–10.
EDOCEDOC-2012-IacobQJ #enterprise
Capturing Business Strategy and Value in Enterprise Architecture to Support Portfolio Valuation (MEI, DACQ, HJ), pp. 11–20.
ICEISICEIS-J-2012-KandjaniB12a #enterprise #evolution
The Enterprise Architecture Body of Knowledge as an Evolving Discipline (HK, PB), pp. 452–470.
ICEISICEIS-v2-2012-BaidouriHNK #composition
A Mediator Architecture for Context-aware Composition in SOA (HB, HH, MN, AK), pp. 245–251.
ICEISICEIS-v2-2012-SemenovV #analysis #social #social media
Ontology-guided Social Media Analysis — System Architecture (AS, JV), pp. 335–341.
ICEISICEIS-v3-2012-BoukhedoumaOAT #adaptation #approach #modelling #workflow
Service based Approach for Adaptability of Workflow Models — The Subcontracting Architecture (SB, MO, ZA, DT), pp. 224–231.
ICEISICEIS-v3-2012-CansadoVS #analysis #enterprise #multi #using
Using Multi-criteria Analysis to Evaluate Enterprise Architecture Scenarios (FC, AV, GS), pp. 232–237.
ICEISICEIS-v3-2012-KandjaniB #enterprise #evolution #towards
Evolution of Enterprise Architecture Discipline — Towards a Unified Developing Theory of Enterprise Architecture Body of Knowledge as an Evolving Discipline (HK, PB), pp. 145–154.
ICEISICEIS-v3-2012-KimO #case study #enterprise #modelling
A Case Study on Modeling of Complex Event Processing in Enterprise Architecture (HK, SO), pp. 173–180.
ICEISICEIS-v3-2012-KumarS #approach #enterprise #metric #performance
Enterprise Architecture Performance Management — A Context based Approach to EA Metrics Definition (AK, PS), pp. 249–254.
ICPRICPR-2012-GutmannH #feature model #image #learning
Learning a selectivity-invariance-selectivity feature extraction architecture for images (MG, AH), pp. 918–921.
KDIRKDIR-2012-PrietoALC
Architecture for a Garbage-less and Fresh Content Search Engine (VMP, , RLG, FC), pp. 378–381.
KMISKMIS-2012-GringelSS #enterprise #process #towards
Towards Process Orientation in Enterprise Architecture Management (PG, JS, US), pp. 145–151.
KMISKMIS-2012-MartinsCJ #collaboration #distributed #ontology #repository
Architecture of a Collaborative Business Intelligence Environment based on an Ontology Repository and Distributed Data Services (VAM, JPCLdC, RTdSJ), pp. 99–106.
SEKESEKE-2012-AffonsoR #configuration management #development
A Proposal of Reference Architecture for the Reconfigurable Software Development (FJA, ELLR), pp. 668–671.
SEKESEKE-2012-ChandaMB #framework #interactive #knowledge base
Interactive Business Rules Framework for Knowledge Based Service Oriented Architecture (DC, DDM, SB), pp. 315–318.
SEKESEKE-2012-DingS #collaboration #mobile #using
Proactive Two Way Mobile Advertisement Using a Collaborative Client Server Architecture (WD, XS), pp. 768–773.
SEKESEKE-2012-JuniorGM #complexity #empirical #metric #product line #validation #variability
Empirical Validation of Variability-based Complexity Metrics for Software Product Line Architecture (EAdOJ, IMdSG, JCM), pp. 622–627.
SEKESEKE-2012-LiuZSH #analysis #modelling #named
SAMAT — A Tool for Software Architecture Modeling and Analysis (SL, RZ, ZS, XH), pp. 352–358.
SEKESEKE-2012-PereiraAS #adaptation #data access #named
ACADA: Access Control-driven Architecture with Dynamic Adaptation (ÓMP, RLA, MYS), pp. 387–393.
SEKESEKE-2012-PimentelSDCF #evolution #model transformation #towards
Towards Architectural Evolution through Model Transformations (JP, ES, DD, JC, AF), pp. 448–451.
SEKESEKE-2012-ShinMGK
Connectors for Secure Software Architectures (MES, BM, HG, TK), pp. 394–399.
SEKESEKE-2012-SoaresPCSTGD #automation #generative #modelling
Automatic Generation of Architectural Models From Goals Models (MS, JP, JC, CTLLS, CTNS, GG, DD), pp. 444–447.
MODELSMoDELS-2012-FavreLV #modelling
Modeling the Linguistic Architecture of Software Products (JMF, RL, AV), pp. 151–167.
MODELSMoDELS-2012-SindicoNS #design #industrial #modelling #process
An Industrial System Engineering Process Integrating Model Driven Architecture and Model Based Design (AS, MDN, ALSV), pp. 810–826.
SPLCSPLC-2012-TischerBMTAS #product line
Developing long-term stable product line architectures (CT, BB, AM, AT, RA, KS), pp. 86–95.
OnwardOnward-2012-HansenLG #programming
Cognitive architectures: a way forward for the psychology of programming (MEH, AL, RLG), pp. 27–38.
HILTHILT-2012-SwardB #concept #implementation
Service-oriented architecture (SOA) concepts and implementations (RES, JB), pp. 11–12.
PLDIPLDI-2012-DubachCRBF #compilation
Compiling a high-level language for GPUs: (via language support for architectures and compilers) (CD, PC, RMR, DFB, SJF), pp. 1–12.
RERE-2012-AmellerACF #case study #how #non-functional #requirements
How do software architects consider non-functional requirements: An exploratory study (DA, CPA, JC, XF), pp. 41–50.
RERE-2012-AmellerCF #named #tool support
ArchiTech: Tool support for NFR-guided architectural decision-making (DA, OC, XF), pp. 315–316.
REFSQREFSQ-2012-EngelsmanW #case study #enterprise #lessons learnt #requirements
Goal-Oriented Requirements Engineering and Enterprise Architecture: Two Case Studies and Some Lessons Learned (WE, RW), pp. 306–320.
REFSQREFSQ-2012-Koziolek #evaluation #feedback #quality #requirements #research
Research Preview: Prioritizing Quality Requirements Based on Software Architecture Evaluation Feedback (AK), pp. 52–58.
REFSQREFSQ-2012-PoortMWV #how #non-functional #requirements
How Architects See Non-Functional Requirements: Beware of Modifiability (ERP, NM, IvdW, HvV), pp. 37–51.
FSEFSE-2012-EsfahaniRM #nondeterminism
Dealing with uncertainty in early software architecture (NE, KR, SM), p. 21.
ICSEICSE-2012-Colanzi #design #product line
Search based design of software product lines architectures (TEC), pp. 1507–1510.
ICSEICSE-2012-DornT #adaptation #co-evolution
Co-adapting human collaborations and software architectures (CD, RNT), pp. 1277–1280.
ICSEICSE-2012-Imtiaz #distributed #perspective #traceability
Architectural task allocation in distributed environment: A traceability perspective (SI), pp. 1515–1518.
ICSEICSE-2012-Kramer #question
Whither software architecture? (JK), p. 963.
ICSEICSE-2012-Wolff #industrial #question #what
Software architecture — What does it mean in industry? (EW), p. 999.
ICSEICSE-2012-YskoutSJ #question #security
Does organizing security patterns focus architectural choices? (KY, RS, WJ), pp. 617–627.
ICSEICSE-2012-ZhengT #behaviour #consistency #implementation
Enhancing architecture-implementation conformance with change management and support for behavioral mapping (YZ, RNT), pp. 628–638.
ICSEICSE-2012-ZhengT12a #implementation #named
xMapper: An architecture-implementation mapping tool (YZ, RNT), pp. 1461–1462.
SACSAC-2012-AlebrahimCHCH #design #interactive #model transformation #problem
Designing architectures from problem descriptions by interactive model transformation (AA, IC, MH, CC, DH), pp. 1256–1258.
SACSAC-2012-ChiprianovKR #enterprise #modelling
Extending Enterprise Architecture Modeling Languages: application to telecommunications service creation (VC, YK, SR), pp. 1661–1666.
SACSAC-2012-DragoB #analysis #design #graph #manycore #named
DAG3: a tool for design and analysis of applications for multicore architectures (MLD, JB), pp. 1159–1164.
SACSAC-2012-FerreiraBMCLF #crawling #framework
An architecture-centered framework for developing blog crawlers (RF, PHdSB, JM, EC, RL, FLGdF), pp. 1131–1136.
SACSAC-2012-LiuCZ #approach #petri net #self #towards
Towards a colored reflective Petri-net approach to model self-evolving service-oriented architectures (YL, WC, BZ), pp. 1858–1865.
SACSAC-2012-NakagawaBM #framework #knowledge-based
A knowledge-based framework for reference architectures (EYN, MB, JCM), pp. 1197–1202.
SACSAC-2012-PereiraR #simulation
An integrated architecture for autonomous vehicles simulation (JLFP, RJFR), pp. 286–292.
SACSAC-2012-ZaraketNSJ #library #parallel #source code #using
Portable Parallel Programs using architecture-aware libraries (FAZ, MN, MS, AJ), pp. 1922–1924.
GPCEGPCE-2012-BagheriS #framework #named #platform #synthesis
Pol: specification-driven synthesis of architectural code frameworks for platform-based applications (HB, KJS), pp. 93–102.
GPCEGPCE-2012-RicheGMB #category theory #design
Pushouts in software architecture design (TLR, RG, BM, DSB), pp. 84–92.
ASPLOSASPLOS-2012-CheritonFSSA #data access #named #performance
HICAMP: architectural support for efficient concurrency-safe shared structured data access (DRC, AF, AS, JPS, OA), pp. 287–300.
ASPLOSASPLOS-2012-EsmaeilzadehSCB #approximate #programming
Architecture support for disciplined approximate programming (HE, AS, LC, DB), pp. 301–312.
ASPLOSASPLOS-2012-LeeS #scheduling #using
Region scheduling: efficiently using the cache architectures via page-level affinity (ML, KS), pp. 451–462.
ASPLOSASPLOS-2012-MeisnerW #named
DreamWeaver: architectural support for deep sleep (DM, TFW), pp. 313–324.
ASPLOSASPLOS-2012-ParkSPCM #performance
SIMD defragmenter: efficient ILP realization on data-parallel architectures (YP, SS, HP, HKC, SAM), pp. 363–374.
ASPLOSASPLOS-2012-SzeferL
Architectural support for hypervisor-secure virtualization (JS, RBL), pp. 437–450.
CASECASE-2012-KosakiS #internet
Control of pneumatic artificial muscles with the just-in-time method based on a client-server architecture via the internet (TK, MS), pp. 980–985.
CGOCGO-2012-JablinJPLA #cpu #gpu
Dynamically managed data for CPU-GPU architectures (TBJ, JAJ, PP, FL, DIA), pp. 165–174.
DACDAC-2012-ChatterjeeKMZB #platform
Checking architectural outputs instruction-by-instruction on acceleration platforms (DC, AK, RM, AZ, VB), pp. 955–961.
DACDAC-2012-ChoiOKH #data flow #graph #manycore
Executing synchronous dataflow graphs on a SPM-based multicore architecture (JC, HO, SK, SH), pp. 664–671.
DACDAC-2012-CongGGGR
Architecture support for accelerator-rich CMPs (JC, MAG, MG, BG, GR), pp. 843–849.
DACDAC-2012-CongL #metric #optimisation #synthesis
A metric for layout-friendly microarchitecture optimization in high-level synthesis (JC, BL), pp. 1239–1244.
DACDAC-2012-Jeff #migration #multi
Big.LITTLE system architecture from ARM: saving power through heterogeneous multiprocessing and task context migration (BJ), pp. 1143–1146.
DACDAC-2012-JogMXXNID #performance
Cache revive: architecting volatile STT-RAM caches for enhanced performance in CMPs (AJ, AKM, CX, YX, VN, RI, CRD), pp. 243–252.
DACDAC-2012-KimPCXWP #energy #hybrid
Networked architecture for hybrid electrical energy storage systems (YK, SP, NC, QX, YW, MP), pp. 522–528.
DACDAC-2012-LearyCC #memory management #synthesis
System-level synthesis of memory architecture for stream processing sub-systems of a MPSoC (GL, WC, KSC), pp. 672–677.
DACDAC-2012-ParkGMRR #design #energy #performance #using
Future cache design using STT MRAMs for improved energy efficiency: devices, circuits and architecture (SPP, SKG, NNM, AR, KR), pp. 492–497.
DACDAC-2012-SeoDWPCMBM #process
Process variation in near-threshold wide SIMD architectures (SS, RGD, MW, YP, CC, SAM, DB, TNM), pp. 980–987.
DACDAC-2012-VincoCBF #gpu #named
SAGA: SystemC acceleration on GPU architectures (SV, DC, VB, FF), pp. 115–120.
DACDAC-2012-WangDX
Point and discard: a hard-error-tolerant architecture for non-volatile last level caches (JW, XD, YX), pp. 253–258.
DATEDATE-2012-AzevedoVBDGTPAM #fault
Impact of resistive-open defects on the heat current of TAS-MRAM architectures (JA, AV, AB, LD, PG, ATS, GP, JAH, KM), pp. 532–537.
DATEDATE-2012-BattezzatiCMS #algorithm #industrial #novel
SURF algorithm in FPGA: A novel architecture for high demanding industrial applications (NB, SC, MM, LS), pp. 161–162.
DATEDATE-2012-BeaumontHN #execution #hardware #replication #security #using
SAFER PATH: Security architecture using fragmented execution and replication for protection against trojaned hardware (MRB, BDH, TN), pp. 1000–1005.
DATEDATE-2012-BolchiniMS #adaptation #approach #fault #manycore #online
An adaptive approach for online fault management in many-core architectures (CB, AM, DS), pp. 1429–1432.
DATEDATE-2012-CampagnaV #detection #fault #hybrid #validation
An hybrid architecture to detect transient faults in microprocessors: An experimental validation (SC, MV), pp. 1433–1438.
DATEDATE-2012-ChenLMABJ #3d #in memory #memory management #modelling #named
CACTI-3DD: Architecture-level modeling for 3D die-stacked DRAM main memory (KC, SL, NM, JHA, JBB, NPJ), pp. 33–38.
DATEDATE-2012-CondoMM
A Network-on-Chip-based turbo/LDPC decoder architecture (CC, MM, GM), pp. 1525–1530.
DATEDATE-2012-DoganCRBA #design #health #manycore #monitoring #power management #smarttech
Multi-core architecture design for ultra-low-power wearable health monitoring systems (AYD, JC, MR, AB, DA), pp. 988–993.
DATEDATE-2012-GrudnitskyBH #configuration management
Partial online-synthesis for mixed-grained reconfigurable architectures (AG, LB, JH), pp. 1555–1560.
DATEDATE-2012-HameedBH #adaptation #manycore #runtime
Dynamic cache management in multi-core architectures through run-time adaptation (FH, LB, JH), pp. 485–490.
DATEDATE-2012-HanPC #configuration management #power management
State-based full predication for low power coarse-grained reconfigurable architecture (KH, SP, KC), pp. 1367–1372.
DATEDATE-2012-KakoeeLB #clustering #communication #latency
A resilient architecture for low latency communication in shared-L1 processor clusters (MRK, IL, LB), pp. 887–892.
DATEDATE-2012-KamalASP #approach #process
An architecture-level approach for mitigating the impact of process variations on extensible processors (MK, AAK, SS, MP), pp. 467–472.
DATEDATE-2012-KwongG #constant #geometry #performance
A high performance split-radix FFT with constant geometry architecture (JK, MG), pp. 1537–1542.
DATEDATE-2012-MarianiSPZSB #configuration management #design #multi #resource management #runtime #using
Using multi-objective design space exploration to enable run-time resource management for reconfigurable architectures (GM, VMS, GP, VZ, CS, KB), pp. 1379–1384.
DATEDATE-2012-MilbredtGLST #approach #design
Designing FlexRay-based automotive architectures: A holistic OEM approach (PM, MG, ML, AS, JT), pp. 276–279.
DATEDATE-2012-MorchePMV #named #power management
UWB: Innovative architectures enable disruptive low power wireless applications (DM, MP, GM, PV), pp. 1156–1160.
DATEDATE-2012-PrakashP #memory management #precise
An instruction scratchpad memory allocation for the precision timed architecture (AP, HDP), pp. 659–664.
DATEDATE-2012-RajeevMR #constraints #distributed #embedded #verification
Verifying timing synchronization constraints in distributed embedded architectures (ACR, SM, SR), pp. 200–205.
DATEDATE-2012-SheHMC #energy #scheduling
Scheduling for register file energy minimization in explicit datapath architectures (DS, YH, BM, HC), pp. 388–393.
DATEDATE-2012-StripfKB #approximate
A cycle-approximate, mixed-ISA simulator for the KAHRISMA architecture (TS, RK, JB), pp. 21–26.
DATEDATE-2012-WalravensD #design #energy
Design of a low-energy data processing architecture for WSN nodes (CW, WD), pp. 570–573.
DATEDATE-2012-WangLLZLSCY
A compression-based area-efficient recovery architecture for nonvolatile processors (YW, YL, YL, DZ, SL, BS, MFC, HY), pp. 1519–1524.
DATEDATE-2012-ZhaoYZCL #array #memory management
Architecting a common-source-line array for bipolar non-volatile memory devices (BZ, JY, YZ, YC, HL), pp. 1451–1454.
HPCAHPCA-2012-AhnCK #approach #network #scalability
Network within a network approach to create a scalable high-radix router microarchitecture (JHA, SC, JK), pp. 455–466.
HPCAHPCA-2012-ChitlurSHGRKBPZISGJI #named #prototype
QuickIA: Exploring heterogeneous architectures on real prototypes (NC, GS, SH, PKG, DR, DAK, PB, AP, LZ, NI, SS, SG, XJ, RI), pp. 433–440.
HPCAHPCA-2012-LeeK #cpu #gpu #named #policy
TAP: A TLP-aware cache management policy for a CPU-GPU heterogeneous architecture (JL, HK), pp. 91–102.
HPCAHPCA-2012-SeguljaA #parallel #programming
Architectural support for synchronization-free deterministic parallel programming (CS, TSA), pp. 337–348.
HPCAHPCA-2012-VegaBBDFJM
Architectural perspectives of future wireless base stations based on the IBM PowerEN™ processor (AV, PB, AB, JHD, MF, CJ, RKM), pp. 423–432.
HPCAHPCA-2012-YangXMZ #cpu #gpu
CPU-assisted GPGPU on fused CPU-GPU architectures (YY, PX, MM, HZ), pp. 103–114.
HPCAHPCA-2012-YanLHLGL #hybrid #manycore #named #performance
AgileRegulator: A hybrid voltage regulator scheme redeeming dark silicon for power efficiency in a multicore architecture (GY, YL, YH, XL, MG, XL), pp. 287–298.
HPDCHPDC-2012-PasettoMFPX #communication #evaluation #manycore #parallel #performance #thread
Performance evaluation of interthread communication mechanisms on multicore/multithreaded architectures (DP, MM, HF, FP, JX), pp. 131–132.
LCTESLCTES-2012-HuangZX #clustering #embedded #realtime
WCET-aware re-scheduling register allocation for real-time embedded systems with clustered VLIW architecture (YH, MZ, CJX), pp. 31–40.
PDPPDP-2012-DilchM #algorithm #analysis #gpu #novel #optimisation #performance
Optimization Techniques and Performance Analyses of Two Life Science Algorithms for Novel GPU Architectures (DD, EM), pp. 376–383.
PDPPDP-2012-GuerreroSCG #parallel
Parallelization of Virtual Screening in Drug Discovery on Massively Parallel Architectures (GDG, HEPS, JMC, JMG), pp. 588–595.
PDPPDP-2012-Kunkel0 #file system #functional #hardware #modelling #named #parallel #representation
IOPm — Modeling the I/O Path with a Functional Representation of Parallel File System and Hardware Architecture (JMK, TL), pp. 554–561.
PDPPDP-2012-RahmaniLPT #3d #performance
An Efficient Hybridization Scheme for Stacked Mesh 3D NoC Architecture (AMR, PL, JP, HT), pp. 507–514.
PDPPDP-2012-TaoFWM #manycore #performance #virtual machine
A Performance Study of Virtual Machines on Multicore Architectures (JT, KF, LW, HM), pp. 89–96.
PDPPDP-2012-WangB #design #evaluation #throughput
Design and Evaluation of a High Throughput QoS-Aware and Congestion-Aware Router Architecture for Network-on-Chip (CW, NB), pp. 457–464.
FASEFASE-2012-RuscioMMPP #modelling
Model-Driven Techniques to Enhance Architectural Languages Interoperability (DDR, IM, HM, PP, AP), pp. 26–42.
TACASTACAS-2012-ArmandoAABCCCCCCEFMMOPPRRDTV #automation #framework #platform #security #trust #validation
The AVANTSSAR Platform for the Automated Validation of Trust and Security of Service-Oriented Architectures (AA, WA, TA, MB, AC, AC, RC, YC, LC, JC, GE, SF, MM, SM, DvO, GP, SEP, MR, MR, MTD, MT, LV), pp. 267–282.
WRLAWRLA-2012-WirsingEMM #analysis #design #maude
Design and Analysis of Cloud-Based Architectures with KLAIM and Maude (MW, JE, TM, JM), pp. 54–82.
ICLPICLP-2012-NovelliVPD #named
LOG-IDEAH: ASP for Architectonic Asset Preservation (VN, MDV, JAP, DD), pp. 393–403.
ICTSSICTSS-2012-LahamiKBJ #adaptation #design #distributed #problem #using
Using Knapsack Problem Model to Design a Resource Aware Test Architecture for Adaptable and Distributed Systems (ML, MK, MB, MJ), pp. 103–118.
SMTSMT-2012-Shankar #smt
The Architecture of Inference from SMT to ETB (NS), p. 2.
CBSECBSE-2011-HeinzemannH #adaptation #communication #component #embedded #protocol #reuse #self
Reusing dynamic communication protocols in self-adaptive embedded component architectures (CH, SH), pp. 109–118.
CBSECBSE-2011-HuangW #component #exception #towards
Towards architecture-level middleware-enabled exception handling of component-based systems (GH, YW), pp. 159–168.
CBSECBSE-2011-TibermacineSDF #component #constraints #specification
Component-based specification of software architecture constraints (CT, SS, CD, LF), pp. 31–40.
ECSAECSA-2011-AcherCCMDL #feature model #modelling #reverse engineering
Reverse Engineering Architectural Feature Models (MA, AC, PC, PM, LD, PL), pp. 220–235.
ECSAECSA-2011-AdersbergerP #consistency #named #traceability #uml
ReflexML: UML-Based Architecture-to-Code Traceability and Consistency Checking (JA, MP), pp. 344–359.
ECSAECSA-2011-AdmodisastroK #analysis #approach #black box #development
An Architecture Analysis Approach for Supporting Black-Box Software Development (NA, GK), pp. 180–189.
ECSAECSA-2011-AlebrahimHH #integration #quality #requirements #towards
Towards Systematic Integration of Quality Requirements into Software Architecture (AA, DH, MH), pp. 17–25.
ECSAECSA-2011-Axelsson #embedded #how #nondeterminism #on the
On How to Deal with Uncertainty When Architecting Embedded Software and Systems (JA), pp. 199–202.
ECSAECSA-2011-BarbosaBGS #aspect-oriented #named #product line
PL-AspectualACME: An Aspect-Oriented Architectural Description Language for Software Product Lines (EAB, TVB, AFG, ES), pp. 139–146.
ECSAECSA-2011-BruckmannGP #enterprise #monitoring #realtime #towards #using
Towards Real-Time Monitoring and Controlling of Enterprise Architectures Using Business Software Control Centers (TB, VG, MP), pp. 287–294.
ECSAECSA-2011-CapillaZZAK #design #lifecycle #metamodelling #re-engineering
An Enhanced Architectural Knowledge Metamodel Linking Architectural Design Decisions to other Artifacts in the Software Engineering Lifecycle (RC, OZ, UZ, PA, JMK), pp. 303–318.
ECSAECSA-2011-CasanovaSGA #fault #runtime
Architecture-Based Run-Time Fault Diagnosis (PC, BRS, DG, RA), pp. 261–277.
ECSAECSA-2011-CiraciSA #evolution
Guiding Architects in Selecting Architectural Evolution Alternatives (SC, HS, MA), pp. 252–260.
ECSAECSA-2011-CuboP #automation #component #composition #framework #named
DAMASCo: A Framework for the Automatic Composition of Component-Based and Service-Oriented Architectures (JC, EP), pp. 388–404.
ECSAECSA-2011-DeitersR #approach #composition #design
A Constructive Approach to Compositional Architecture Design (CD, AR), pp. 75–82.
ECSAECSA-2011-DemirliT
Software Language Engineering of Architectural Viewpoints (ED, BT), pp. 336–343.
ECSAECSA-2011-DiazPGW #impact analysis #product line
Change Impact Analysis in Product-Line Architectures (JD, JP, JG, ALW), pp. 114–129.
ECSAECSA-2011-DwivediEFGS #approach
An Architectural Approach to End User Orchestrations (VD, PVE, JMF, DG, BRS), pp. 370–378.
ECSAECSA-2011-GamezFA #feature model #modelling
Autonomic Computing Driven by Feature Models and Architecture in FamiWare (NG, LF, MAA), pp. 164–179.
ECSAECSA-2011-JohnsenPL #specification #verification
An Architecture-Based Verification Technique for AADL Specifications (AJ, PP, KL), pp. 105–113.
ECSAECSA-2011-JrCCGOFMG #component #lessons learnt #product line #uml
Extending UML Components to Develop Software Product-Line Architectures: Lessons Learned (ACCJ, GGC, TEC, IMdSG, EAOJ, SF, PCM, AFG), pp. 130–138.
ECSAECSA-2011-MassowHH #component #configuration management #performance #runtime #simulation
Performance Simulation of Runtime Reconfigurable Component-Based Software Architectures (RvM, AvH, WH), pp. 43–58.
ECSAECSA-2011-MullerKG
A Question-Based Method for Deriving Software Architectures (MM, BK, MG), pp. 35–42.
ECSAECSA-2011-Naab #design #flexibility #information management
Enhancing Architecture Design Methods for Improved Flexibility in Long-Living Information Systems (MN), pp. 194–198.
ECSAECSA-2011-NakagawaAB #difference #product line
Reference Architecture and Product Line Architecture: A Subtle But Critical Difference (EYN, POA, MB), pp. 207–211.
ECSAECSA-2011-NavarroCPR #model transformation #using
Using Model Transformation Techniques for the Superimposition of Architectural Styles (EN, CEC, DEP, CR), pp. 379–387.
ECSAECSA-2011-OliveiraN #testing #tool support
A Service-Oriented Reference Architecture for Software Testing Tools (LBRdO, EYN), pp. 405–421.
ECSAECSA-2011-OrlicDML #component #concept #configuration management #framework #resource management
Dynamically Reconfigurable Resource-Aware Component Framework: Architecture and Concepts (BO, ID, RHM, JJL), pp. 212–215.
ECSAECSA-2011-QureshiCLT
From EAST-ADL to AUTOSAR Software Architecture: A Mapping Scheme (TNQ, DJC, HL, MT), pp. 328–335.
ECSAECSA-2011-SilvaB #specification #using
A Model for Specifying Rationale Using an Architecture Description Language (LdS, DB), pp. 319–327.
ECSAECSA-2011-TekinerdoganS #quality
Defining Architectural Viewpoints for Quality Concerns (BT, HS), pp. 26–34.
ECSAECSA-2011-TizzeiR #case study #comparative #component #evolution #product line
Aspect-Connectors to Support the Evolution of Component-Based Product Line Architectures: A Comparative Study (LPT, CMFR), pp. 59–66.
ECSAECSA-2011-TofanGA #grid
Reducing Architectural Knowledge Vaporization by Applying the Repertory Grid Technique (DT, MG, PA), pp. 244–251.
ECSAECSA-2011-ZalewskiKS #evolution
Capturing Architecture Evolution with Maps of Architectural Decisions 2.0 (AZ, SK, DS), pp. 83–96.
QoSAQoSA-ISARCS-2011-BroschBKR #fault tolerance #predict #reliability
Reliability prediction for fault-tolerant software architectures (FB, BB, HK, RHR), pp. 75–84.
QoSAQoSA-ISARCS-2011-Durdik #agile #development #modelling #process #towards
Towards a process for architectural modelling in agile software development (ZD), pp. 183–192.
QoSAQoSA-ISARCS-2011-GalsterA
Empirically-grounded reference architectures: a proposal (MG, PA), pp. 153–158.
QoSAQoSA-ISARCS-2011-HillenbrandHMA #safety #workflow
A metric-based safety workflow for electric/electronic architectures of vehicles (MH, MH, KDMG, NA), pp. 105–114.
QoSAQoSA-ISARCS-2011-KavimandanGKG #model transformation #product line #quality #reuse
Managing the quality of software product line architectures through reusable model transformations (AK, ASG, GK, JG), pp. 13–22.
QoSAQoSA-ISARCS-2011-Koziolek #evaluation #overview #perspective
Sustainability evaluation of software architectures: a systematic review (HK), pp. 3–12.
QoSAQoSA-ISARCS-2011-KoziolekKR #automation #multi #named #optimisation
PerOpteryx: automated application of tactics in multi-objective software architecture optimization (AK, HK, RHR), pp. 33–42.
QoSAQoSA-ISARCS-2011-MeedeniyaMAG #evaluation #nondeterminism #reliability
Architecture-based reliability evaluation under uncertainty (IM, IM, AA, LG), pp. 85–94.
QoSAQoSA-ISARCS-2011-Perez-PalacinMM11a #adaptation #metric #self
Software architecture adaptability metrics for QoS-based self-adaptation (DPP, RM, JM), pp. 171–176.
QoSAQoSA-ISARCS-2011-Stal
Good is not good enough: evaluating and improving software architecture (MS), pp. 73–74.
QoSAQoSA-ISARCS-2011-YusufSP #fault tolerance #grid
Architecture-based fault tolerance support for grid applications (IIY, HWS, IDP), pp. 177–182.
QoSAQoSA-ISARCS-2011-Zave #how #internet
How software architecture can make an application-friendly internet (PZ), pp. 1–2.
WICSAWICSA-2011-Abu-MatarG #variability
Feature Based Variability for Service Oriented Architectures (MAM, HG), pp. 302–309.
WICSAWICSA-2011-AllierSSF #component #object-oriented
From Object-Oriented Applications to Component-Oriented Applications via Component-Oriented Architecture (SA, SS, HAS, RF), pp. 214–223.
WICSAWICSA-2011-BalasubramaniamSJKMN #approach #constraints #generative #named #performance #theorem proving
Dominion: An Architecture-Driven Approach to Generating Efficient Constraint Solvers (DB, LdS, CJ, LK, IM, PN), pp. 228–231.
WICSAWICSA-2011-BaresiG #component #coordination #distributed #named
A-3: An Architectural Style for Coordinating Distributed Components (LB, SG), pp. 161–170.
WICSAWICSA-2011-BoerV #case study #experience #information management #semantics #wiki
Experiences with Semantic Wikis for Architectural Knowledge Management (RCdB, HvV), pp. 32–41.
WICSAWICSA-2011-BonettaP #web #web service
An Architectural Style for Liquid Web Services (DB, CP), pp. 232–241.
WICSAWICSA-2011-BouwersCDV
Quantifying the Analyzability of Software Architectures (EB, JPC, AvD, JV), pp. 83–92.
WICSAWICSA-2011-BrownNOP #analysis #dependence #release planning
Analysis and Management of Architectural Dependencies in Iterative Release Planning (NB, RLN, IO, MP), pp. 103–112.
WICSAWICSA-2011-BuchgeherW #automation #implementation
Automatic Tracing of Decisions to Architecture and Implementation (GB, RW), pp. 46–55.
WICSAWICSA-2011-ChampagneG #automation #performance #towards
Towards Automation of Performance Architectural Tactics Application (RC, SG), pp. 157–160.
WICSAWICSA-2011-CoelhoB #product line #requirements
From Requirements to Architecture for Software Product Lines (KC, TVB), pp. 282–289.
WICSAWICSA-2011-DemirliT #modelling #named
SAVE: Software Architecture Environment for Modeling Views (ED, BT), pp. 355–358.
WICSAWICSA-2011-Dobrica #analysis #integration #modelling #quality
Exploring Approaches of Integration Software Architecture Modeling with Quality Analysis Models (LD), pp. 113–122.
WICSAWICSA-2011-FaniyiB
Engineering Proprioception in SLA Management for Cloud Architectures (FF, RB), pp. 336–340.
WICSAWICSA-2011-FaniyiBEK #predict #security
Evaluating Security Properties of Architectures in Unpredictable Environments: A Case for Cloud (FF, RB, AE, RK), pp. 127–136.
WICSAWICSA-2011-GalsterA #problem #variability
Handling Variability in Software Architecture: Problems and Implications (MG, PA), pp. 171–180.
WICSAWICSA-2011-GanesanLCJJZ #analysis #re-engineering
Architecture Reconstruction and Analysis of Medical Device Software (DG, ML, RC, RPJ, PLJ, YZ), pp. 194–203.
WICSAWICSA-2011-GhezziG #analysis #as a service #lightweight #named
SOFAS: A Lightweight Architecture for Software Analysis as a Service (GG, HCG), pp. 93–102.
WICSAWICSA-2011-HafizAJ
Patterns Transform Architectures (MH, PA, REJ), pp. 242–251.
WICSAWICSA-2011-HarrisonC #scalability
Attempting to Understand the Progress of Software Architecture Decision-Making on Large Australian Defence Projects (TCH, APC), pp. 42–45.
WICSAWICSA-2011-HeeschA #maturity #overview #process #reasoning
Mature Architecting — A Survey about the Reasoning Process of Professional Architects (UvH, PA), pp. 260–269.
WICSAWICSA-2011-Kamath
Capabilities and Features: Linking Business and Application Architectures (SK), pp. 12–21.
WICSAWICSA-2011-Koziolek #multitenancy
The SPOSAD Architectural Style for Multi-tenant Software Applications (HK), pp. 320–327.
WICSAWICSA-2011-LiuC #in the cloud
Architecting Cloud Computing Applications and Systems (AL, RC), pp. 310–311.
WICSAWICSA-2011-MiksovicZ #information management #metamodelling #requirements
Architecturally Significant Requirements, Reference Architecture, and Metamodel for Knowledge Management in Information Technology Services (CM, OZ), pp. 270–279.
WICSAWICSA-2011-ParetoSEE
Prioritizing Architectural Concerns (LP, AS, PE, SE), pp. 22–31.
WICSAWICSA-2011-PetrovBN #analysis #design #enterprise #multi
The Need for a Multilevel Context-Aware Software Architecture Analysis and Design Method with Enterprise and System Architecture Concerns as First Class Entities (PP, UB, RLN), pp. 147–156.
WICSAWICSA-2011-PoortV
Architecting as a Risk- and Cost Management Discipline (ERP, HvV), pp. 2–11.
WICSAWICSA-2011-PremrajNTV
The Boomeranged Software Architect (RP, GN, AT, HvV), pp. 73–82.
WICSAWICSA-2011-RathfelderK #component #predict
Palladio Workbench: A Quality-Prediction Tool for Component-Based Architectures (CR, BK), pp. 347–350.
WICSAWICSA-2011-RosS
A Method for Evidence-Based Architecture Discovery (JPR, RSS), pp. 342–345.
WICSAWICSA-2011-SanchezEAB #framework #multimodal #named #recognition
ABE: An Agent-Based Software Architecture for a Multimodal Emotion Recognition Framework (JGS, MECE, RKA, WB), pp. 187–193.
WICSAWICSA-2011-Smet #health #question #why
The Dutch Nationwide Electronic Health Record: Why the Centralised Services Architecture? (KdS), pp. 181–186.
WICSAWICSA-2011-SuHG #documentation #navigation
Capturing Architecture Documentation Navigation Trails for Content Chunking and Sharing (MTS, JGH, JG), pp. 256–259.
WICSAWICSA-2011-TangLV #documentation
Software Architecture Documentation: The Road Ahead (AT, PL, HvV), pp. 252–255.
WICSAWICSA-2011-TekinerdoganOD #as a service #design #modelling #reasoning
Modeling and Reasoning about Design Alternatives of Software as a Service Architectures (BT, , AHD), pp. 312–319.
WICSAWICSA-2011-WeynsMHB #approach #online #product line
An Architectural Approach to Support Online Updates of Software Product Lines (DW, BM, AH, NB), pp. 204–213.
WICSAWICSA-2011-Woods #assessment #industrial #using
Industrial Architectural Assessment Using TARA (EW), pp. 56–65.
ICDARICDAR-2011-AhmedLWD #analysis #automation
Improved Automatic Analysis of Architectural Floor Plans (SA, ML, MW, AD), pp. 864–869.
ICDARICDAR-2011-AhmedWLD #segmentation
Text/Graphics Segmentation in Architectural Floor Plans (SA, MW, ML, AD), pp. 734–738.
ICDARICDAR-2011-HerasMSV #segmentation
Wall Patch-Based Segmentation in Architectural Floorplans (LPdlH, JM, GS, EV), pp. 1270–1274.
ICDARICDAR-2011-LamiroyL #analysis #benchmark #documentation #metric
An Open Architecture for End-to-End Document Analysis Benchmarking (BL, DPL), pp. 42–47.
JCDLJCDL-2011-WoodsLG #image #repository
Extending digital repository architectures to support disk image preservation and access (KW, CAL, SG), pp. 57–66.
VLDBVLDB-2011-LevandoskiELEMR #benchmark #metric #named #performance #recommendation
RecBench: Benchmarks for Evaluating Performance of Recommender System Architectures (JJL, MDE, ML, AE, MFM, JR), pp. 911–920.
VLDBVLDB-2011-SewallCKSD #manycore #named #parallel
PALM: Parallel Architecture-Friendly Latch-Free Modifications to B+ Trees on Many-Core Processors (JS, JC, CK, NS, PD), pp. 795–806.
CSEETCSEET-2011-BarrettBC #education
Incorporating software architecture in the computer science curriculum (MLB, ABB, SC), pp. 523–524.
CSEETCSEET-2011-KehrerACV #framework
A software architecture orientation framework (TK, IA, AC, OV), p. 560.
CSEETCSEET-2011-RodriguesW #comprehension
Making the comprehension of software architecture attractive (CSCR, CMLW), pp. 416–420.
ITiCSEITiCSE-2011-BlackF #education #visual notation
Teaching computer architecture with a graphical PC simulator (MDB, MF), p. 337.
ITiCSEITiCSE-2011-Ernst #manycore #performance #student
Preparing students for future architectures with an exploration of multi- and many-core performance (DJE), pp. 57–62.
SIGITESIGITE-2011-IslamS #delivery #distance #education
An architecture for delivery of distance education in developing countries (KRI, CMS), pp. 215–220.
SCAMSCAM-J-2009-FouadPKJ11 #modelling #requirements
Embedding requirements within Model-Driven Architecture (AF, KP, JMK, SJ), pp. 411–430.
CSMRCSMR-2011-AhmadP #evolution
Customisable Transformation-Driven Evolution for Service Architectures (AA, CP), pp. 373–376.
CSMRCSMR-2011-BelderrarKGAG #evolution #identification #mining #object-oriented
Sub-graph Mining: Identifying Micro-architectures in Evolving Object-Oriented Software (AB, SK, YGG, GA, PG), pp. 171–180.
CSMRCSMR-2011-FreyH #constraints #detection #legacy #migration
An Extensible Architecture for Detecting Violations of a Cloud Environment’s Constraints during Legacy Software System Migration (SF, WH), pp. 269–278.
CSMRCSMR-2011-GieseckeFF #multi #tool support
Long-Term Software Architecture Management with Multi-technology Tool Support (SG, JF, MF), pp. 321–324.
CSMRCSMR-2011-LeottaRRA #maintenance
Comparing the Maintainability of Two Alternative Architectures of a Postal System: SOA vs. Non-SOA (ML, FR, GR, EA), pp. 317–320.
ICPCICPC-2011-Sharafi #analysis #visualisation
A Systematic Analysis of Software Architecture Visualization Techniques (ZS), pp. 254–257.
ICSMEICSM-2011-BouwersDV #dependence
Dependency profiles for software architecture evaluations (EB, AvD, JV), pp. 540–543.
ICSMEICSM-2011-MirakhorliC #information management #maintenance #modelling #traceability #using
Using tactic traceability information models to reduce the risk of architectural degradation during system maintenance (MM, JCH), pp. 123–132.
ICSMEICSM-2011-Sharif #assessment #diagrams #empirical #uml
Empirical assessment of UML class diagram layouts based on architectural importance (BS), pp. 544–549.
WCREWCRE-2011-SajnaniNL #towards
Application Architecture Discovery — Towards Domain-driven, Easily-Extensible Code Structure (HS, RN, CVL), pp. 401–405.
SFMSFM-2011-GraceGBBCIPSSS
The CONNECT Architecture (PG, NG, AB, GSB, FC, VI, MP, RS, BS, DS), pp. 27–52.
DiGRADiGRA-2011-KirmanCDFFLLR #game studies #online #social
Social Architecture and the Emergence of Power Laws in Online Social Games (BK, FC, FD, EF, JF, SWL, CL, NR).
CoGVS-Games-2011-KardaraFAPTV #policy #simulation
A Service Oriented Architecture Enabling Policy Simulation in Virtual Spaces (MK, OF, FA, AP, KT, TAV), pp. 236–243.
CoGVS-Games-2011-PauwelsMAS #design #game studies
The Role of Game Rules in Architectural Design Environments (PP, RDM, MA, KS), pp. 184–185.
AGTIVEAGTIVE-2011-ScholerS #3d #automation #re-engineering #towards
Towards an Automated 3D Reconstruction of Plant Architecture (FS, VS), pp. 51–64.
CHICHI-2011-Miller
Item sampling for information architecture (CSM), pp. 2211–2214.
HCIDHM-2011-TangwenF #analysis #cumulative #learning #polymorphism
Polymorphic Cumulative Learning in Integrated Cognitive Architectures for Analysis of Pilot-Aircraft Dynamic Environment (TY, SF), pp. 409–416.
HCIDUXU-v1-2011-LeeR #collaboration #concept #learning #mobile
Suggested Collaborative Learning Conceptual Architecture and Applications for Mobile Devices (KL, AR), pp. 611–620.
HCIHCI-DDA-2011-GacimartinHL #adaptation #design #middleware
A Middleware Architecture for Designing TV-Based Adapted Applications for the Elderly (CG, JAH, DL), pp. 443–449.
HCIHCI-UA-2011-Fox
Self Replicating Robotic Strategies as a Catalyst for Autonomous Architectural Construction (MAF), pp. 307–317.
CAiSECAiSE-2011-BarnC #enterprise #modelling #programming
Revisiting Naur’s Programming as Theory Building for Enterprise Architecture Modelling (BSB, TC), pp. 229–236.
CAiSECAiSE-2011-BiderJS #case study #communication #experience #process #using
Experiences of Using Different Communication Styles in Business Process Support Systems with the Shared Spaces Architecture (IB, PJ, RS), pp. 299–313.
CAiSECAiSE-2011-MateT #framework #metamodelling #modelling #requirements #traceability
A Trace Metamodel Proposal Based on the Model Driven Architecture Framework for the Traceability of User Requirements in Data Warehouses (AM, JT), pp. 123–137.
CAiSECAiSE-2011-PuraoMR #enterprise #modelling #ontology #perspective
Transforming Enterprise Architecture Models: An Artificial Ontology View (SP, RM, ELR), pp. 383–390.
EDOCEDOC-2011-BucklGMSS #enterprise #modelling
Modeling the Supply and Demand of Architectural Information on Enterprise Level (SB, AG, FM, CS, CMS), pp. 44–51.
EDOCEDOC-2011-TongBKPL #challenge #embedded #enterprise #industrial #mobile #process
Enterprise Architecture for Addressing Business Transformation Challenges: The Case of Embedded Mobile Provisioning Process in the Telecommunications Industry (MT, HB, MK, JP, GL), pp. 35–43.
ICEISICEIS-v1-2011-XiaoL
Architecture for Operation Management in Urban Rail Traffic (MX, SL), pp. 481–485.
ICEISICEIS-v2-2011-CanoCSF #analysis #integration #multi #process #segmentation
Multisensory Architecture for Intelligent Surveillance Systems — Integration of Segmentation, Tracking and Activity Analysis (FAC, JCC, JSC, AFC), pp. 157–162.
ICEISICEIS-v2-2011-ShahK #parallel #simulation
System Architecture of the Decision Support System Employing Microscopic Simulation and Expert System in Parallel for the Post Incident Traffic Management (SAAS, HK), pp. 113–117.
ICEISICEIS-v3-2011-HafiddiNBAK #approach
A Context-aware Service Centric Approach for Service Oriented Architectures (HH, MN, HB, BEA, AK), pp. 176–183.
ICEISICEIS-v3-2011-PanfilenkoLWL #enterprise #traceability
Traceability and Viewpoints in Enterprise Architectures (DP, RL, DW, PL), pp. 150–156.
ICEISICEIS-v4-2011-FarazmandM #framework #information management
A Framework for Knowledge Management Architecture (EF, AM), pp. 425–430.
ICEISICEIS-v4-2011-FarwickABRVH #analysis #automation #enterprise #maintenance #overview #requirements
Requirements for Automated Enterprise Architecture Model Maintenance — A Requirements Analysis based on a Literature Review and an Exploratory Survey (MF, BA, RB, SR, KV, IH), pp. 325–337.
ICEISICEIS-v4-2011-NgosiHCW #design #development #enterprise #network #process
Design Science and Actor Network Theory Nexus — A Perspective of Content Development of a Critical Process for Enterprise Architecture Management (TN, MH, MC, EW), pp. 449–456.
ICEISICEIS-v4-2011-SongZC #enterprise #information management #semantics #web
An Architecture for Interoperability of Enterprise Information Systems based on SOA and Semantic Web Technologies (FS, GZ, DC), pp. 431–437.
ICEISICEIS-v4-2011-XieH #approach #quality
An Architectural Approach to Analyze Information Quality for Inter-organizational Service (SX, MH), pp. 438–443.
CIKMCIKM-2011-KrulisLBSS #distance #gpu #manycore #polynomial
Processing the signature quadratic form distance on many-core GPU architectures (MK, JL, CB, TS, TS), pp. 2373–2376.
CIKMCIKM-2011-LiBY #ad hoc #clustering #mobile #network
A cluster based mobile peer to peer architecture in wireless ad hoc networks (HL, KB, JY), pp. 2393–2396.
KMISKMIS-2011-OugoutiBAB #data flow #integration #semistructured data
Architecture of Medpeer — A New P2P-based System for Integration of Heterogeneous Data Sources (NSO, HB, YA, ANB), pp. 351–354.
SEKESEKE-2011-BagheriS #approach #formal method
A Formal Approach for Incorporating Architectural Tactics into the Software Architecture (HB, KJS), pp. 770–775.
SEKESEKE-2011-BaillieAAMCB #component #performance
A Model-View-DynamicViewModel and its Performance in a Web-based Component Architecture (GB, BA, DA, RM, TMC, RBB), pp. 786–791.
SEKESEKE-2011-BudiLLJW #automation #design #detection
Automated Detection of Likely Design Flaws in N-Tier Architectures (AB, L, DL, LJ, SW), pp. 613–618.
SEKESEKE-2011-DoranTFG #analysis #nondeterminism #parametricity #reliability
Architecture-based Reliability Analysis With Uncertain Parameters (DD, MT, LF, SSG), pp. 629–634.
SEKESEKE-2011-El-KharboutlyG #analysis #concurrent #probability #reliability #using
Architecture-based Reliability Analysis of Concurrent Software Applications using Stochastic Reward Nets (REK, SSG), pp. 635–639.
SEKESEKE-2011-GuessiON #overview #perspective #representation
Representation of Reference Architectures: A Systematic Review (MG, LBRdO, EYN), pp. 782–785.
SEKESEKE-2011-JuniorGM #analysis #product line #trade-off
A Meta-Process to Support Trade-Off Analysis in Software Product Line Architecture (EAdOJ, IMdSG, JCM), pp. 687–692.
SEKESEKE-2011-KrikavaC #feedback
A Reflective Model for Architecting Feedback Control Systems (FK, PC), pp. 553–559.
SEKESEKE-2011-LiuGCJ #design #distributed #grid #power management #realtime
Designing a Distributed Systems Architecture Testbed for Real-Time Power Grid Systems (YL, IG, YC, SJ), pp. 268–271.
SEKESEKE-2011-MorelliN #development #game studies
A Panorama of Software Architectures in Game Development (LBM, EYN), pp. 752–757.
SEKESEKE-2011-SeriaiC #approach #object-oriented #search-based
A Genetic Approach for Software Architecture Recovery from Object-Oriented Code (ADS, SC), pp. 515–520.
SEKESEKE-2011-SilvaGRRR #flexibility #peer-to-peer
A Flexible Event-Driven Architecture for Peer-to-Peer Based Applications (LPVdS, RG, EdOR, GNR, CGR), pp. 764–769.
SEKESEKE-2011-SunWH #design #modelling #ontology #using
Design Software Architecture Models using Ontology (JS, HHW, TH), pp. 191–196.
SEKESEKE-2011-ZaniFN #agile
Current State of Reference Architectures in the Context of Agile Methodologies (VATZ, DF, EYN), pp. 590–595.
SEKESEKE-2011-ZhangSPCM #design #quality #recommendation #towards
Towards Quality Based Solution Recommendation in Decision-Centric Architecture Design (LZ, YS, YP, XC, HM), pp. 776–781.
SIGIRSIGIR-2011-TatikondaCJ #manycore
Posting list intersection on multicore architectures (ST, BBC, FPJ), pp. 963–972.
ECMFAECMFA-2011-BehjatiYNBS #concept #modelling
Extending SysML with AADL Concepts for Comprehensive System Architecture Modeling (RB, TY, SN, LCB, BS), pp. 236–252.
MODELSMoDELS-2011-ClavreulMBF #behaviour #modelling
Service-Oriented Architecture Modeling: Bridging the Gap between Structure and Behavior (MC, SM, MBF, RBF), pp. 289–303.
MODELSMoDELS-2011-ZhangK #collaboration #industrial #modelling #using
Using Delta Model for Collaborative Work of Industrial Large-Scaled E/E Architecture Models (RZ, AK), pp. 714–728.
SPLCSPLC-2011-Abu-MatarG #modelling #product line #variability
Variability Modeling for Service Oriented Product Line Architectures (MAM, HG), pp. 110–119.
SPLCSPLC-2011-Bosch #ecosystem
Software Ecosystems — Implications for Strategy, Business Model and Architecture (JB), p. 351.
SPLCSPLC-2011-HaberRRSL #modelling #variability
Hierarchical Variability Modeling for Software Architectures (AH, HR, BR, IS, FvdL), pp. 150–159.
SPLCSPLC-2011-TraskR #modelling #product line
Leveraging Model Driven Engineering in Software Product Line Architectures (BT, AR), pp. 356–357.
AdaEuropeAdaEurope-2011-Rodriguez-LopezG #abstraction #middleware #paradigm
Architecting a Common Bridge Abstraction over Different Middleware Paradigms (IRL, MGV), pp. 132–146.
AdaSIGAda-2011-SwardB #concept #implementation
Service-oriented architecture (SOA)concepts and implementations (RES, JB), pp. 3–4.
RERE-2011-VoglLGE #approach #requirements
Reconciling requirements and architectures with the CBSP approach in an iPhone app project (HV, KL, PG, AE), pp. 273–278.
ASEASE-2011-BrosigHK #automation #component #distributed #modelling #performance
Automated extraction of architecture-level performance models of distributed component-based systems (FB, NH, SK), pp. 183–192.
ASEASE-2011-GarciaPMMC #using
Enhancing architectural recovery using concerns (JG, DP, CM, NM, YC), pp. 552–555.
ASEASE-2011-ZhengT #implementation
Taming changes With 1.x-Way architecture-implementation mapping (YZ, RNT), pp. 396–399.
ESEC-FSEESEC-FSE-2011-ClementsEIMM #requirements #testing
Exploiting software architecture to support requirements satisfaction testing (PCC, MJEC, PI, IM, EM), pp. 484–487.
ESEC-FSEESEC-FSE-2011-Durdik #approach #elicitation #requirements
An architecture-centric approach for goal-driven requirements elicitation (ZD), pp. 384–387.
ESEC-FSEESEC-FSE-2011-GarlanS #evolution
Software architecture: reflections on an evolving discipline (DG, MS), p. 2.
ICSEICSE-2011-Bagheri #approach #formal method #platform #synthesis
A formal approach to software synthesis for architectural platforms (HB), pp. 1143–1145.
ICSEICSE-2011-Bertran #detection #evolution #smell
Detecting architecturally-relevant code smells in evolving software systems (IMB), pp. 1090–1093.
ICSEICSE-2011-CassouBCL #development #verification
Leveraging software architectures to guide and verify the development of sense/compute/control applications (DC, EB, CC, JLL), pp. 431–440.
ICSEICSE-2011-ChristensenH #implementation #towards
Towards architectural information in implementation (HBC, KMH), pp. 928–931.
ICSEICSE-2011-KazmanBIM #evaluation #experience #grid #smarttech
Architecture evaluation without an architecture: experience with the smart grid (RK, LB, JI, GAM), pp. 663–670.
ICSEICSE-2011-McVeighKM #evolution #named #tool support
Evolve: tool support for architecture evolution (AM, JK, JM), pp. 1040–1042.
ICSEICSE-2011-Mirakhorli #approach #requirements
Tracing architecturally significant requirements: a decision-centric approach (MM), pp. 1126–1127.
ICSEICSE-2011-MirakhorliC #assurance
Tracing architectural concerns in high assurance systems (MM, JCH), pp. 908–911.
ICSEICSE-2011-TofanGA #grid #using
Capturing tacit architectural knowledge using the repertory grid technique (DT, MG, PA), pp. 916–919.
ICSEICSE-2011-Zheng #implementation
1.x-Way architecture-implementation mapping (YZ), pp. 1118–1121.
SACSAC-2011-DaniAS #algorithm #manycore #search-based
Applying genetic algorithms to optimize the power in tiled SNUCA chip multicore architectures (AMD, BA, YNS), pp. 1090–1091.
SACSAC-2011-EmrichCVW #enterprise
An architecture proposal for the prosumerized enterprise (AE, AC, CDV, DW), pp. 334–335.
SACSAC-2011-FontesNPC #detection #learning #problem
An agent-based architecture for supporting the workgroups creation and the detection of out-of-context conversation on problem-based learning in virtual learning environments (LMdOF, FMMN, AÁAP, GALdC), pp. 1175–1180.
SACSAC-2011-JanieschMMVB #named #process #realtime
Slipstream: architecture options for real-time process analytics (CJ, MM, OM, RV, JB), pp. 295–300.
SACSAC-2011-KalendarJTD #novel
Novel processor architecture for modified advanced routing in NGN (MK, DJ, AT, GD), pp. 486–491.
SACSAC-2011-LucenaCSAS #modelling #named #requirements
Stream: a strategy for transition between requirements models and architectural models (ML, JC, CTLLS, FMRA, ES), pp. 699–704.
SACSAC-2011-RamanathanRSXX #evolution
Sense-respond cloud mediator architecture for services evolution (JR, RR, NS, ZX, YX), pp. 162–169.
SLESLE-2011-PaulheimOPP #modelling
An Architecture for Information Exchange Based on Reference Models (HP, DO, RP, FP), pp. 160–179.
ASPLOSASPLOS-2011-FarhadKBS #approximate #distributed #manycore #source code
Orchestration by approximation: mapping stream programs onto multicore architectures (SMF, YK, BB, BS), pp. 357–368.
CASECASE-2011-KuoSTC #embedded
An embedded robotic wheelchair control architecture with reactive navigations (CHK, YSS, TCT, TSC), pp. 810–815.
CASECASE-2011-LemattreDFPS #analysis #design #reachability
Designing operational control architectures of critical systems by reachability analysis (TL, BD, JMF, JFP, PS), pp. 12–18.
CASECASE-2011-WasonW #automation #communication #library
Robot Raconteur: A communication architecture and library for robotic and automation systems (JDW, JTW), pp. 761–766.
CCCC-2011-HenrettySPFRS #layout
Data Layout Transformation for Stencil Computations on Short-Vector SIMD Architectures (TH, KS, LNP, FF, JR, PS), pp. 225–245.
CGOCGO-2011-HundtRTV #named
MAO — An extensible micro-architectural optimizer (RH, ER, MT, NV), pp. 1–10.
DACDAC-2011-BuiLLPR #multi
Temporal isolation on multiprocessing architectures (DNB, EAL, IL, HDP, JR), pp. 274–279.
DACDAC-2011-CoptyKN #analysis #performance #statistics #transaction
Transaction level statistical analysis for efficient micro-architectural power and performance studies (EC, GK, SN), pp. 351–356.
DACDAC-2011-DeOrioABP #distributed #manycore #named
DRAIN: distributed recovery architecture for inaccessible nodes in multi-core chips (AD, KA, VB, LSP), pp. 912–917.
DACDAC-2011-FajardoFIGLZ #effectiveness #embedded #named #platform
Buffer-integrated-Cache: a cost-effective SRAM architecture for handheld and embedded platforms (CFF, ZF, RI, GFG, SEL, LZ), pp. 966–971.
DACDAC-2011-GaillardonBMNCO #3d #question #towards
Can we go towards true 3-D architectures? (PEG, MHBJ, PHM, JPN, FC, IO), pp. 282–283.
DACDAC-2011-KatzRZS #behaviour #generative #learning #quality
Learning microarchitectural behaviors to improve stimuli generation quality (YK, MR, AZ, GS), pp. 848–853.
DACDAC-2011-KesturIPANC #co-evolution #design #framework #re-engineering #using
An algorithm-architecture co-design framework for gridding reconstruction using FPGAs (SK, KMI, SP, AAM, VN, CC), pp. 585–590.
DACDAC-2011-PatilBC #contract #synthesis
Enforcing architectural contracts in high-level synthesis (NAP, AB, DC), pp. 824–829.
DACDAC-2011-PotkonjakMNW #difference
Differential public physically unclonable functions: architecture and applications (MP, SM, AN, SW), pp. 242–247.
DACDAC-2011-Saha #composition #named #reuse #scalability
CIRUS: a scalable modular architecture for reusable drivers (BS), pp. 260–261.
DACDAC-2011-ZhuDC #cpu #gpu #named
Hermes: an integrated CPU/GPU microarchitecture for IP routing (YZ, YD, YC), pp. 1044–1049.
DATEDATE-2011-AkessonG #integration #memory management #modelling #predict
Architectures and modeling of predictable memory controllers for improved system integration (BA, KG), pp. 851–856.
DATEDATE-2011-BeuxTONBP #design
Optical Ring Network-on-Chip (ORNoC): Architecture and design methodology (SLB, JT, IO, GN, GB, PGP), pp. 788–793.
DATEDATE-2011-CalimeraLMP
Partitioned cache architectures for reduced NBTI-induced aging (AC, ML, EM, MP), pp. 938–943.
DATEDATE-2011-ChangMFWHYN #hardware #hybrid #optimisation
Optimization of stateful hardware acceleration in hybrid architectures (XC, YM, HF, KW, RH, HY, TN), pp. 567–570.
DATEDATE-2011-ChenLH #3d #towards
Architectural exploration of 3D FPGAs towards a better balance between area and delay (CIC, BCL, JDH), pp. 587–590.
DATEDATE-2011-ChenLWH #3d #network
A new architecture for power network in 3D IC (HTC, HLL, ZCW, TH), pp. 401–406.
DATEDATE-2011-DammHJPS #component #contract #design #integration #specification #testing #using
Using contract-based component specifications for virtual integration testing and architecture design (WD, HH, BJ, TP, IS), pp. 1023–1028.
DATEDATE-2011-DragomirB #configuration management
Loop distribution for K-loops on Reconfigurable Architectures (OSD, KB), pp. 1548–1553.
DATEDATE-2011-FourmigueBNAO #3d #evaluation #multi
Multi-granularity thermal evaluation of 3D MPSoC architectures (AF, GB, GN, EMA, IO), pp. 575–578.
DATEDATE-2011-Furber
Biologically-inspired massively-parallel architectures — Computing beyond a million processors (SBF), p. 1.
DATEDATE-2011-GhasemazarP #multi #power management
Variation aware dynamic power management for chip multiprocessor architectures (MG, MP), pp. 473–478.
DATEDATE-2011-GizopoulosPARHSMBV #detection #fault #manycore #online
Architectures for online error detection and recovery in multicore processors (DG, MP, SVA, PR, SKSH, DJS, AM, AB, XV), pp. 533–538.
DATEDATE-2011-HameedFH #3d #adaptation #manycore #runtime
Dynamic thermal management in 3D multi-core architecture through run-time adaptation (FH, MAAF, JH), pp. 299–304.
DATEDATE-2011-HeidmannWP #detection #throughput
Architecture and FPGA-implementation of a high throughput K+-Best detector (NH, TW, SP), pp. 240–245.
DATEDATE-2011-JahnFH #adaptation #migration #multi #named #runtime
CARAT: Context-aware runtime adaptive task migration for multi core architectures (JJ, MAAF, JH), pp. 515–520.
DATEDATE-2011-LuJTL #parametricity #performance #simulation
Efficient parameter variation sampling for architecture simulations (FL, RJ, GT, SL), pp. 1578–1583.
DATEDATE-2011-MurugappaABJ #flexibility #multi #throughput
A flexible high throughput multi-ASIP architecture for LDPC and turbo decoding (PM, RAK, AB, MJ), pp. 228–233.
DATEDATE-2011-PorquetGS #flexibility #memory management #named
NoC-MPU: A secure architecture for flexible co-hosting on shared memory MPSoCs (JP, AG, CS), pp. 591–594.
DATEDATE-2011-StranoGLFGB #scalability #self
Exploiting Network-on-Chip structural redundancy for a cooperative and scalable built-in self-test architecture (AS, CGR, DL, MF, MEG, DB), pp. 661–666.
DATEDATE-2011-TinoK #generative #multi
Multi-objective Tabu Search based topology generation technique for application-specific Network-on-Chip architectures (AT, GNK), pp. 485–490.
DATEDATE-2011-WuWWZLXY #parallel #programming
Gemma in April: A matrix-like parallel programming architecture on OpenCL (TW, DW, YW, XZ, HL, NX, HY), pp. 703–708.
DATEDATE-2011-ZattSBH #estimation #hardware #parallel #pipes and filters #throughput #video
Multi-level pipelined parallel hardware architecture for high throughput motion and disparity estimation in Multiview Video Coding (BZ, MS, SB, JH), pp. 1448–1453.
HPCAHPCA-2011-AndersonFCE #javascript #mobile
Checked Load: Architectural support for JavaScript type-checking on mobile processors (OA, EF, LC, SJE), pp. 419–430.
HPCAHPCA-2011-GhasemiDK #using
Low-voltage on-chip cache architecture using heterogeneous cell sizes for high-performance processors (HRG, SCD, NSK), pp. 38–49.
HPCAHPCA-2011-JacobsonBBAE #abstraction #modelling #scalability
Abstraction and microarchitecture scaling in early-stage power modeling (HMJ, AB, PB, EA, RJE), pp. 394–405.
HPCAHPCA-2011-JiangS #framework #operating system
Architectural framework for supporting operating system survivability (XJ, YS), pp. 456–465.
HPCAHPCA-2011-LiaoZB #network
A new server I/O architecture for high speed networks (GL, XZ, LNB), pp. 255–265.
HPCAHPCA-2011-LiZCL #energy #manycore #named #power management
SolarCore: Solar energy driven multi-core architecture power management (CL, WZ, CBC, TL), pp. 205–216.
HPCAHPCA-2011-ZhangO #analysis #gpu #performance
A quantitative performance analysis model for GPU architectures (YZ, JDO), pp. 382–393.
HPDCHPDC-2011-BalkirFR #distributed #mining #pipes and filters #using
A distributed look-up architecture for text mining applications using mapreduce (ASB, ITF, AR), pp. 279–280.
LCTESLCTES-2011-GrayA #api #embedded #manycore
Targeting complex embedded architectures by combining the multicore communications API (mcapi) with compile-time virtualisation (IG, NCA), pp. 51–60.
LCTESLCTES-2011-JangKLKYKKR #clustering #configuration management
An instruction-scheduling-aware data partitioning technique for coarse-grained reconfigurable architectures (CJ, JK, JL, HSK, DY, SK, HK, SR), pp. 151–160.
PDPPDP-2011-CastroGMMFS #analysis #manycore #memory management #transaction
Analysis and Tracing of Applications Based on Software Transactional Memory on Multicore Architectures (MBC, KG, VMM, JFM, LGF, MS), pp. 199–206.
PDPPDP-2011-EstradaCG #adaptation #algorithm #manycore #optimisation #parallel #performance
Adaptive Parallel Interval Global Optimization Algorithms Based on their Performance for Non-dedicated Multicore Architectures (JFSE, LGC, IG), pp. 252–256.
PDPPDP-2011-LatifRGST #named
PVS-NoC: Partial Virtual Channel Sharing NoC Architecture (KL, AMR, LG, TS, HT), pp. 470–477.
PDPPDP-2011-LobeirasAD #implementation #streaming
FFT Implementation on a Streaming Architecture (JL, MA, RD), pp. 119–126.
PDPPDP-2011-OzTKT #concurrent #manycore #thread
Quantifying Thread Vulnerability for Multicore Architectures (IO, HRT, MTK, OT), pp. 32–39.
PDPPDP-2011-RahmaniLLPT #3d #communication #reliability
A Stacked Mesh 3D NoC Architecture Enabling Congestion-Aware and Reliable Inter-layer Communication (AMR, KL, PL, JP, HT), pp. 423–430.
PDPPDP-2011-SaezSCSC #algorithm #simulation
Particle-in-Cell Algorithms for Plasma Simulations on Heterogeneous Architectures (XS, AS, JMC, ES, FC), pp. 385–389.
PDPPDP-2011-SchmidtFSF #named #security
TrustBox: A Security Architecture for Preventing Data Breaches (MS, SF, RS, BF), pp. 635–639.
SOSPSOSP-2011-AndrusDHLN #mobile #named #smarttech
Cells: a virtual mobile smartphone architecture (JA, CD, AVH, OL, JN), pp. 173–187.
SOSPSOSP-2011-SirerBRSWWS #logic
Logical attestation: an authorization architecture for trustworthy computing (EGS, WdB, PR, AS, KW, DW, FBS), pp. 249–264.
CAVCAV-2011-HangMP #constraints #cyber-physical #modelling #realtime
Synthesizing Cyber-Physical Architectural Models with Real-Time Constraints (CH, PM, VP), pp. 441–456.
ECSAECSA-2010-Bahsoon #dependence #framework #requirements #self
A Framework for Dynamic Self-optimization of Power and Dependability Requirements in Green Cloud Architectures (RB), pp. 510–514.
ECSAECSA-2010-BerniniT
Explaining Architectural Choices to Non-architects (DB, FT), pp. 352–359.
ECSAECSA-2010-BodeR #evaluation
Impact Evaluation for Quality-Oriented Architectural Decisions regarding Evolvability (SB, MR), pp. 182–197.
ECSAECSA-2010-Bosch #composition
Architecture in the Age of Compositionality (JB), pp. 1–4.
ECSAECSA-2010-BrinkkemperP #functional #industrial #modelling
Functional Architecture Modeling for the Software Product Industry (SB, SP), pp. 198–213.
ECSAECSA-2010-BruckmannG #development #information management #logic #maintenance #modelling
An Architectural Blueprint for Model Driven Development and Maintenance of Business Logic for Information Systems (TB, VG), pp. 53–69.
ECSAECSA-2010-ChardignyS #documentation #object-oriented #process #source code
Software Architecture Recovery Process Based on Object-Oriented Source Code and Documentation (SC, AS), pp. 409–416.
ECSAECSA-2010-ChristensenHL #assurance #lightweight #quality #using
Lightweight and Continuous Architectural Software Quality Assurance Using the aSQA Technique (HBC, KMH, BL), pp. 118–132.
ECSAECSA-2010-EklundA #classification
A Classification of Value for Software Architecture Decisions (UE, TA), pp. 368–375.
ECSAECSA-2010-ElorantaK #evaluation #using
Using Domain Knowledge to Boost Software Architecture Evaluation (VPE, KK), pp. 319–326.
ECSAECSA-2010-EsfahaniM #adaptation #middleware #on the #platform
On the Role of Architectural Styles in Improving the Adaptation Support of Middleware Platforms (NE, SM), pp. 433–440.
ECSAECSA-2010-FiadeiroL #configuration management
A Model for Dynamic Reconfiguration in Service-Oriented Architectures (JLF, AL), pp. 70–85.
ECSAECSA-2010-HeeschA #comprehension #overview #process #reasoning #student
Naive Architecting — Understanding the Reasoning Process of Students — A Descriptive Survey (UvH, PA), pp. 24–37.
ECSAECSA-2010-IvanovicA
Customer Value in Architecture Decision Making (AI, PA), pp. 263–278.
ECSAECSA-2010-JohnWP #configuration management #constraints #monitoring
Dynamic Architectural Constraints Monitoring and Reconfiguration in Service Architectures (JJ, MW, CP), pp. 311–318.
ECSAECSA-2010-KiwelekarJ #analysis #generative #ontology
Ontological Analysis for Generating Baseline Architectural Descriptions (AWK, RKJ), pp. 417–424.
ECSAECSA-2010-KiwelekarJ10a #communication #concept analysis #identification
Identifying Architectural Connectors through Formal Concept Analysis of Communication Primitives (AWK, RKJ), pp. 515–518.
ECSAECSA-2010-Kruchten #question
Where Did All This Good Architectural Knowledge Go? (PK), pp. 5–6.
ECSAECSA-2010-Lopez-HerrejonE #on the #product line
On the Need of Safe Software Product Line Architectures (RELH, AE), pp. 493–496.
ECSAECSA-2010-LungBSEG #generative #towards
Towards Architecture-Centric Software Generation (CHL, BB, KS, PE, UG), pp. 38–52.
ECSAECSA-2010-OliveiraFFN #modelling #overview #perspective
Reference Models and Reference Architectures Based on Service-Oriented Architecture: A Systematic Review (LBRdO, KRF, DF, EYN), pp. 360–367.
ECSAECSA-2010-Pahl #adaptation #composition #coordination #towards
Dynamic Adaptive Service Architecture — Towards Coordinated Service Composition (CP), pp. 472–475.
ECSAECSA-2010-ParraCBD #composition
Feature-Based Composition of Software Architectures (CAP, AC, XB, LD), pp. 230–245.
ECSAECSA-2010-PerezDGA #agile #flexibility #using
Flexible Working Architectures: Agile Architecting Using PPCs (JP, JD, JG, PPA), pp. 102–117.
ECSAECSA-2010-PhoomvuthisarnLZ #approach #distributed
An Architectural Approach to Composing Reputation-Based Distributed Services (SP, YL, LZ), pp. 133–149.
ECSAECSA-2010-Phung-KhacGSBK #data transfer #distributed #evolution #modelling #runtime
Modelling Changes and Data Transfers for Architecture-Based Runtime Evolution of Distributed Applications (APK, JMG, MTS, AB, EK), pp. 392–400.
ECSAECSA-2010-ReijonenKH #case study #experience
Experiences from Scenario-Based Architecture Evaluations with ATAM (VR, JK, IJH), pp. 214–229.
ECSAECSA-2010-RuscioMMPP #framework #named
ByADL: An MDE Framework for Building Extensible Architecture Description Languages (DDR, IM, HM, PP, AP), pp. 527–531.
ECSAECSA-2010-SaraivaPBDPKAFMS #aspect-oriented #experience #middleware #modelling #product line #refactoring
Architecting a Model-Driven Aspect-Oriented Product Line for a Digital TV Middleware: A Refactoring Experience (DS, LP, TVB, FCD, PFP, UK, RPMdA, TF, SMF, ALSS), pp. 166–181.
ECSAECSA-2010-SaraivaSF #aspect-oriented #impact analysis
Assessing the Impact of AOSD on Layered Software Architectures (JS, SS, FCF), pp. 344–351.
ECSAECSA-2010-SavolainenKMN #case study #development #experience #framework #platform
Experiences in Making Architectural Decisions during the Development of a New Base Station Platform (JS, JK, TM, AN), pp. 425–432.
ECSAECSA-2010-ScandariatoBJ #automation #detection
Automated Detection of Least Privilege Violations in Software Architectures (RS, KB, WJ), pp. 150–165.
ECSAECSA-2010-SchwittekE #communication #information management #requirements #tool support
Communicating Architectural Knowledge: Requirements for Software Architecture Knowledge Management Tools (WS, SE), pp. 457–463.
ECSAECSA-2010-SuYZ #specification
An ADL-Approach to Specifying and Analyzing Centralized-Mode Architectural Connection (GS, MY, CZ), pp. 8–23.
ECSAECSA-2010-TibermacineDSF #composition #constraints #reuse
Software Architecture Constraints as Customizable, Reusable and Composable Entities (CT, CD, SS, LF), pp. 505–509.
ECSAECSA-2010-VerjusCRD #framework #named
BeeEye: A Framework for Constructing Architectural Views (HV, SC, AR, SD), pp. 376–383.
ECSAECSA-2010-WeinreichB #design #representation #requirements
Integrating Requirements and Design Decisions in Architecture Representation (RW, GB), pp. 86–101.
ECSAECSA-2010-ZalewskiK #complexity
Architecture Decision-Making in Support of Complexity Control (AZ, SK), pp. 501–504.
ECSAECSA-2010-ZhangUV #component #development
Architecture-Centric Component-Based Development Needs a Three-Level ADL (H(Z, CU, SV), pp. 295–310.
QoSAQoSA-2010-BirkmeierO #development #diagrams #empirical #process #uml #usability
Is BPMN Really First Choice in Joint Architecture Development? An Empirical Study on the Usability of BPMN and UML Activity Diagrams for Business Users (DB, SO), pp. 119–134.
QoSAQoSA-2010-BroschKBR #component #predict #reliability
Parameterized Reliability Prediction for Component-Based Software Architectures (FB, HK, BB, RHR), pp. 36–51.
QoSAQoSA-2010-ChauvelSCHM #adaptation #self #using
Using QoS-Contracts to Drive Architecture-Centric Self-adaptation (FC, HS, XC, GH, HM), pp. 102–118.
QoSAQoSA-2010-GloahecFS
Good Architecture = Good (ADL + Practices) (VLG, RF, SS), pp. 167–182.
QoSAQoSA-2010-Magee #evolution
Intrinsic Definition in Software Architecture Evolution (JNM), p. 1.
QoSAQoSA-2010-MeedeniyaBAG #embedded #energy #optimisation #reliability
Architecture-Driven Reliability and Energy Optimization for Complex Embedded Systems (IM, BB, AA, LG), pp. 52–67.
TPDLECDL-2010-BuchananP #interactive #library
An Architecture for Supporting RFID-Enhanced Interactions in Digital Libraries (GB, JSP), pp. 92–103.
TPDLECDL-2010-KazaiMIHMLMM #collaboration #research
Architecture for a Collaborative Research Environment Based on Reading List Sharing (GK, PM, KI, TH, MM, AL, NMF, NM), pp. 294–306.
SIGMODSIGMOD-2010-KimCSSNKLBD #named #performance
FAST: fast architecture sensitive tree search on modern CPUs and GPUs (CK, JC, NS, ES, ADN, TK, VWL, SAB, PD), pp. 339–350.
SIGMODSIGMOD-2010-KossmannKL #evaluation #in the cloud #transaction
An evaluation of alternative architectures for transaction processing in the cloud (DK, TK, SL), pp. 579–590.
SIGMODSIGMOD-2010-MoonCZ #evolution #optimisation #query #scalability #transaction
Scalable architecture and query optimization fortransaction-time DBs with evolving schemas (HJM, CC, CZ), pp. 207–218.
VLDBVLDB-2010-SmolaN #modelling #parallel #topic
An Architecture for Parallel Topic Models (AJS, SMN), pp. 703–710.
CSMRCSMR-2010-NasrGD #industrial
Adopting and Evaluating Service Oriented Architecture in Industry (KAN, HGG, AvD), pp. 11–20.
CSMRCSMR-2010-OzkayaPGC #evolution #requirements #using
Using Architecturally Significant Requirements for Guiding System Evolution (IO, JADP, AG, SC), pp. 127–136.
CSMRCSMR-2010-SchrettnerHFFB #development #re-engineering
Development of a Methodology, Software — Suite and Service for Supporting Software Architecture Reconstruction (LS, PH, RF, LJF, TB), pp. 190–193.
ICPCICPC-2010-Berman #comprehension #low level
Sound as an Aid in Understanding Low-Level Program Architecture (LB), pp. 58–59.
ICPCICPC-2010-BouwersVLD #complexity
A Cognitive Model for Software Architecture Complexity (EB, JV, CL, AvD), pp. 152–155.
WCREWCRE-2010-GanesanLRWLT #analysis
Architectural Analysis of Systems Based on the Publisher-Subscriber Style (DG, ML, LR, RW, VL, TT), pp. 173–182.
WCREWCRE-2010-Sabane #testing
Improving System Testability and Testing with Microarchitectures (AS), pp. 309–312.
IFMIFM-2010-Faber #composition #realtime #reasoning #verification
Verification Architectures: Compositional Reasoning for Real-Time Systems (JF), pp. 136–151.
SEFMSEFM-2010-MetayerMP #design
Designing Log Architectures for Legal Evidence (DLM, EM, MLP), pp. 156–165.
SEFMSEFM-2010-ScannielloRT #empirical #evaluation #semantics #using
Architecture Recovery Using Latent Semantic Indexing and K-Means: An Empirical Evaluation (GS, MR, GT), pp. 103–112.
AIIDEAIIDE-2010-TanC #adaptation #automation #game studies #modelling
An Automated Model-Based Adaptive Architecture in Modern Games (CTT, HLC).
CoGCIG-2010-HannaHCB #composition #game studies #learning
Modular Reinforcement Learning architectures for artificially intelligent agents in complex game environments (CJH, RJH, DC, MMB), pp. 380–387.
SOFTVISSOFTVIS-2010-BeckD #comparison #visual notation
Visual comparison of software architectures (FB, SD), pp. 183–192.
EDOCEDOC-2010-AgaramL #component
A Componentized Architecture for Externalized Business Rules (MKA, BL), pp. 175–183.
EDOCEDOC-2010-DamLG #co-evolution #enterprise #evolution
Supporting Change Propagation in the Evolution of Enterprise Architectures (HKD, LSL, AKG), pp. 24–33.
EDOCEDOC-2010-QuartelSL #enterprise #modelling #requirements #using
IT Portfolio Valuation — Using Enterprise Architecture and Business Requirements Modeling (DACQ, MWAS, MML), pp. 3–13.
EDOCEDOC-2010-SaatFLE #enterprise #metamodelling #modelling
Enterprise Architecture Meta Models for IT/Business Alignment Situations (JS, UF, RL, ME), pp. 14–23.
ICEISICEIS-AIDSS-2010-TomeAC #enterprise #experience #named #using
TREEAD — A Tool that Enables the Re-use of Experience in Enterprise Architecture Description (PT, LA, EC), pp. 332–343.
ICEISICEIS-DISI-2010-AlahmariZR #legacy #migration
Migrating Legacy Systems to a Service-Oriented Architecture with Optimal Granularity (SA, EZ, DDR), pp. 198–207.
ICEISICEIS-DISI-2010-DiboB #component #deployment #distributed #metamodelling #modelling
Defining an Unified Meta Modeling Architecture for Deployment of Distributed Components-based Software Applications (MD, NB), pp. 316–321.
ICEISICEIS-HCI-2010-WeinbergerGR
Context-aware Search Architecture (HW, OG, KR), pp. 71–78.
ICEISICEIS-ISAS-2010-AlvesSC
A Federated Triple Store Architecture for Healthcare Applications (BA, MS, FC), pp. 207–214.
ICEISICEIS-ISAS-2010-DohringKGZ #challenge #convergence #workflow
The Convergence of Workflows, Business Rules and Complex Events — Defining a Reference Architecture and Approaching Realization Challenges (MD, LK, EG, BZ), pp. 338–343.
ICEISICEIS-ISAS-2010-DuarteL #challenge #enterprise #state of the art
Enterprise Architecture — State of the Art and Challenges (JCD, MLM), pp. 101–112.
ICEISICEIS-ISAS-2010-RauberR #adaptation #execution #manycore #parallel
Adaptive Execution of Software Systems on Parallel Multicore Architectures (TR, GR), pp. 191–198.
ICEISICEIS-J-2010-Chatzinikolaou10a #adaptation #algorithm #coordination #evolution #peer-to-peer #search-based #self
Coordinating Evolution: An Open, Peer-to-Peer Architecture for a Self-adapting Genetic Algorithm (NC), pp. 164–176.
ICEISICEIS-SAIC-2010-MiguelCVGH #multi
B2C and C2C e-Marketplaces — A Multi-layer/Multi-agent Architecture to Support them (RM, JJCS, DV, CGM, VH), pp. 100–105.
ICPRICPR-2010-CarneiroN #learning
The Fusion of Deep Learning Architectures and Particle Filtering Applied to Lip Tracking (GC, JCN), pp. 2065–2068.
ICPRICPR-2010-ColemanSG #feature model #using
Coarse Scale Feature Extraction Using the Spiral Architecture Structure (SAC, BWS, BG), pp. 2370–2373.
KDIRKDIR-2010-CorreiaCL #collaboration #data mining #mining
An Architecture for Collaborative Data Mining (FC, RC, JCL), pp. 467–470.
KEODKEOD-2010-LettnerHFD #concept #evolution #integration #metadata #modelling
Conceptual Models for Metadata Integration and Architecture Evolution (CL, CH, BF, ED), pp. 269–275.
KMISKMIS-2010-KarakostasK
Cloud Architecture for e-Collaboration in the Intermodal Freight Business (BK, TK), pp. 267–272.
SEKESEKE-2010-Abu-MatarGKE #feature model #modelling #variability
Feature Modeling for Service Variability Management in Service-Oriented Architectures (MAM, HG, MK, AME), pp. 468–473.
SEKESEKE-2010-ChandaMB #knowledge base
Knowledge Based Service Oriented Architecture for M&A (DC, DDM, SB), pp. 448–451.
SEKESEKE-2010-ChangK #modelling #process
A Model-based Business Process Diagnosis Method in Service Oriented Architecture (SHC, SDK), pp. 458–461.
SEKESEKE-2010-EsfahaniS #automation #communication
Service Automation Architecture as adopted by Unified Communication Audit Tool (SSE, TS), pp. 443–447.
SEKESEKE-2010-GalsterEM #requirements #specification
Textual Software Requirements Specifications in the Context of Software Architecting (MG, AE, MM), pp. 42–47.
SEKESEKE-2010-GoaerTO #evolution
Evolution Styles to Capitalize Evolution Expertise within Software Architectures (OLG, DT, MO), pp. 159–164.
SEKESEKE-2010-HuSW #model transformation #named
ISE — Integrated Service Engineering: Applying an Architecture for Model to Model Transformations (HH, GS, GW), pp. 452–457.
SEKESEKE-2010-ImM #reasoning
Reasoning about Attribute Architectures (TI, JDM), pp. 165–171.
SEKESEKE-2010-LuG #analysis #concurrent #performance #thread #web
Performance Analysis of a Web Server with Dynamic Thread Pool Architecture (JL, SSG), pp. 99–105.
SEKESEKE-2010-NesicGJ #documentation #integration #semantics
Semantic Document Architecture for Desktop Data Integration and Management (SN, DG, MJ), pp. 73–78.
SEKESEKE-2010-SlimaniBB #evolution #ontology
Agent-based Architecture for Service Ontology evolution management (SS, SB, KB), pp. 664–667.
SEKESEKE-2010-VermaD #aspect-oriented #development #enterprise
Enterprise Systems Development: Impact of Aspect Oriented Software Architecture (PKV, DD), pp. 176–179.
SEKESEKE-2010-WyethZ #security #specification
Formal Specification of Software Architecture Security Tactics (AW, CZ), pp. 172–175.
SEKESEKE-2010-ZhangUV #component #development #evolution #process
Architecture-centric development and evolution processes for component-based software (H(Z, CU, SV), pp. 680–685.
MODELSMoDELS-v2-2010-BagheriS #development #modelling #named
Monarch: Model-Based Development of Software Architectures (HB, KJS), pp. 376–390.
MODELSMoDELS-v2-2010-ParetoEE #bound #design
Architectural Descriptions as Boundary Objects in System and Design Work (LP, PE, SE), pp. 406–419.
PLEASEPLEASE-2010-IvanovicA
Information needed for architecture decision making (AI, PA), pp. 54–57.
SPLCSPLC-2010-ClementsMB #elicitation #product line
Eliciting and Capturing Business Goals to Inform a Product Line’s Business Case and Architecture (PCC, JDM, LB), pp. 393–405.
SPLCSPLC-2010-GanesanLMBSM #product line #testing
Architecture-Based Unit Testing of the Flight Software Product Line (DG, ML, DM, MB, SS, BM), pp. 256–270.
SPLCSPLC-2010-GustavssonE #industrial #product line
Architecting Automotive Product Lines: Industrial Practice (HG, UE), pp. 92–105.
SPLCSPLC-2010-JohnSA #product line
The Rise and Fall of Product Line Architectures (IJ, CS, ESdA), pp. 500–501.
SPLCSPLC-2010-MedeirosAM #approach #design #named #product line
SOPLE-DE: An Approach to Design Service-Oriented Product Line Architectures (FMM, ESdA, SRLM), pp. 456–460.
SPLCSPLC-2010-TraskR #modelling #product line
Leveraging Model Driven Engineering in Software Product Line Architectures (BT, AR), pp. 517–518.
OOPSLAOOPSLA-2010-AuerbachBCR #named
Lime: a Java-compatible and synthesizable language for heterogeneous architectures (JSA, DFB, PC, RMR), pp. 89–108.
OOPSLAOOPSLA-2010-McIlroyS #manycore #named #runtime
Hera-JVM: a runtime system for heterogeneous multi-core architectures (RM, JS), pp. 205–222.
OOPSLAOOPSLA-2010-MercadalECL #approach #fault #pervasive
A domain-specific approach to architecturing error handling in pervasive computing (JM, QE, CC, NL), pp. 47–61.
OOPSLAOOPSLA-2010-OssherBSAACDVFK #analysis #challenge #concept #flexibility #modelling #research #tool support
Flexible modeling tools for pre-requirements analysis: conceptual architecture and research challenges (HO, RKEB, IS, DA, AAT, MC, MD, JdV, AF, SK), pp. 848–864.
RERE-2010-ClementsB #using
Using Business Goals to Inform a Software Architecture (PCC, LB), pp. 69–78.
RERE-2010-FerrariMSHGS #case study #prototype #requirements
Requirements Engineering Decisions in the Context of an Existing Architecture: A Case Study of a Prototypical Project (RF, NHM, OS, CH, JG, WS), pp. 79–88.
REFSQREFSQ-2010-AmellerF #how #non-functional #overview #requirements
How Do Software Architects Consider Non-Functional Requirements: A Survey (DA, XF), pp. 276–277.
REFSQREFSQ-2010-FerrariSHGSM #interactive #prototype #requirements
Requirements and Systems Architecture Interaction in a Prototypical Project: Emerging Results (RF, OS, CH, JG, WS, NHM), pp. 23–29.
ASEASE-2010-Abi-AntounB #security
Analyzing security architectures (MAA, JMB), pp. 3–12.
ASEASE-2010-BagheriSS #independence
Architectural style as an independent variable (HB, YS, KJS), pp. 159–162.
ASEASE-2010-HilliardMMP #framework #megamodelling
Realizing architecture frameworks through megamodelling techniques (RH, IM, HM, PP), pp. 305–308.
ASEASE-2010-KimKP #development #quality #tool support
Tool support for quality-driven development of software architectures (SK, DKK, SP), pp. 127–130.
ASEASE-2010-MelissonMRRS #component #configuration management #distributed #runtime
Reconfigurable run-time support for distributed service component architectures (RM, PM, DR, RR, LS), pp. 171–172.
ASEASE-2010-TajalliGEM #adaptation #modelling #named
PLASMA: a plan-based layered architecture for software model-driven adaptation (HT, JG, GE, NM), pp. 467–476.
ASEASE-2010-WoollardMPM #named
Kadre: domain-specific architectural recovery for scientific software systems (DW, CM, DP, NM), pp. 325–328.
ICSEICSE-2010-Brondum #concept #detection
Software architecture for systems of software intensive systems (S3): the concepts and detection of inter-system relationships (JB), pp. 355–356.
ICSEICSE-2010-CarriereKO #framework
A cost-benefit framework for making architectural decisions in a business context (SJC, RK, IO), pp. 149–157.
ICSEICSE-2010-DeissenboeckHHJ #assessment #consistency #flexibility
Flexible architecture conformance assessment with ConQAT (FD, LH, BH, EJ), pp. 247–250.
ICSEICSE-2010-Kruchten #agile #development #question
Software architecture and agile software development: a clash of two cultures? (PK), pp. 497–498.
ICSEICSE-2010-Malavolta #generative
Providing support for creating next generation software architecture languages (IM), pp. 517–518.
ICSEICSE-2010-Mattsson #automation #design
Automatic enforcement of architectural design rules (AM), pp. 369–372.
ICSEICSE-2010-MedvidovicT #theory and practice
Software architecture: foundations, theory, and practice (NM, RNT), pp. 471–472.
ICSEICSE-2010-Meedeniya #evaluation #incremental #modelling #probability
An incremental methodology for quantitative software architecture evaluation with probabilistic models (IM), pp. 339–340.
ICSEICSE-2010-PaulischZ #case study #certification #experience
A role-based qualification and certification program for software architects: an experience report from Siemens (FP, PZ), pp. 21–27.
ICSEICSE-2010-Rodrigues #3d #approach #artificial reality #education #named
VisAr3D: an approach to software architecture teaching based on virtual and augmented reality (CSCR), pp. 351–352.
ICSEICSE-2010-SchaeferPT #parallel
Engineering parallel applications with tunable architectures (CAS, VP, WFT), pp. 405–414.
ICSEICSE-2010-UbayashiNT #contract #design #named
Archface: a contract place where architectural design and code meet together (NU, JN, TT), pp. 75–84.
SACSAC-2010-AddicksA #enterprise
A method for application evaluations in context of enterprise architecture (JSA, HJA), pp. 131–136.
SACSAC-2010-ChamberlainBFB #development
Application-guided tool development for architecturally diverse computation (RDC, JB, MAF, JHB), pp. 496–501.
SACSAC-2010-FacchinettiF #clustering #manycore #realtime
Resource partitioning for real-time processing on a multicore architecture (TF, MF), pp. 359–360.
SACSAC-2010-ForgetBLP #design #embedded #multi #realtime
A real-time architecture design language for multi-rate embedded control systems (JF, FB, DL, CP), pp. 527–534.
SACSAC-2010-FrantiKTS #concept #prototype
MOPSI location-based search engine: concept, architecture and prototype (PF, JK, AT, LS), pp. 872–873.
SACSAC-2010-Garcia-MagarinoCS #metric
A metrics suite for evaluating agent-oriented architectures (IGM, MC, VS), pp. 912–919.
SACSAC-2010-GomaaHKMM #adaptation
Software adaptation patterns for service-oriented architectures (HG, KH, MK, SM, DAM), pp. 462–469.
SACSAC-2010-HansenI #alloy #modelling
Modeling and analyzing architectural change with alloy (KMH, MI), pp. 2257–2264.
SACSAC-2010-HarshN #approach #independence #multi #network
Mode independent session directory service architecture: a unified approach for ASM and SSM multicast networks (PH, REN), pp. 647–654.
SACSAC-2010-Herold #component
Checking architectural compliance in component-based systems (SH), pp. 2244–2251.
SACSAC-2010-KatevaLRSTR #data mining #mining
SE-155 DBSA: a device-based software architecture for data mining (JK, PL, TR, JS, LT, JR), pp. 2273–2280.
SACSAC-2010-LitoiuWWNI #optimisation
A business driven cloud optimization architecture (ML, CMW, JW, JN, GI), pp. 380–385.
SACSAC-2010-LiuCXMBG #domain-specific language #question
Can domain-specific languages be implemented by service-oriented architecture? (SHL, AC, XX, MM, BRB, JG), pp. 2491–2492.
SACSAC-2010-MeertensIN #design #framework #modelling #platform
Goal and model driven design of an architecture for a care service platform (LOM, MEI, LJMN), pp. 158–164.
SACSAC-2010-PizzolatoAP #automation #recognition
Automatic recognition of finger spelling for LIBRAS based on a two-layer architecture (EBP, MdSA, GCP), pp. 969–973.
SACSAC-2010-ScannielloDDD #approach
An approach for architectural layer recovery (GS, AD, CD, TD), pp. 2198–2202.
SACSAC-2010-SerugendoFR #development #named #self
MetaSelf: an architecture and a development method for dependable self-* systems (GDMS, JSF, AR), pp. 457–461.
SACSAC-2010-ShahinLK #design #using #visualisation
Rationale visualization of software architectural design decision using compendium (MS, PL, MRK), pp. 2367–2368.
SACSAC-2010-SykesHMK #adaptation #non-functional #self
Exploiting non-functional preferences in architectural adaptation for self-managed systems (DS, WH, JM, JK), pp. 431–438.
SACSAC-2010-WeinreichB #development
Paving the road for formally defined architecture description in software development (RW, GB), pp. 2337–2343.
GPCEGPCE-J-2007-JungH10 #component #framework #scalability #specification
A type-centric framework for specifying heterogeneous, large-scale, component-oriented, architectures (GJ, JH), pp. 615–637.
ASPLOSASPLOS-2010-HarrisTCU #multi #runtime
Dynamic filtering: multi-purpose architecture support for language runtime systems (TH, ST, AC, OSÜ), pp. 39–52.
ASPLOSASPLOS-2010-SanchezYK #flexibility #scheduling
Flexible architectural support for fine-grain scheduling (DS, RMY, CK), pp. 311–322.
CASECASE-2010-DoroodgarN #learning
A hierarchical reinforcement learning based control architecture for semi-autonomous rescue robots in cluttered environments (BD, GN), pp. 948–953.
CASECASE-2010-DriesselHMS #assessment #heuristic #parallel #performance #scheduling
A parallel shifting bottleneck heuristic for scheduling complex job shops: Architecture and performance assessment (RD, UH, LM, WS), pp. 81–86.
CASECASE-2010-HametnerZS #automation #component #development #industrial #performance
Automation component architecture for the efficient development of industrial automation systems (RH, AZ, MS), pp. 156–161.
CASECASE-2010-LeeL #clustering #scheduling #tool support
An open scheduling architecture for cluster tools (JHL, TEL), pp. 420–425.
DACDAC-2010-HazraMDPBG #modelling #verification
Leveraging UPF-extracted assertions for modeling and formal verification of architectural power intent (AH, SM, PD, AP, DB, KG), pp. 773–776.
DACDAC-2010-JouWLCJ #design #generative #modelling #multi
New model-driven design and generation of multi-facet arbiters part I: from the design model to the architecture model (JMJ, SSW, YLL, CC, YLJ), pp. 258–261.
DACDAC-2010-ModarressiST #configuration management #network #performance
An efficient dynamically reconfigurable on-chip network architecture (MM, HSA, AT), pp. 166–169.
DACDAC-2010-OzdemirPDMLC #3d #parametricity
Quantifying and coping with parametric variations in 3D-stacked microarchitectures (SO, YP, AD, GM, GHL, ANC), pp. 144–149.
DACDAC-2010-PashaDS #generative #power management
A complete design-flow for the generation of ultra low-power WSN node architectures based on micro-tasking (MAP, SD, OS), pp. 693–698.
DACDAC-2010-TanWALCPA #multi
RAMP gold: an FPGA-based architecture simulator for multiprocessors (ZT, AW, RA, YL, HC, DAP, KA), pp. 463–468.
DACDAC-2010-TruongB #design #manycore #modelling
Circuit modeling for practical many-core architecture design exploration (DT, BMB), pp. 627–628.
DATEDATE-2010-AziziMSPH #design #framework
An integrated framework for joint design space exploration of microarchitecture and circuits (OA, AM, JPS, SJP, MH), pp. 250–255.
DATEDATE-2010-Benveniste #cyber-physical
Loosely Time-Triggered Architectures for Cyber-Physical Systems (AB), pp. 3–8.
DATEDATE-2010-CoskunARBM #3d #energy
Energy-efficient variable-flow liquid cooling in 3D stacked architectures (AKC, DA, TSR, TB, BM), pp. 111–116.
DATEDATE-2010-CupaiuoloST #detection #ml #throughput
Low-complexity high throughput VLSI architecture of soft-output ML MIMO detector (TC, MS, AT), pp. 1396–1401.
DATEDATE-2010-DadgourB #design #detection #novel #pipes and filters #using
Aging-resilient design of pipelined architectures using novel detection and correction circuits (HFD, KB), pp. 244–249.
DATEDATE-2010-FranzonDT #3d #design
Creating 3D specific systems: Architecture, design and CAD (PDF, WRD, TT), pp. 1684–1688.
DATEDATE-2010-GellertPZFVS #design #energy #predict #smt
Energy-performance design space exploration in SMT architectures exploiting selective load value predictions (AG, GP, VZ, AF, LNV, CS), pp. 271–274.
DATEDATE-2010-HsiehHCTTL #3d #design
TSV redundancy: Architecture and design issues in 3D IC (ACH, TH, MTC, MHT, CMT, HCL), pp. 166–171.
DATEDATE-2010-HsuYC #framework #refinement
An accurate system architecture refinement methodology with mixed abstraction-level virtual platform (ZMH, JCY, IYC), pp. 568–573.
DATEDATE-2010-Jara-BerrocalG #configuration management #embedded #named
VAPRES: A Virtual Architecture for Partially Reconfigurable Embedded Systems (AJB, AGR), pp. 837–842.
DATEDATE-2010-KoenigBSSABH #configuration management #multi #named #novel
KAHRISMA: A novel Hypermorphic Reconfigurable-Instruction-Set Multi-grained-Array architecture (RK, LB, TS, MS, WA, JB, JH), pp. 819–824.
DATEDATE-2010-KranenburgL #implementation #named #robust
MB-LITE: A robust, light-weight soft-core implementation of the MicroBlaze architecture (TK, RvL), pp. 997–1000.
DATEDATE-2010-LeemCBJM #fault #named #probability
ERSA: Error Resilient System Architecture for probabilistic applications (LL, HC, JB, QAJ, SM), pp. 1560–1565.
DATEDATE-2010-OmsCBK #automation #pipes and filters
Automatic microarchitectural pipelining (MGO, JC, DB, MK), pp. 961–964.
DATEDATE-2010-PakerEB #algorithm #low cost #multi #standard
A low cost multi-standard near-optimal soft-output sphere decoder: Algorithm and architecture (ÖP, SE, AB), pp. 1402–1407.
DATEDATE-2010-SyedLF #pervasive #self
An architecture for self-organization in pervasive systems (AAS, JL, RF), pp. 1548–1553.
DATEDATE-2010-TotaCRRZ #hybrid #message passing #multi #named
MEDEA: a hybrid shared-memory/message-passing multiprocessor NoC-based architecture (ST, MRC, MRR, LR, MZ), pp. 45–50.
DATEDATE-2010-TumeoRPFS #configuration management #implementation #multi #recognition #reliability
A reconfigurable multiprocessor architecture for a reliable face recognition implementation (AT, FR, GP, FF, DS), pp. 319–322.
DATEDATE-2010-WhittySHEP #configuration management #memory management #performance
Application-specific memory performance of a heterogeneous reconfigurable architecture (SW, HS, BH, RE, WPR), pp. 387–392.
DATEDATE-2010-ZhangLZMC #communication #generative
Domain specific architecture for next generation wireless communication (BZ, HL, HZ, FM, TC), pp. 1414–1419.
HPCAHPCA-2010-ChampagneL #scalability
Scalable architectural support for trusted software (DC, RBL), pp. 1–12.
HPCAHPCA-2010-GenbruggeEE #abstraction #simulation
Interval simulation: Raising the level of abstraction in architectural simulation (DG, SE, LE), pp. 1–12.
HPCAHPCA-2010-LiBKKRH #manycore #operating system
Operating system support for overlapping-ISA heterogeneous multi-core architectures (TL, PB, RCK, DAK, DR, SH), pp. 1–12.
HPCAHPCA-2010-MerinoPG #adaptation #low cost #named
ESP-NUCA: A low-cost adaptive Non-Uniform Cache Architecture (JM, VP, JÁG), pp. 1–10.
HPCAHPCA-2010-SunJCNXCL #energy #hybrid #performance
A Hybrid solid-state storage architecture for the performance, energy consumption, and lifetime improvement (GS, YJ, YC, DN, YX, YC, HL), pp. 1–12.
HPCAHPCA-2010-TangBHC #cpu #performance #using
DMA cache: Using on-chip storage to architecturally separate I/O data from CPU data for improving I/O performance (DT, YB, WH, MC), pp. 1–12.
HPCAHPCA-2010-WareRFBRRC #approach #power management
Architecting for power management: The IBM POWER7TM approach (MSW, KR, MSF, BB, JCR, FLRI, JBC), pp. 1–11.
HPCAHPCA-2010-WooSLL #3d #memory management
An optimized 3D-stacked memory architecture by exploiting excessive, high-density TSV bandwidth (DHW, NHS, DLL, HHSL), pp. 1–12.
HPDCHPDC-2010-BatiniGM #enterprise #using
Optimal enterprise data architecture using publish and subscribe (CB, SG, AM), pp. 541–547.
HPDCHPDC-2010-ChapmanEMCG #on-demand
Software architecture definition for on-demand cloud provisioning (CC, WE, FGM, SC, AG), pp. 61–72.
HPDCHPDC-2010-RivasAN #named #realtime
Janus: a cross-layer soft real-time architecture for virtualization (RR, MAA, KN), pp. 676–683.
ISMMISMM-2010-ZhaoBA #memory management #performance
Efficient memory shadowing for 64-bit architectures (QZ, DB, SPA), pp. 93–102.
LCTESLCTES-2010-LiXLZ #analysis #approximate #memory management
Analysis and approximation for bank selection instruction minimization on partitioned memory architecture (ML, CJX, TL, YZ), pp. 1–8.
PDPPDP-2010-EbrahimiDLPT #interface #network #using
A High-Performance Network Interface Architecture for NoCs Using Reorder Buffer Sharing (ME, MD, PL, JP, HT), pp. 546–550.
PDPPDP-2010-FreitasSAN #design #parallel
Impact of Parallel Workloads on NoC Architecture Design (HCdF, LMS, MAZA, POAN), pp. 551–555.
PDPPDP-2010-KrautsevichLMY
Risk-Based Usage Control for Service Oriented Architecture (LK, AL, FM, AY), pp. 641–648.
PDPPDP-2010-MadrugaFN #manycore #parallel #performance #symmetry
Parallel Shared-Memory Workloads Performance on Asymmetric Multi-core Architectures (FLM, HCdF, POAN), pp. 163–169.
PDPPDP-2010-MasonGC #evaluation #network #parallel #security
Evaluation of a Massively Parallel Architecture for Network Security Applications (BCM, DG, CLC), pp. 85–91.
PDPPDP-2010-RibeiroA #hybrid #named #persistent
DataCube: A P2P Persistent Data Storage Architecture Based on Hybrid Redundancy Schema (HBR, EA), pp. 302–306.
PPoPPPPoPP-2010-BaghsorkhiDPGH #adaptation #gpu #modelling #performance
An adaptive performance modeling tool for GPU architectures (SSB, MD, SJP, WDG, WmWH), pp. 105–114.
PPoPPPPoPP-2010-JangMSDK #data transformation #parallel #thread
Data transformations enabling loop vectorization on multithreaded data parallel architectures (BJ, PM, DS, RD, DRK), pp. 353–354.
WRLAWRLA-2010-SunMS
A Formal Pattern Architecture for Safe Medical Systems (MS, JM, LS), pp. 157–173.
CAVCAV-2010-ChatterjeeK #automation #communication #generative #induction #invariant #modelling
Automatic Generation of Inductive Invariants from High-Level Microarchitectural Models of Communication Fabrics (SC, MK), pp. 321–338.
ICTSSICTSS-2010-AlmeidaMSTV #distributed #performance #scalability
Efficient Distributed Test Architectures for Large-Scale Systems (ECdA, JEM, GS, YLT, PV), pp. 174–187.
CBSECBSE-2009-BotaschanjanH #functional
Integrating Functional and Architectural Views of Reactive Systems (JB, AH), pp. 156–172.
QoSAQoSA-2009-BiehlL #automation #consistency #development #modelling
Automated Architecture Consistency Checking for Model Driven Software Development (MB, WL), pp. 36–51.
QoSAQoSA-2009-BjornanderGL #simulation #specification #state machine
Timed Simulation of Extended AADL-Based Architecture Specifications with Timed Abstract State Machines (SB, LG, KL), pp. 101–115.
QoSAQoSA-2009-ChanP #behaviour #composition #predict #process
Compositional Prediction of Timed Behaviour for Process Control Architecture (KC, IP), pp. 86–100.
QoSAQoSA-2009-GarciaPEM #smell #towards
Toward a Catalogue of Architectural Bad Smells (JG, DP, GE, NM), pp. 146–162.
QoSAQoSA-2009-HinsmanSS
Achieving Agility through Architecture Visibility (CH, NS, JAS), pp. 116–129.
QoSAQoSA-2009-KoziolekWD #case study #evolution #industrial #product line
Evolving Industrial Software Architectures into a Software Product Line: A Case Study (HK, RW, JD), pp. 177–193.
QoSAQoSA-2009-KrogmannSBKMM #feedback #performance #predict #using #visualisation
Improved Feedback for Architectural Performance Prediction Using Software Cartography Visualizations (KK, CMS, SB, MK, AM, FM), pp. 52–69.
QoSAQoSA-2009-PoortPPCV
Successful Architectural Knowledge Sharing: Beware of Emotions (ERP, AP, MP, VC, HvV), pp. 130–145.
WICSA-ECSAWICSA-ECSA-2009-AboudAFHTUV #automation #classification #component #concept #using
Automated architectural component classification using concept lattices (NAA, GA, JRF, MH, CT, CU, SV), pp. 21–30.
WICSA-ECSAWICSA-ECSA-2009-AngelovGG #classification #effectiveness
A classification of software reference architectures: Analyzing their success and effectiveness (SA, PWPJG, DG), pp. 141–150.
WICSA-ECSAWICSA-ECSA-2009-AschauerDP #modelling #multi #towards
Towards a generic architecture for multi-level modeling (TA, GD, WP), pp. 121–130.
WICSA-ECSAWICSA-ECSA-2009-Axelsson #case study #embedded #industrial #product line
Evolutionary architecting of embedded automotive product lines: An industrial case study (JA), pp. 101–110.
WICSA-ECSAWICSA-ECSA-2009-Babar #agile #case study #challenge #development #using
An exploratory study of architectural practices and challenges in using agile software development approaches (MAB), pp. 81–90.
WICSA-ECSAWICSA-ECSA-2009-Bass #approach #design
Generate and test as a software architecture design approach (LB), pp. 309–312.
WICSA-ECSAWICSA-ECSA-2009-BielG #towards #usability
Towards a Method for Analyzing Architectural Support Levels of Usability (BB, VG), pp. 273–276.
WICSA-ECSAWICSA-ECSA-2009-BoerLTV #design #visualisation
Ontology-driven visualization of architectural design decisions (RCdB, PL, ACT, HvV), pp. 51–60.
WICSA-ECSAWICSA-ECSA-2009-BontaB #algebra #code generation #java #named #process
PADL2Java: A Java code generator for process algebraic architectural descriptions (EB, MB), pp. 161–170.
WICSA-ECSAWICSA-ECSA-2009-BrebnerOG #enterprise #evolution #modelling #performance
Performance modeling evolving Enterprise Service Oriented Architectures (PB, LO, JG), pp. 71–80.
WICSA-ECSAWICSA-ECSA-2009-BritoRL #fault tolerance #verification
Verifying architectural variabilities in software fault tolerance techniques (PHSB, CMFR, RdL), pp. 231–240.
WICSA-ECSAWICSA-ECSA-2009-Capilla #design #embedded
Embedded design rationale in software architecture (RC), pp. 305–308.
WICSA-ECSAWICSA-ECSA-2009-CarignanoGL #design
A model to represent architectural design rationale (MCC, SG, HPL), pp. 301–304.
WICSA-ECSAWICSA-ECSA-2009-EklundO #case study
A case study of the Architecture Business Cycle for an in-vehicle software architecture (UE, CMO), pp. 91–100.
WICSA-ECSAWICSA-ECSA-2009-EmeryH #framework #using
Every architecture description needs a framework: Expressing architecture frameworks using ISO/IEC 42010 (DEE, RH), pp. 31–40.
WICSA-ECSAWICSA-ECSA-2009-FarenhorstHLV
The lonesome architect (RF, JFH, PL, HvV), pp. 61–70.
WICSA-ECSAWICSA-ECSA-2009-FigueiredoGKGSPMSBRBAZM #case study #detection
Detecting architecture instabilities with concern traces: An exploratory study (EF, IG, SSK, AG, CS, AP, ALM, LFdS, TVB, RAR, PvdB, MA, SZ, AMDM), pp. 261–264.
WICSA-ECSAWICSA-ECSA-2009-GarlanBSC #evolution #tool support
Evolution styles: Foundations and tool support for software architecture evolution (DG, JMB, BRS, OC), pp. 131–140.
WICSA-ECSAWICSA-ECSA-2009-GuL #on the
On service-oriented architectural concerns and viewpoints (QG, PL), pp. 289–292.
WICSA-ECSAWICSA-ECSA-2009-HenttonenM #open source #reuse #tool support #using
Open source based tools for sharing and reuse of software architectural knowledge (KH, MM), pp. 41–50.
WICSA-ECSAWICSA-ECSA-2009-IngstrupH #configuration management #modelling
Modeling architectural change: Architectural scripting and its applications to reconfiguration (MI, KMH), pp. 337–340.
WICSA-ECSAWICSA-ECSA-2009-KeulerW #design #synthesis
Interaction-sensitive synthesis of architectural tactics in connector designs (TK, CW), pp. 321–324.
WICSA-ECSAWICSA-ECSA-2009-LiuLXSZ #enterprise #integration #using
Using architecture integration patterns to compose enterprise mashups (YL, XL, LX, MS, LZ), pp. 111–120.
WICSA-ECSAWICSA-ECSA-2009-NakagawaBM #ontology #testing
Exploring ontologies to support the establishment of reference architectures: An example on software testing (EYN, EFB, JCM), pp. 249–252.
WICSA-ECSAWICSA-ECSA-2009-NallurBY #in the cloud #quality #self
Self-optimizing architecture for ensuring Quality Attributes in the cloud (VN, RB, XY), pp. 281–284.
WICSA-ECSAWICSA-ECSA-2009-NavarroCP #network #weaving
Weaving a network of architectural knowledge (EN, CEC, DEP), pp. 241–244.
WICSA-ECSAWICSA-ECSA-2009-NordCEH #documentation #set #using
Reviewing architecture documents using question sets (RLN, PCC, DEE, RH), pp. 325–328.
WICSA-ECSAWICSA-ECSA-2009-PaceCBSC #documentation #implementation
Assisting the synchronization of UCM-based architectural documentation with implementation (JADP, JPC, MB, AS, MRC), pp. 151–160.
WICSA-ECSAWICSA-ECSA-2009-PerezDSG #component #variability
Plastic Partial Components: A solution to support variability in architectural components (JP, JD, CCS, JG), pp. 221–230.
WICSA-ECSAWICSA-ECSA-2009-PerovichRB #feature model #product line
Feature model to product architectures: Applying MDE to Software Product Lines (DP, POR, MCB), pp. 201–210.
WICSA-ECSAWICSA-ECSA-2009-RazavizadehVCD #multi
Multiple viewpoints architecture extraction (AR, HV, SC, SD), pp. 329–332.
WICSA-ECSAWICSA-ECSA-2009-RodriguezDCJ #adaptation #communication #configuration management #modelling #multi
A model-based multi-level architectural reconfiguration applied to adaptability management in context-aware cooperative communication support systems (IBR, KD, CC, MJ), pp. 353–356.
WICSA-ECSAWICSA-ECSA-2009-RooSA #adaptation #embedded #multi #optimisation #using
An architectural style for optimizing system qualities in adaptive embedded systems using Multi-Objective Optimization (AdR, HS, MA), pp. 349–352.
WICSA-ECSAWICSA-ECSA-2009-SangwanN #complexity
Characterizing essential and incidental complexity in software architectures (RSS, CJN), pp. 265–268.
WICSA-ECSAWICSA-ECSA-2009-SavolainenM #comparison #research
Layered architecture revisited — Comparison of research and practice (JS, VM), pp. 317–320.
WICSA-ECSAWICSA-ECSA-2009-SethiCWGS #composition
From retrospect to prospect: Assessing modularity and stability from software architecture (KS, YC, SW, AG, CS), pp. 269–272.
WICSA-ECSAWICSA-ECSA-2009-ShahinLK #design #modelling #tool support
Architectural design decision: Existing models and tools (MS, PL, MRK), pp. 293–296.
WICSA-ECSAWICSA-ECSA-2009-TangV #constraints #design #modelling #reasoning
Modeling constraints improves software architecture design reasoning (AT, HvV), pp. 253–256.
WICSA-ECSAWICSA-ECSA-2009-TaylorMO #adaptation #runtime
Architectural styles for runtime software adaptation (RNT, NM, PO), pp. 171–180.
WICSA-ECSAWICSA-ECSA-2009-WieczorekRSKCKS #modelling
Viewpoints for modeling choreographies in service-oriented architectures (SW, AR, AS, VK, AC, FMK, IS), pp. 11–20.
WICSA-ECSAWICSA-ECSA-2009-WoodsR
The system context architectural viewpoint (EW, NR), pp. 333–336.
WICSA-ECSAWICSA-ECSA-2009-XuZSL #distributed
An architecting method for distributed process-intensive systems (XX, LZ, MS, YL), pp. 277–280.
TPDLECDL-2009-BerndBKWS #3d #query #user interface
Demonstration of User Interfaces for Querying in 3D Architectural Content in PROBADO3D (RB, IB, HK, RW, TS), pp. 491–492.
TPDLECDL-2009-NakasholeS #distributed #hybrid
A Hybrid Distributed Architecture for Indexing (NN, HS), pp. 250–260.
ICDARICDAR-2009-Long #analysis
An RDF-Based Blackboard Architecture for Improving Table Analysis (VL), pp. 916–920.
JCDLJCDL-2009-AnandBBST #distributed #named #web
EverLast: a distributed architecture for preserving the web (AA, SJB, KB, RS, CT), pp. 331–340.
SIGMODSIGMOD-2009-IvanovaKNG
An architecture for recycling intermediates in a column-store (MI, MLK, NJN, RG), pp. 309–320.
VLDBVLDB-2009-AbouzeidBARS #hybrid #named #pipes and filters
HadoopDB: An Architectural Hybrid of MapReduce and DBMS Technologies for Analytical Workloads (AA, KBP, DJA, AR, AS), pp. 922–933.
VLDBVLDB-2009-BonczMK #database #evolution
Database Architecture Evolution: Mammals Flourished long before Dinosaurs became Extinct (PAB, SM, MLK), pp. 1648–1653.
VLDBVLDB-2009-DasAAA #concurrent #data type #manycore #thread
Thread Cooperation in Multicore Architectures for Frequency Counting over Multiple Data Streams (SD, SA, DA, AEA), pp. 217–228.
CSEETCSEET-2009-Bass09a #design
Software Architecture Design (LB), p. 4.
CSEETCSEET-2009-BoerFV #approach #community #education
A Community of Learners Approach to Software Architecture Education (RCdB, RF, HvV), pp. 190–197.
ITiCSEITiCSE-2009-SoriaP #aspect-oriented #development #education #open source #using
Teaching software architectures and aspect-oriented software development using open-source projects (CCS, JP), p. 385.
SIGITESIGITE-2009-IslamS #distance #distributed #education #effectiveness
A cost-effective distributed architecture to enable distance education over emerging wireless technologies (KRI, CMS), pp. 182–188.
SIGITESIGITE-2009-Stanley #education #hardware #logic #network #operating system #simulation #using
Using digital logic simulation as a teaching aid in discrete mathematics, hardware and operating systems, networking, computer organization and computer architecture: a workshop outline (TDS), pp. 1–2.
CSMRCSMR-2009-BittencourtG #algorithm #clustering #comparison #graph
Comparison of Graph Clustering Algorithms for Recovering Software Architecture Module Views (RAB, DDSG), pp. 251–254.
CSMRCSMR-2009-BurenR #analysis #maintenance #using
Architectural Maintenance Using a Data Warehouse System for Availability Analysis (GB, CR), pp. 307–308.
CSMRCSMR-2009-Chikofsky #process #using
Using the ACART Process for Architecture Compliance (EJC), pp. 313–314.
CSMRCSMR-2009-DuszynskiKL #evaluation #named #visualisation
SAVE: Software Architecture Visualization and Evaluation (SD, JK, ML), pp. 323–324.
CSMRCSMR-2009-EkstedtFJLSUB #analysis #enterprise #maintenance
A Tool for Enterprise Architecture Analysis of Maintainability (ME, UF, PJ, RL, TS, JU, MB), pp. 327–328.
CSMRCSMR-2009-GarciaPEM #identification #smell
Identifying Architectural Bad Smells (JG, DP, GE, NM), pp. 255–258.
CSMRCSMR-2009-Lilienthal #complexity #scalability
Architectural Complexity of Large-Scale Software Systems (CL), pp. 17–26.
CSMRCSMR-2009-SoriaPC #fault #locality #modelling #tool support #using
Tool Support for Fault Localization Using Architectural Models (AS, JADP, MRC), pp. 59–68.
CSMRCSMR-2009-SoriaPC09a #aspect-oriented #configuration management #using
Handling the Dynamic Reconfiguration of Software Architectures Using Aspects (CCS, JP, JÁC), pp. 263–266.
ICPCICPC-2009-FeilkasRJ #case study #evolution #industrial
The loss of architectural knowledge during system evolution: An industrial case study (MF, DR, EJ), pp. 188–197.
ICPCICPC-2009-SamiaL #graph #scalability #towards #visualisation
Towards pie tree visualization of graphs and large software architectures (MS, ML), pp. 301–302.
ICPCICPC-2009-SerebrenikRB #assessment #java #open source
Dn-based architecture assessment of Java Open Source software systems (AS, SAR, MvdB), pp. 198–207.
ICSMEICSM-2009-BouwersVD #evaluation
Criteria for the evaluation of implemented architectures (EB, JV, AvD), pp. 73–82.
ICSMEICSM-2009-Weiss #product line
Architecture of product lines (DMW), p. 6.
WCREWCRE-1999-AnquetilRAAHPPP99a #java #named #source code
JavaCompExt: Extracting Architectural Elements from Java Source Code (NA, JCR, PA, GA, PH, TP, DP, VP), pp. 317–318.
HaskellHaskell-2009-DijkstraFS #compilation #haskell
The architecture of the Utrecht Haskell compiler (AD, JF, SDS), pp. 93–104.
AIIDEAIIDE-2009-CutumisuS #behaviour #game studies #multi
An Architecture for Game Behavior AI: Behavior Multi-Queues (MC, DS).
CoGCIG-2009-OnievaPAMP #composition #parametricity
A modular parametric architecture for the TORCS racing engine (EO, DAP, JA, VM, JP), pp. 256–262.
HCIDHM-2009-RobbinsCM #human-computer #modelling
Bridging the Gap between HCI and DHM: The Modeling of Spatial Awareness within a Cognitive Architecture (BR, DWC, AM), pp. 295–304.
HCIHCD-2009-Hasegawa #exclamation #prototype
Site-it!: An Information Architecture Prototyping Tool (AH), pp. 707–711.
HCIHCD-2009-IsaNM #design #e-commerce
Culture Design of Information Architecture for B2C E-Commerce Websites (WARWMI, NLMN, SM), pp. 805–814.
HCIHCD-2009-KuDC #education #usability
The Effects of Information Architecture and Atmosphere Style on the Usability of an Ecology Education Website (CjK, JLD, LCC), pp. 749–757.
HCIHCD-2009-Liang
Redefining Architectural Elements by Digital Media (KhL), pp. 995–1002.
HCIHCI-AUII-2009-LeeSW #user interface
Context-Aware Cognitive Agent Architecture for Ambient User Interfaces (YL, CS, WW), pp. 456–463.
HCIHCI-NT-2009-KolskiFDGTE #design #evaluation #interactive
Agent-Based Architecture for Interactive System Design: Current Approaches, Perspectives and Evaluation (CK, PF, BD, PG, CDT, HE), pp. 624–633.
HCIHCI-VAD-2009-BolchiniFP #design
Designing Usable Bio-information Architectures (DB, AF, PP), pp. 653–662.
HCIHIMI-DIE-2009-Ocenasek09b #security #towards
Towards Security Issues in ZigBee Architecture (PO), pp. 587–593.
HCIOCSC-2009-FerebeeD #facebook
The Innovation Architectures of Facebook (SSF, JWD), pp. 322–325.
HCIOCSC-2009-HofmannHF #collaboration #video #workflow
Workflow-Based Architecture for Collaborative Video Annotation (CH, NH, DWF), pp. 33–42.
HCIOCSC-2009-IsaNM09a #case study #online
Cultural Prescription vs. User Perception of Information Architecture for Culture Centred Website: A Case Study on Muslim Online User (WARWMI, NLMN, SM), pp. 535–544.
CAiSECAiSE-2009-DalpiazGM #configuration management #self
An Architecture for Requirements-Driven Self-reconfiguration (FD, PG, JM), pp. 246–260.
EDOCEDOC-2009-BucklEMRS #enterprise #using
Using Enterprise Architecture Management Patterns to Complement TOGAF (SB, AME, FM, RR, CMS), pp. 34–41.
EDOCEDOC-2009-DeitersDHR #enterprise #rule-based
Rule-Based Architectural Compliance Checks for Enterprise Architecture Management (CD, PD, SH, AR), pp. 183–192.
EDOCEDOC-2009-NarmanJECK #analysis #enterprise
Enterprise Architecture Analysis for Data Accuracy Assessments (PN, PJ, ME, MC, JK), pp. 24–33.
EDOCEDOC-2009-QuartelEJS #enterprise #modelling #requirements
A Goal-Oriented Requirements Modelling Language for Enterprise Architecture (DACQ, WE, HJ, MvS), pp. 3–13.
ICEISICEIS-DISI-2009-AmiratO #first-order #metamodelling #named
C3: A Metamodel for Architecture Description Language based on First-order Connector Types (AA, MO), pp. 76–81.
ICEISICEIS-DISI-2009-BergerS #case study #implementation #named #query
FedDW: A Tool for Querying Federations of Data Warehouses — Architecture, Use Case and Implementation (SB, MS), pp. 113–122.
ICEISICEIS-DISI-2009-DunkelFOO #injection #semantics
Injecting Semantics into Event-driven Architectures (JD, AF, RO, SO), pp. 70–75.
ICEISICEIS-ISAS-2009-AzevedoSBSLCS #approach #enterprise #ontology
Enterprise Ontology Management — An Approach based on Information Architecture (LGA, SWMS, FAB, JdS, ML, CC, FMS), pp. 243–248.
ICEISICEIS-ISAS-2009-GrabisKV #information management
Information Architecture of Fractal Information Systems (JG, MK, JV), pp. 150–155.
ICEISICEIS-J-2009-MuellerTZ #multi #scalability
A Scalable Parametric-RBAC Architecture for the Propagation of a Multi-modality, Multi-resource Informatics System (RM, VAT, GQZ), pp. 114–124.
ICEISICEIS-SAIC-2009-DolmacHD #design #development #framework #information management #mobile #web
Mobile Device Location Information Acquisition Framework for Development of Location Information Web Applications — Design and Architecture (AD, SH, SD), pp. 74–81.
CIKMCIKM-2009-DittenbachPPRB #evaluation #information retrieval #named
SOIRE: a service-oriented IR evaluation architecture (MD, BP, AP, GR, HB), pp. 2101–2102.
CIKMCIKM-2009-SioutasPSTM #distributed #novel
A novel distributed P2P simulator architecture: D-P2P-sim (SS, GP, ES, KT, YM), pp. 2069–2070.
KDIRKDIR-2009-LopesAO #integration
Link Integrator — A Link-based Data Integration Architecture (PL, JA, JLO), pp. 274–277.
KEODKEOD-2009-Szymanski #editing #named #semantics
Wordventure — Cooperative Wordnet Editor — Architecture for Lexical Semantic Acquisition (JS), pp. 28–33.
RecSysRecSys-2009-BhattacharjeeGK #recommendation #social
An incentive-based architecture for social recommendations (RB, AG, KK), pp. 229–232.
SEKESEKE-2009-Al-SharifJ #debugging #multi
A Multi-agent Debugging Extension Architecture (ZAS, CLJ), pp. 194–199.
SEKESEKE-2009-Fan #multi
A Recognition-primed Architecture for Human-centric Multi-agent Systems (XF), pp. 200–205.
SEKESEKE-2009-GarlanCSBC #analysis #using
Using Service-oriented Architectures for Socio-Cultural Analysis (DG, KMC, BRS, MWB, OC), pp. 370–375.
SEKESEKE-2009-HendersonH #collaboration #consistency #development #nondeterminism
Collaborative Development of System Architecture — a Tool for Coping with Inconsistency (PH, MJH), pp. 520–525.
SEKESEKE-2009-JuniorAM #process
A Systematic SOA-based Architecture Process (JJLDJ, ESdA, SRdLM), pp. 328–333.
SEKESEKE-2009-LiaoHPWCLWCH #implementation #network #research
Research and Implementation of Service-oriented Architecture Supporting Locationbased Services on Sensor Networks (BYL, WSH, JSP, HCW, YMC, JKL, BSW, ELC, MFH), pp. 334–337.
SEKESEKE-2009-MoavenAHK
Decision Support System Environment for Software Architecture Style Selection (DESAS v1.0) (SM, HA, JH, AK), pp. 147–151.
SEKESEKE-2009-PengSZ #evolution #product line
An Architecture-based Evolution Management Method for Software Product Line (XP, LS, WZ), pp. 135–140.
SEKESEKE-2009-SunSJ #collaboration #development #towards
Towards Architecture-centric Collaborative Software Development (YS, HS, WJ), pp. 152–156.
SEKESEKE-2009-TsaiCCW #adaptation #framework
An Adaptive Management Framework for Service Brokers in Service-oriented Architecture (WTT, TC, YC, XW), pp. 280–285.
SEKESEKE-2009-UllahRG #case study #design #evaluation #open source #towards
Towards Design and Architectural Evaluation of Product Variants: A Case Study on an Open Source Software System (MIU, GR, VG), pp. 141–146.
SEKESEKE-2009-YangCC #composition
Service Creation and Composition for Realization On Service-oriented Architecture (CLY, YKC, CPC), pp. 338–343.
MODELSMoDELS-2009-Booch #mining
Architectural Mining: The Other Side of the MDD (GB), p. 627.
SPLCSPLC-2009-BabarIP #agile #case study #development #industrial #product line
An industrial case of exploiting product line architectures in agile software development (MAB, TI, MP), pp. 171–179.
SPLCSPLC-2009-GanesanLAMB #design #product line #verification
Verifying architectural design rules of the flight software product line (DG, ML, CA, DM, MB), pp. 161–170.
SPLCSPLC-2009-KrutC #product line
Service-oriented architectures and software product lines: enhancing variation (RWK, SGC), pp. 301–302.
SPLCSPLC-2009-LopezCH #configuration management #product line
Issues in mapping change-based product line architectures to configuration management systems (NL, RC, AvdH), pp. 21–30.
SPLCSPLC-2009-SavolainenM #industrial #optimisation #product line #requirements
From product line requirements to product line architecture: optimizing industrial product lines for new competitive advantage (JS, MM), p. 315.
SPLCSPLC-2009-StollBGJ #product line #usability
Supporting usability in product line architectures (PS, LB, EG, BEJ), pp. 241–248.
SPLCSPLC-2009-TraskR #modelling #product line
Leveraging model driven engineering in software product line architectures (BT, AR), p. 323.
MODELSMoDELS-2009-Booch #mining
Architectural Mining: The Other Side of the MDD (GB), p. 627.
OOPSLAOOPSLA-2009-Abi-AntounA #analysis #consistency #runtime #using
Static extraction and conformance analysis of hierarchical runtime architectural structure using annotations (MAA, JA), pp. 321–340.
OOPSLAOOPSLA-2009-QuillienRW #agile
Agile anthropology and Alexander’s architecture: an essay in three voices (JQ, PR, DW), pp. 529–546.
AdaEuropeAdaEurope-2009-DelangePF #requirements #safety #security #validation
Validating Safety and Security Requirements for Partitioned Architectures (JD, LP, PHF), pp. 30–43.
AdaEuropeAdaEurope-2009-Fernandez-SanchezA #modelling #realtime
Modelling and Evaluating Real-Time Software Architectures (JLFS, GMA), pp. 164–176.
AdaSIGAda-2009-LiangRS #automation #generative #prototype
“Automatic Prototype Generating” restated with re-ADA: perspective-bridged architecture for document-driven systems transitioning (SXL, LAR, SS), pp. 45–60.
AdaSIGAda-2009-Sward #concept #implementation
Service oriented architecture (SOA) concepts and implementations (RES), pp. 15–16.
RERE-2009-MillerFM #requirements
Characteristics of New Requirements in the Presence or Absence of an Existing System Architecture (JAM, RF, NHM), pp. 5–14.
REFSQREFSQ-2009-WnukRS #case study #coordination #industrial #requirements
Architecting and Coordinating Thousands of Requirements — An Industrial Case Study (KW, BR, CS), pp. 118–123.
ASEASE-2009-SuHH #documentation #named #visual notation
KaitoroBase: Visual Exploration of Software Architecture Documents (MTS, CH, JGH), pp. 657–659.
ESEC-FSEESEC-FSE-2009-CardelliniCGPM #adaptation #runtime
Qos-driven runtime adaptation of service oriented architectures (VC, EC, VG, FLP, RM), pp. 131–140.
ESEC-FSEESEC-FSE-2009-Taylor
Software architecture: many faces, many places, yet a central discipline (RNT), pp. 303–304.
GTTSEGTTSE-2009-DinizC #configuration management #embedded #program transformation
Code Transformations for Embedded Reconfigurable Computing Architectures (PCD, JMPC), pp. 322–344.
ICSEICSE-2009-GarlanS #evolution #named
Ævol: A tool for defining and planning architecture evolution (DG, BRS), pp. 591–594.
SACSAC-2009-CaetanoST #enterprise #framework
A role-based enterprise architecture framework (AC, ARS, JMT), pp. 253–258.
SACSAC-2009-EngelsmanIF #requirements
Architecture-driven requirements engineering (WE, MEI, HMF), pp. 285–286.
SACSAC-2009-GroverS #automation #java #named #quality #question
GenQA: automated addition of architectural quality attribute support for Java software? (SG, NS), pp. 483–487.
SACSAC-2009-ImS #memory management
Storage architecture and software support for SLC/MLC combined flash memory (SI, DS), pp. 1664–1669.
SACSAC-2009-KurpjuweitW #re-engineering
Concern-oriented business architecture engineering (SK, RW), pp. 265–272.
SACSAC-2009-LiangZSZ #adaptation #distributed #embedded #realtime #resource management
Adaptive resource management architecture for distributed real-time embedded systems (KL, XZ, RS, KZ), pp. 1050–1055.
SACSAC-2009-LiuYWS #injection #named #sql #towards
SQLProb: a proxy-based architecture towards preventing SQL injection attacks (AL, YY, DW, AS), pp. 2054–2061.
SACSAC-2009-MacielH #rest #transaction #using
An optimistic technique for transactions control using REST architectural style (LAHdSM, CMH), pp. 664–669.
SACSAC-2009-MaengKSR #debugging #embedded #named #realtime
RT-replayer: a record-replay architecture for embedded real-time software debugging (JCM, JIK, MKS, MR), pp. 1670–1675.
SACSAC-2009-MarconSLS #policy
Policy management architecture based on provisioning model and authorization certificates (ALMJ, AOS, LAdPLJ, MS), pp. 1594–1598.
SACSAC-2009-MonacoNP #latency #orthogonal #realtime #requirements #scheduling
An orthogonal real-time scheduling architecture for responsiveness QoS requirements in SOA environments (FJM, MN, MLMP), pp. 1990–1995.
SACSAC-2009-SchmitzbergerRNRP #learning
Thin client architecture in support of remote radiology learning (FFS, JER, SN, GDR, DSP), pp. 842–846.
SACSAC-2009-SmileyHKD #analysis #evaluation #requirements
Architectural requirements prioritization and analysis applied to software technology evaluation (KS, QH, EK, AD), pp. 397–398.
ASPLOSASPLOS-2009-CameronL #induction #parallel #principle
Architectural support for SWAR text processing with parallel bit streams: the inductive doubling principle (RDC, DL), pp. 337–348.
ASPLOSASPLOS-2009-PistolDL
Architectural implications of nanoscale integrated sensing and computing (CP, CD, ARL), pp. 13–24.
ASPLOSASPLOS-2009-RamaniGD #named
StreamRay: a stream filtering architecture for coherent ray tracing (KR, CPG, AD), pp. 325–336.
ASPLOSASPLOS-2009-SulemanMQP #execution #manycore #symmetry
Accelerating critical section execution with asymmetric multi-core architectures (MAS, OM, MKQ, YNP), pp. 253–264.
CASECASE-2009-LeeB #composition #nondeterminism #petri net
A modular petri net based architecture to model manufacturing systems exhibiting resource and timing uncertainties (HL, AB), pp. 525–530.
CCCC-2009-FarooqJ #data flow #scheduling
Loop-Aware Instruction Scheduling with Dynamic Contention Tracking for Tiled Dataflow Architectures (MUF, LKJ), pp. 190–203.
CCCC-2009-WarburtonK #bytecode #java #optimisation #specification
From Specification to Optimisation: An Architecture for Optimisation of Java Bytecode (RW, SK), pp. 17–31.
DACDAC-2009-AmadorPR #memory management #problem
Optimum LDPC decoder: a memory architecture problem (EA, RP, VR), pp. 891–896.
DACDAC-2009-BaumannSP #assessment #design #embedded #robust
Architectural assessment of design techniques to improve speed and robustness in embedded microprocessors (TB, DSL, CP), pp. 947–950.
DACDAC-2009-ChangMR #hybrid #process #video
A voltage-scalable & process variation resilient hybrid SRAM architecture for MPEG-4 video processors (IJC, DM, KR), pp. 670–675.
DACDAC-2009-EllithorpeTK #named #network #using
Internet-in-a-Box: emulating datacenter network architectures using FPGAs (JDE, ZT, RHK), pp. 880–883.
DACDAC-2009-GlassLTBC #analysis #design #encoding #hybrid #network
Designing heterogeneous ECU networks via compact architecture encoding and hybrid timing analysis (MG, ML, JT, UDB, SC), pp. 43–46.
DACDAC-2009-JayaseelanM #adaptation
Dynamic thermal management via architectural adaptation (RJ, TM), pp. 484–489.
DACDAC-2009-JungKS #performance
Hierarchical architecture of flash-based storage systems for high performance and durability (SJ, JHK, YHS), pp. 907–910.
DACDAC-2009-KamhiNTW #analysis #named #statistics #transaction
MAGENTA: transaction-based statistical micro-architectural root-cause analysis (GK, AN, AT, AW), pp. 639–643.
DACDAC-2009-WenCCS #concurrent #debugging #detection #manycore #named
NUDA: a non-uniform debugging architecture and non-intrusive race detection for many-core (CNW, SHC, TFC, APS), pp. 148–153.
DACDAC-2009-Whipp #process #verification
Exploiting “architecture for verification” to streamline the verification process (DW), pp. 212–215.
DATEDATE-2009-AlimohammadFC #algorithm #development #flexibility #verification
A flexible layered architecture for accurate digital baseband algorithm development and verification (AA, SFF, BFC), pp. 45–50.
DATEDATE-2009-AnsaloniBP #embedded
Heterogeneous coarse-grained processing elements: A template architecture for embedded processing acceleration (GA, PB, LP), pp. 542–547.
DATEDATE-2009-BauerSH #configuration management #design
Cross-architectural design space exploration tool for reconfigurable processors (LB, MS, JH), pp. 958–963.
DATEDATE-2009-BhagawatDC #detection
Systolic like soft-detection architecture for 4×4 64-QAM MIMO system (PB, RD, GC), pp. 870–873.
DATEDATE-2009-BordeHP #component #configuration management
Mode-based reconfiguration of critical software component architectures (EB, GH, LP), pp. 1160–1165.
DATEDATE-2009-CasteresR #integration #modelling #realtime #trade-off
Aircraft integration real-time simulator modeling with AADL for architecture tradeoffs (JC, TR), pp. 346–351.
DATEDATE-2009-Cilardo
A new speculative addition architecture suitable for two’s complement operations (AC), pp. 664–669.
DATEDATE-2009-CoskunAARL #3d #manycore
Dynamic thermal management in 3D multicore architectures (AKC, JLA, DA, TSR, YL), pp. 1410–1415.
DATEDATE-2009-DemangelFDCW
A generic architecture of CCSDS Low Density Parity Check decoder for near-earth applications (FD, NF, ND, FC, CW), pp. 1242–1245.
DATEDATE-2009-GhoseGDAW #detection #memory management
Architectural support for low overhead detection of memory violations (SG, LG, PD, AA, CW), pp. 652–657.
DATEDATE-2009-Jara-BerrocalG #communication #composition #configuration management #named #parametricity #scalability
SCORES: A scalable and parametric streams-based communication architecture for modular reconfigurable systems (AJB, AGR), pp. 268–273.
DATEDATE-2009-JiangHX #3d #design #optimisation
Test architecture design and optimization for three-dimensional SoCs (LJ, LH, QX), pp. 220–225.
DATEDATE-2009-JooKH #communication
On-chip communication architecture exploration for processor-pool-based MPSoC (YPJ, SK, SH), pp. 466–471.
DATEDATE-2009-KasperskiPDS #configuration management #development #flexibility
High data rate fully flexible SDR modem advanced configurable architecture & development methodology (FK, OP, FD, MS), pp. 1040–1044.
DATEDATE-2009-KhanK #adaptation #self
A self-adaptive system architecture to address transistor aging (OK, SK), pp. 81–86.
DATEDATE-2009-KhanK09a #co-evolution #design #hardware #multi
Hardware/software co-design architecture for thermal management of chip multiprocessors (OK, SK), pp. 952–957.
DATEDATE-2009-LiC #bibliography #memory management #tool support
An overview of non-volatile memory technology and the implication for tools and architectures (HL, YC), pp. 731–736.
DATEDATE-2009-LiFNBPC #co-evolution #design #detection #ml #parallel #set
Algorithm-architecture co-design of soft-output ML MIMO detector for parallel application specific instruction set processors (ML, RF, DN, BB, LVdP, FC), pp. 1608–1613.
DATEDATE-2009-LiRJ
An architecture for secure software defined radio (CL, AR, NKJ), pp. 448–453.
DATEDATE-2009-LukasiewyczSGHT #communication #synthesis
Combined system synthesis and communication architecture exploration for MPSoCs (ML, MS, MG, CH, JT), pp. 472–477.
DATEDATE-2009-PatelPR #framework #named #security
CUFFS: An instruction count based architectural framework for security of MPSoCs (KP, SP, RGR), pp. 779–784.
DATEDATE-2009-PelcatMAN #manycore #scalability
Scalable compile-time scheduler for multi-core architectures (MP, PM, SA, JFN), pp. 1552–1555.
DATEDATE-2009-SartoriK #distributed #manycore #power management
Distributed peak power management for many-core architectures (JS, RK), pp. 1556–1559.
DATEDATE-2009-SioziosPS #3d
A software-supported methodology for exploring interconnection architectures targeting 3-D FPGAs (KS, VFP, DS), pp. 172–177.
DATEDATE-2009-TasdizenKAH #configuration management #estimation #hardware #performance
A high performance reconfigurable Motion Estimation hardware architecture (OT, HK, AA, IH), pp. 882–885.
DATEDATE-2009-VignonCDMF #3d #novel
A novel DRAM architecture as a low leakage alternative for SRAM caches in a 3D interconnect context (AV, SC, WD, PM, MF), pp. 929–933.
DATEDATE-2009-WhittySEP #algorithm #configuration management
Mapping of a film grain removal algorithm to a heterogeneous reconfigurable architecture (SW, HS, RE, WPR), pp. 27–32.
DATEDATE-2009-YiHZEA #manycore #scheduling
An ILP formulation for task mapping and scheduling on multi-core architectures (YY, WH, XZ, ATE, TA), pp. 33–38.
DATEDATE-2009-ZhuSJ #cpu #hybrid #realtime #scheduling #streaming
Buffer minimization of real-time streaming applications scheduling on hybrid CPU/FPGA architectures (JZ, IS, AJ), pp. 1506–1511.
HPCAHPCA-2009-DuanLP #estimation #metric #performance #predict
Versatile prediction and fast estimation of Architectural Vulnerability Factor from processor performance metrics (LD, BL, LP), pp. 129–140.
HPCAHPCA-2009-LiRKHA #fault #hardware #modelling
Accurate microarchitecture-level fault modeling for studying hardware faults (MLL, PR, URK, SKSH, SVA), pp. 105–116.
HPCAHPCA-2009-MatsutaniKAY #latency #predict
Prediction router: Yet another low latency on-chip router architecture (HM, MK, HA, TY), pp. 367–378.
HPCAHPCA-2009-Najaf-abadiR
Architectural Contesting (HHNa, ER), pp. 189–200.
HPCAHPCA-2009-SridharanK #dependence
Eliminating microarchitectural dependency from Architectural Vulnerability (VS, DRK), pp. 117–128.
HPCAHPCA-2009-SunDXLC #3d #novel
A novel architecture of the 3D stacked MRAM L2 cache for CMPs (GS, XD, YX, JL, YC), pp. 239–249.
LCTESLCTES-2009-MooreBCDH #challenge
Addressing the challenges of DBT for the ARM architecture (RWM, JB, BRC, JWD, JH), pp. 147–156.
LCTESLCTES-2009-OhEPM #configuration management #scheduling
Recurrence cycle aware modulo scheduling for coarse-grained reconfigurable architectures (TO, BE, HP, SAM), pp. 21–30.
PDPPDP-2009-BadiaPAL #manycore #memory management #modelling #programming
Impact of the Memory Hierarchy on Shared Memory Architectures in Multicore Programming Models (RMB, JMP, EA, JL), pp. 437–445.
PDPPDP-2009-Martinez-YelmoGRM
A Hierarchical P2PSIP Architecture to Support Skype-like Services (IMY, CG, RCR, AM), pp. 316–322.
PDPPDP-2009-PadronABD #manycore #performance
High Performance Global Illumination on Multi-core Architectures (EJP, MA, MB, RD), pp. 93–100.
PDPPDP-2009-ZhaoMLHH #approach #evolution #graph transformation #runtime
A Graph Transformation based Approach for Runtime Constrained Evolution of Service-Oriented Architectures (YZ, DM, ML, CH, YH), pp. 203–209.
PPoPPPPoPP-2009-LongFZ #manycore
Architectural support for cilk computations on many-core architectures (GL, DF, JZ), pp. 285–286.
SOSPSOSP-2009-BaumannBDHIPRSS #kernel #manycore #scalability
The multikernel: a new OS architecture for scalable multicore systems (AB, PB, PÉD, TLH, RI, SP, TR, AS, AS), pp. 29–44.
ICLPICLP-2009-Ji #approach #programming #set
A Cognitive Architecture for a Service Robot: An Answer Set Programming Approach (JJ), pp. 532–533.
VMCAIVMCAI-2009-TreflerW #reduction #symmetry
Extending Symmetry Reduction by Exploiting System Architecture (RJT, TW), pp. 320–334.
ECSAECSA-2008-AngelovTG #case study #evaluation #experience #towards
Towards a Method for the Evaluation of Reference Architectures: Experiences from a Case (SA, JJMT, PWPJG), pp. 225–240.
ECSAECSA-2008-AssmannE #enterprise
Transition to Service-Oriented Enterprise Architecture (MA, GE), pp. 346–349.
ECSAECSA-2008-Atkinson #component #testing #verification
Component-Oriented Verification of Software Architectures through Built-in Tests (CA), p. 2.
ECSAECSA-2008-BernardoB #algebra #process
Non-synchronous Communications in Process Algebraic Architectural Description Languages (MB, EB), pp. 3–18.
ECSAECSA-2008-BouckeWHHH
Characterizing Relations between Architectural Views (NB, DW, RH, TH, AH), pp. 66–81.
ECSAECSA-2008-BritoLR #abstraction #development #fault tolerance
Development of Fault-Tolerant Software Systems Based on Architectural Abstractions (PHSB, RdL, CMFR), pp. 131–147.
ECSAECSA-2008-CapillaB #design #on the #product line
On the Role of Architectural Design Decisions in Software Product Line Engineering (RC, MAB), pp. 241–255.
ECSAECSA-2008-ChardignySOT #component #object-oriented #search-based
Search-Based Extraction of Component-Based Architecture from Object-Oriented Systems (SC, AS, MO, DT), pp. 322–325.
ECSAECSA-2008-ChristensenH #industrial #prototype
Architectural Prototyping in Industrial Practice (HBC, KMH), pp. 196–209.
ECSAECSA-2008-FankamJBA #approach #database #ontology #semantics
Extending the ANSI/SPARC Architecture Database with Explicit Data Semantics: An Ontology-Based Approach (CF, SJ, LB, YAA), pp. 318–321.
ECSAECSA-2008-GilsonEM #approach #design #distributed #scalability
A Large Scope Transformational Approach for Distributed Architecture Design (FG, VE, RM), pp. 330–333.
ECSAECSA-2008-Kotonya #black box #component #development
An Architecture-Centric Development Environment for Black-Box Component-Based Systems (GK), pp. 98–113.
ECSAECSA-2008-LeeK #design #visualisation
Visualizing Software Architectural Design Decisions (LL, PK), pp. 359–362.
ECSAECSA-2008-MakkiBG #automation #multi #process #trade-off
Automating Architecture Trade-Off Decision Making through a Complex Multi-attribute Decision Process (MM, EB, AAG), pp. 264–272.
ECSAECSA-2008-MendezA #comparison #how
How Do Agents Affect Modifiability? A Comparison between Two Architectures for Intelligent Virtual Environments for Training (GM, AdA), pp. 82–97.
ECSAECSA-2008-MohammadA #component #named
TADL — An Architecture Description Language for Trustworthy Component-Based Systems (MM, VSA), pp. 290–297.
ECSAECSA-2008-MyllarniemiPRGM #approach #constraints #distributed
Approach for Dynamically Composing Decentralised Service Architectures with Cross-Cutting Constraints (VM, CP, MR, JvG, TM), pp. 180–195.
ECSAECSA-2008-NavarroC #approach #automation #design #using
Automating the Trace of Architectural Design Decisions and Rationales Using a MDD Approach (EN, CEC), pp. 114–130.
ECSAECSA-2008-Perry #design #evolution #maintenance #using
Issues in Architecture Evolution: Using Design Intent in Maintenance and Controlling Dynamic Evolution (DEP), p. 1.
ECSAECSA-2008-RaadtSV #enterprise
Stakeholder Perception of Enterprise Architecture (BvdR, SS, HvV), pp. 19–34.
ECSAECSA-2008-RoyG #case study #experience #framework
An Iterative Framework for Software Architecture Recovery: An Experience Report (BR, TCNG), pp. 210–224.
ECSAECSA-2008-SanzQCMO #modelling #representation #using
Representing Service-Oriented Architectural Models Using pi-ADL (MLS, ZQ, CEC, EM, FO), pp. 273–280.
ECSAECSA-2008-SoriaPC #evolution
Managing Dynamic Evolution of Architectural Types (CCS, JP, JÁC), pp. 281–289.
ECSAECSA-2008-TangKL #independence #overview #perspective #towards
Towards Independent Software Architecture Review (AT, FCK, MFL), pp. 306–313.
ECSAECSA-2008-TerraV #constraints #dependence #towards
Towards a Dependency Constraint Language to Manage Software Architectures (RT, MTdOV), pp. 256–263.
ECSAECSA-2008-ZalewskiL #diagrams #modelling
Diagrammatic Modeling of Architectural Decisions (AZ, ML), pp. 350–353.
QoSAQoSA-2008-ArdagnaGM #modelling #using
Rethinking the Use of Models in Software Architecture (DA, CG, RM), pp. 1–27.
QoSAQoSA-2008-CortellessaPSV #analysis #framework #modelling #named #performance #platform #uml
MOSES: MOdeling Software and platform architEcture in UML 2 for Simulation-based performance analysis (VC, PP, RS, AV), pp. 86–102.
QoSAQoSA-2008-JansenVAV #analysis
Sharing the Architectural Knowledge of Quantitative Analysis (AJ, TdV, PA, MvV), pp. 220–234.
QoSAQoSA-2008-LeeK #design #visualisation
A Tool to Visualize Architectural Design Decisions (LL, PK), pp. 43–54.
QoSAQoSA-2008-LiuBG #evaluation #middleware #self
Middleware Architecture Evaluation for Dependable Self-managing Systems (YL, MAB, IG), pp. 189–204.
QoSAQoSA-2008-RaadtV #design #enterprise
Designing the Enterprise Architecture Function (BvdR, HvV), pp. 103–118.
QoSAQoSA-2008-SalgerBEL #evaluation #scalability
Comprehensive Architecture Evaluation and Management in Large Software-Systems (FS, MB, GE, CL), pp. 205–219.
QoSAQoSA-2008-WaignierMD #analysis #specification
Architectural Specification and Static Analyses of Contractual Application Properties (GW, AFLM, LD), pp. 152–170.
WICSAWICSA-2008-Aldrich #using
Using Types to Enforce Architectural Structure (JA), pp. 211–220.
WICSAWICSA-2008-AllouiCV #component #identification #towards
Towards Software Architecture Physiology: Identifying Vital Components (IA, SC, HV), pp. 293–296.
WICSAWICSA-2008-AnderssonEL #adaptation #automation
Automatic Rule Derivation for Adaptive Architectures (JA, ME, WL), pp. 323–326.
WICSAWICSA-2008-AvritzerPC #coordination #development
Coordination Implications of Software Architecture in a Global Software Development Project (AA, DJP, YC), pp. 107–116.
WICSAWICSA-2008-BahsoonE #approach #distributed #scalability
An Economics-Driven Approach for Valuing Scalability in Distributed Architectures (RB, WE), pp. 9–18.
WICSAWICSA-2008-BassCKK
Evaluating the Software Architecture Competence of Organizations (LB, PCC, RK, MK), pp. 249–252.
WICSAWICSA-2008-Brownsword #development #game studies
Software Architecture in Game Development (AB), p. 3.
WICSAWICSA-2008-ChangMQ #configuration management #formal method #graph #towards
Towards a Formal Model for Reconfigurable Software Architectures by Bigraphs (ZC, XM, ZQ), pp. 331–334.
WICSAWICSA-2008-ChardignySOT #component #object-oriented
Extraction of Component-Based Architecture from Object-Oriented Systems (SC, AS, MO, DT), pp. 285–288.
WICSAWICSA-2008-ChenTCSKLT #component #smarttech
Component Model and Architecture of Smart Devices for Elderly (TYC, PHT, TSC, CSS, TWK, JWSL, AT), pp. 51–60.
WICSAWICSA-2008-ChoiPN #adaptation #network
A Software Architecture for Cross-Layer Wireless Network Adaptations (SHC, DEP, SN), pp. 281–284.
WICSAWICSA-2008-ChristensenHS #exclamation #research #set
Ready! Set! Go! An Action Research Agenda for Software Architecture Research (HBC, KMH, KRS), pp. 257–260.
WICSAWICSA-2008-CuiSM #automation #design #synthesis #towards
Towards Automated Solution Synthesis and Rationale Capture in Decision-Centric Architecture Design (XC, YS, HM), pp. 221–230.
WICSAWICSA-2008-DagtasNWH #lightweight #mobile
An Integrated Lightweight Software Architecture for Mobile Business Applications (SD, YN, HW, LH), pp. 41–50.
WICSAWICSA-2008-DhunganaNGR #evolution #product line #variability
Supporting the Evolution of Product Line Architectures with Variability Model Fragments (DD, TN, PG, RR), pp. 327–330.
WICSAWICSA-2008-Eeles #comprehension
Understanding Architectural Assets (PE), pp. 267–270.
WICSAWICSA-2008-EmeryH #framework #topic
Updating IEEE 1471: Architecture Frameworks and Other Topics (DEE, RH), pp. 303–306.
WICSAWICSA-2008-FarenhorstILV
A Just-In-Time Architectural Knowledge Sharing Portal (RF, RI, PL, HvV), pp. 125–134.
WICSAWICSA-2008-Gorton #challenge #data-driven
Software Architecture Challenges for Data Intensive Computing (IG), pp. 4–6.
WICSAWICSA-2008-Kennaley #3d #framework #quote
“The 3+1 Views of Architecture (in 3D)”: An Amplification of the 4+1 Viewpoint Framework (MK), pp. 299–302.
WICSAWICSA-2008-KeulerMU #analysis #performance #quality
Efficient Quality Impact Analyses for Iterative Architecture Construction (TK, DM, TU), pp. 19–28.
WICSAWICSA-2008-Klein #evolution
Interplay of Architecture, Business Goals, and Current Technology in the Evolution of Call Center Systems (JK), pp. 93–94.
WICSAWICSA-2008-LagoACK #bound #community
Wishes and Boundaries for a Software Architecture Knowledge Community (PL, PA, RC, PK), pp. 271–274.
WICSAWICSA-2008-LindgrenNWL #release planning
Importance of Software Architecture during Release Planning (ML, CN, AW, RL), pp. 253–256.
WICSAWICSA-2008-Malek #aspect-oriented #effectiveness
Effective Realization of Software Architectural Styles with Aspects (SM), pp. 313–316.
WICSAWICSA-2008-MannistoSM #design #education
Teaching Software Architecture Design (TM, JS, VM), pp. 117–124.
WICSAWICSA-2008-MendezA
A Modifiable Agent-Based Software Architecture for Intelligent Virtual Environments for Training (GM, AdA), pp. 319–322.
WICSAWICSA-2008-MillerFM #case study #requirements
Architectural Effects on Requirements Decisions: An Exploratory Study (JAM, RF, NHM), pp. 231–240.
WICSAWICSA-2008-MolesiniGCB #analysis #on the
On the Quantitative Analysis of Architecture Stability in Aspectual Decompositions (AM, AFG, CvFGC, TVB), pp. 29–38.
WICSAWICSA-2008-MucciniP #analysis #functional #simulation
Simulating Software Architectures for Functional Analysis (HM, PP), pp. 289–292.
WICSAWICSA-2008-Ojala #assessment
Developing Value Assessment for SW Architecture (PO), pp. 245–248.
WICSAWICSA-2008-RadjenovicP #consistency #dependence
The Role of Dependency Links in Ensuring Architectural View Consistency (AR, RFP), pp. 199–208.
WICSAWICSA-2008-SanzACM #development #modelling #process
Defining Service-Oriented Software Architecture Models for a MDA-based Development Process at the PIM level (MLS, CJA, CEC, EM), pp. 309–312.
WICSAWICSA-2008-SeoEMM #distributed #energy #framework #impact analysis
A Framework for Estimating the Impact of a Distributed Software System’s Architectural Style on its Energy Consumption (CS, GE, SM, NM), pp. 277–280.
WICSAWICSA-2008-StollWN
Guiding Architectural Decisions with the Influencing Factors Method (PS, AW, CN), pp. 179–188.
WICSAWICSA-2008-ZimmermannZGL #design #modelling #pattern matching #reuse
Combining Pattern Languages and Reusable Architectural Decision Models into a Comprehensive and Comprehensible Design Method (OZ, UZ, TG, FL), pp. 157–166.
DocEngDocEng-2008-MikacRD #authoring #multi
An export architecture for a multimedia authoring environment (JM, CR, BLD), pp. 28–31.
TPDLECDL-2008-ArcherDCCPMMFMMKS #library
Superimposed Information Architecture for Digital Libraries (DWA, LMLD, FC, LNC, SP, UM, DM, EAF, SM, JM, KK, RS), pp. 88–99.
JCDLJCDL-2008-JaneeMF
A data model and architecture for long-term preservation (GJ, JM, JF), pp. 134–144.
JCDLJCDL-2008-KrafftBC #collaboration #flexibility #implementation #library #named
Ncore: architecture and implementation of a flexible, collaborative digital library (DBK, AB, EJC), pp. 313–322.
VLDBVLDB-2008-ChhuganiNLMHCBKD #cpu #implementation #manycore #performance #sorting
Efficient implementation of sorting on multi-core SIMD CPU architecture (JC, ADN, VWL, WM, MH, YKC, AB, SK, PD), pp. 1313–1324.
VLDBVLDB-2008-LiTSPJM
Out-of-order processing: a new architecture for high-performance stream systems (JL, KT, VS, VP, TJ, DM), pp. 274–288.
ITiCSEITiCSE-2008-CorberaGRRT #development
Development of a new MOODLE module for a basic course on computer architecture (FC, EG, JR, SR, MAT), p. 349.
CSMRCSMR-2008-ChardignySTO #component #object-oriented #quality
Quality-Driven Extraction of a Component-based Architecture from an Object-Oriented System (SC, AS, DT, MO), pp. 269–273.
CSMRCSMR-2008-KnodelMHM #case study #experience #industrial
Architecture Compliance Checking — Experiences from Successful Technology Transfer to Industry (JK, DM, UH, GM), pp. 43–52.
CSMRCSMR-2008-LagerstromJ #enterprise #maintenance #modelling #predict #using
Using Architectural Models to Predict the Maintainability of Enterprise Systems (RL, PJ), pp. 248–252.
CSMRCSMR-2008-SpekKL #concept #semantics #towards #using
Towards Recovering Architectural Concepts Using Latent Semantic Indexing (PvdS, SK, PvdL), pp. 253–257.
ICPCICPC-2008-DongG #identification #object-oriented
Identifying Architectural Change Patterns in Object-Oriented Systems (XD, MWG), pp. 33–42.
ICSMEICSM-2008-KnodelMR #empirical #feedback
Constructive architecture compliance checking — an experiment on support by live feedback (JK, DM, DR), pp. 287–296.
ICSMEICSM-2008-WermelingerYL #case study #design #evolution
Design principles in architectural evolution: A case study (MW, YY, AL), pp. 396–405.
PASTEPASTE-2008-Abi-AntounA #case study #runtime
A field study in static extraction of runtime architectures (MAA, JA), pp. 22–28.
WCREWCRE-2008-Holt
WCRE 1998 Most Influential Paper: Grokking Software Architecture (RCH), pp. 5–14.
IFLIFL-2008-GrelckP #implementation #parallel #runtime #thread
Implementation Architecture and Multithreaded Runtime System of S-Net (CG, FP), pp. 60–79.
AIIDEAIIDE-2008-KrzywinskiCH #game studies #implementation #social
Agent Architecture in Social Games - The Implementation of Subsumption Architecture in Diplomacy (AK, WC, AH).
CoGCIG-2008-SchaulS #game studies #network #scalability
A scalable neural network architecture for board games (TS, JS), pp. 357–364.
GT-VCGT-VC-2007-BruniBGM08 #graph grammar #modelling #using
Modelling Dynamic Software Architectures using Typed Graph Grammars (RB, AB, SG, HCM), pp. 39–53.
GT-VMTGT-VMT-2008-BucchiaroneG #using #verification
Dynamic Software Architectures Verification using DynAlloy (AB, JPG).
ICGTICGT-2008-Bisztray #refactoring #tool support #verification
Verification of Architectural Refactorings: Rule Extraction and Tool Support (DB), pp. 475–477.
CHICHI-2008-KatsanosTA #analysis #design #named #semantics #using #web
AutoCardSorter: designing the information architecture of a web site using latent semantic analysis (CK, NKT, NMA), pp. 875–878.
CSCWCSCW-2008-Christensen #design #logic
The logic of practices of stigmergy: representational artifacts in architectural design (LRC), pp. 559–568.
SOFTVISSOFTVIS-2008-ByelasT #metric #visualisation
Texture-based visualization of metrics on software architectures (HB, ACT), pp. 205–206.
CAiSECAiSE-2008-HaesenSLP #on the
On the Definition of Service Granularity and Its Architectural Impact (RH, MS, WL, SP), pp. 375–389.
CAiSECAiSE-2008-KhanGGR #case study #dependence #evolution #on the
On the Impact of Evolving Requirements-Architecture Dependencies: An Exploratory Study (SSK, PG, AG, AR), pp. 243–257.
EDOCEDOC-2008-Demelt #aspect-oriented #modelling #named
Orchideo: A Model-Driven and Aspect-Oriented Architecture for Business Applications (AD).
EDOCEDOC-2008-EngelsA #concept #enterprise #evolution
Service-Oriented Enterprise Architectures: Evolution of Concepts and Methods (GE, MA).
EDOCEDOC-2008-KimKLP #approach #non-functional #requirements
A Tactic-Based Approach to Embodying Non-functional Requirements into Software Architectures (SK, DKK, LL, SP), pp. 139–148.
EDOCEDOC-2008-NarmanSJEC #analysis #enterprise #modelling #quality #using
Using Enterprise Architecture Models for System Quality Analysis (PN, MS, PJ, ME, MC), pp. 14–23.
EDOCEDOC-2008-SimoninADTJN #development #enterprise #named
EA4UP: An Enterprise Architecture-Assisted Telecom Service Development Method (JS, FA, JPD, YLT, JMJ, BN), pp. 279–285.
EDOCEDOC-2008-SommestadEJ #analysis #enterprise #graph #modelling #security
Combining Defense Graphs and Enterprise Architecture Models for Security Analysis (TS, ME, PJ), pp. 349–355.
EDOCEDOC-2008-StoitsevS #process
An Architecture for End-User Driven Business Process Management (TS, SS), pp. 53–62.
EDOCEDOC-2008-WegmannKMRG #concept #enterprise #framework
Augmenting the Zachman Enterprise Architecture Framework with a Systemic Conceptualization (AW, AK, LM, GR, AG), pp. 3–13.
EDOCEDOC-2008-ZhuST #on the
On Creating Industry-Wide Reference Architectures (LZ, MS, VT), pp. 24–30.
ICEISICEIS-DISI-2008-Gorea #concept #data mining #mining #modelling #named #web
DeVisa — Concepts and Architecture of a Data Mining Models Scoring and Management Web System (DG), pp. 276–281.
ICEISICEIS-DISI-2008-StoitsevSFM #composition #process
Architecture for End User-Driven Composition of Underspecified, Human-Centric Business Processes (TS, SS, FF, MM), pp. 165–172.
ICEISICEIS-DISI-2008-Walsh #component
Configuration Fragments as the DNA of System and Change Properties — Architectural Change of Component-based and Service-oriented Systems (DW), pp. 270–275.
ICEISICEIS-HCI-2008-VuillemotRP #on-demand #scalability #visual notation #visualisation
Anatomy of a Visualization On-Demand Server — A Service Oriented Architecture to Visually Explore Large Data Collections (RV, BR, JMP), pp. 86–93.
ICEISICEIS-ISAS1-2008-DowRV #design #enterprise #quality
Assessing the Quality of Enterprise Services — A Model for Supporting Service Oriented Architecture Design (MD, PR, JV), pp. 50–57.
ICEISICEIS-ISAS2-2008-AmiratO #modelling #reasoning #representation
Representation and Reasoning Models for C3 Architecture Description Language (AA, MO), pp. 207–212.
ICEISICEIS-ISAS2-2008-EngelsHHJLRVW
A Method for Engineering a True Service-Oriented Architecture (GE, AH, BH, OJ, ML, JPR, MV, JW), pp. 272–281.
ICEISICEIS-ISAS2-2008-HammoudiAL #automation #process #towards
Towards a Semi-Automatic Transformation Process in MDA — Architecture and Methodology (SH, WA, DL), pp. 416–425.
ICEISICEIS-ISAS2-2008-KansoESS #named #towards
RICAD: Towards an Architecture for Recognizing Author’s Targets (HK, AE, CSD, ST), pp. 374–379.
ICEISICEIS-ISAS2-2008-RauberR #manycore #modelling #parallel #workflow
Models for Parallel Workflow Processing on Multi-Core Architectures (TR, GR), pp. 220–227.
ICEISICEIS-ISAS2-2008-SantosBBR #analysis #modelling #semantics
A Model Driven Architecture Tool Based on Semantic Analysis Method (TMdS, RB, MCCB, MAR), pp. 305–310.
ICEISICEIS-ISAS2-2008-VerjusP #agile #approach #evolution #formal method #information management #named
DIAPASON: A Formal Approach for Supporting Agile and Evolvable Information System Service-Based Architectures (HV, FP), pp. 76–81.
ICEISICEIS-J-2008-Bachlechner08a #adaptation #industrial #integration #semantics #web #web service
Adapting Integration Architectures Based on Semantic Web Services to Industrial Needs (DB), pp. 280–293.
ICEISICEIS-SAIC-2008-Bachlechner #analysis #integration #semantics #web #web service
Integration Architectures Based on Semantic Web Services: Fad or Model for the Future? — Findings of a Comprehensive SWOT Analysis (DB), pp. 45–52.
ICEISICEIS-SAIC-2008-CanalesP #learning #semantics #web
Learning Technology System Architecture Based on Agents and Semantic Web (ACC, RPV), pp. 127–132.
ICMLICML-2008-CollobertW #learning #multi #natural language #network
A unified architecture for natural language processing: deep neural networks with multitask learning (RC, JW), pp. 160–167.
SEKESEKE-2008-Argote-GarciaCHFS #approach #formal method
A Formal Approach for Translating a SAM Architecture to PROMELA (GAG, PJC, XH, YF, LS), pp. 440–447.
SEKESEKE-2008-CorreaMW #evolution #modelling #named
Odyssey-MEC: Model Evolution Control in the Context of Model-Driven Architecture (CKFC, LGPM, CW), pp. 67–72.
SEKESEKE-2008-CuiSXM #design #reuse #using
A Decision-centric Architecture Design Method Facilitating the Contextually Capture and Reuse of Design Knowledge (XC, YS, SX, HM), pp. 321–326.
SEKESEKE-2008-Fuad #distributed #program transformation #self
Code Transformation Techniques and Management Architecture for Self-manageable Distributed Applications (MMF), pp. 315–320.
SEKESEKE-2008-GhezziT #approach #case study
Choosing a Software Architecture: An Approach and a Case Study (CG, GT), pp. 255–260.
SEKESEKE-2008-GoaerOTS #evolution
Evolution Shelf: Exploiting Evolution Styles within Software Architectures (OLG, MCO, DT, AS), pp. 387–392.
SEKESEKE-2008-HendersonS #documentation
System Architecture Induces Document Architecture (PH, NDS), pp. 327–332.
SEKESEKE-2008-QayyumO #dot-net
.NET Extensions to the p-architecture Description Languages (ZQ, FO), pp. 244–249.
SEKESEKE-2008-SunSWJ #collaboration #development #towards
Towards Collaborative Development Based on Software Architecture (YS, HS, XW, WJ), pp. 250–254.
SEKESEKE-2008-Tagliati #component
Component Based Architectures for eXtreme Transacion Processing (LVT), pp. 679–684.
SEKESEKE-2008-YangCC #modelling
Modeling Services to Construct Service-oriented Healthcare Architecture for Digital Home-care Business (CLY, YKC, CPC), pp. 351–356.
BXBX-2008-Song1 #runtime
Architecture-Based Runtime System Management (HS), p. 47.
ECMFAECMDA-FA-2008-BercoviciFW #using
From Business Architecture to SOA Realization Using MDD (AB, FF, AJW), pp. 381–392.
SPLCSPLC-2008-EvestiNHP #quality
A Tool Chain for Quality-Driven Software Architecting (AE, EN, KH, MP), p. 360.
SPLCSPLC-2008-TraskR #modelling #product line
Leveraging Model Driven Engineering in Software Product Line Architectures (BT, AR), p. 373.
TOOLSTOOLS-EUROPE-2008-DenkerSD
The Meta in Meta-object Architectures (MD, MS, SD), pp. 218–237.
AdaEuropeAdaEurope-2008-Pettit #analysis #concurrent
Increasing Confidence in Concurrent Software through Architectural Analysis (RGPI), pp. 199–210.
AdaSIGAda-2008-CicaleseWSBFGRS #distributed #multi #scalability
A distributed, multi-language architecture for large unmanned ground vehicles (CDTC, RMW, JS, RHB, KF, RG, KR, DS), pp. 133–138.
AdaSIGAda-2008-LiangRB #ada #named #reliability
Re-ADA: reliable Ada-based descriptive architecture for C4ISR via a quantitative interoperating model (SXL, LAR, JB), pp. 39–56.
AdaSIGAda-2008-RasC #distributed #realtime
Real-time synchronization on distributed architecture with Ada-2005 (JR, AMKC), pp. 75–84.
AdaSIGAda-2008-Sward #ada #concept #implementation #named
SP1: service oriented architecture (SOA) concepts and implementations for Ada (RES), pp. 3–4.
AdaSIGAda-2008-SwardW #enterprise #multi #using
A multi-language service-oriented architecture using an enterprise service bus (RES, KJW), pp. 85–90.
ASEASE-2008-CapillaNC #estimation
Effort Estimation in Capturing Architectural Knowledge (RC, FN, CC), pp. 208–217.
ASEASE-2008-CapillaNMC #design #named
ADDSS: Architecture Design Decision Support System Tool (RC, FN, JM, CC), pp. 487–488.
ASEASE-2008-MalavoltaMP #framework #named #tool support
DUALLY: A framework for Architectural Languages and Tools Interoperability (IM, HM, PP), pp. 483–484.
ICSEICSE-2008-AmmonHJF #knowledge-based
Developing an architecture of a knowledge-based electronic patient record (DA, DH, TJ, EF), pp. 653–660.
ICSEICSE-2008-MichalikNO #case study #evaluation
3-step knowledge transition: a case study on architecture evaluation (BM, JRN, MO), pp. 741–748.
ICSEICSE-2008-ScherlHKH #3d #design #implementation #re-engineering
Design and implementation of the software architecture for a 3-D reconstruction system in medical imaging (HS, SH, MK, JH), pp. 661–668.
ICSEICSE-2008-ScholzBKKHW #multi #named #web #web service
WS-AMUSE — web service architecture for multimedia services (AS, CB, AK, AK, JH, MW), pp. 703–712.
ICSEICSE-2008-SicardBP #component #self #using
Using components for architecture-based management: the self-repair case (SS, FB, NDP), pp. 101–110.
SACSAC-2008-AhamedRH #distributed #identification #scalability #using
Secured tag identification using EDSA (enhanced distributed scalable architecture) (SIA, FR, MEH), pp. 1902–1907.
SACSAC-2008-BaumgartnerRS
A software architecture for ontology-driven situation awareness (NB, WR, WS), pp. 2326–2330.
SACSAC-2008-CardenasG #comparison #network #performance
Performance comparison of Flow Aware Networking (FAN) architectures under GridFTP traffic (CC, MG), pp. 2079–2084.
SACSAC-2008-DinizFM #adaptation #ubiquitous
An architecture of services for session management and contents adaptation in ubiquitous medical environments (JRBD, CAGF, HM), pp. 1353–1357.
SACSAC-2008-GoncalvesFG #mobile
A service architecture for sensor data provisioning for context-aware mobile applications (BG, JGPF, GG), pp. 1946–1952.
SACSAC-2008-GruianW #case study #embedded #java
VHDL vs. Bluespec system verilog: a case study on a Java embedded architecture (FG, MW), pp. 1492–1497.
SACSAC-2008-JuniorCC #3d #distributed #named
Scara3D: 3-Dimensional HRI integrated to a distributed control architecture for remote and cooperative actuation (JMJ, LCJ, GAdPC), pp. 1597–1601.
SACSAC-2008-KageyamaMS #email
A pull-based e-mail architecture (EK, CM, AOS), pp. 468–472.
SACSAC-2008-ParkLLP #file system #hybrid #memory management #named #ram #scalability
PFFS: a scalable flash memory file system for the hybrid architecture of phase-change RAM and NAND flash (YP, SHL, CL, KHP), pp. 1498–1503.
SACSAC-2008-PawarWBMHH #middleware #mobile #multi
Context-aware middleware architecture for vertical handover support to multi-homed nomadic mobile services (PP, KW, BJvB, PM, AvH, HJH), pp. 481–488.
SACSAC-2008-PereiraAGS #configuration management #hybrid #using
Using traditional loop unrolling to fit application on a new hybrid reconfigurable architecture (MMP, SRFdA, BCdO, ISS), pp. 1552–1553.
SACSAC-2008-PereiraM #coordination #exception
A formal architectural model for exception handling coordination (DPP, ACVdM), pp. 114–118.
SACSAC-2008-PiancastelliBOR #design #object-oriented #prolog
The architecture and design of a malleable object-oriented prolog engine (GP, AB, AO, AR), pp. 191–197.
SACSAC-2008-RezendeRL #ad hoc #mobile #network
Publish/subscribe architecture for mobile ad hoc networks (CGR, BPSR, AAFL), pp. 1913–1917.
SACSAC-2008-Rohloff
An integrated view on business- and IT-architecture (MR), pp. 561–565.
SACSAC-2008-RossiT08a #design #web
Designing and architecting process-aware Web applications with EPML (DR, ET), pp. 2409–2414.
SACSAC-2008-RuiWFKZ #control flow
Control flow checking and recovering based on 8051 architecture (RG, WC, FL, KD, ZW), pp. 1550–1551.
SACSAC-2008-SridharanBRA #fault tolerance #implementation #network
Implementing an autonomic architecture for fault-tolerance in a wireless sensor network testbed for at-scale experimentation (MS, SB, RR, AA), pp. 1670–1676.
SACSAC-2008-VazquezCP #approach #design #object-oriented #reasoning
A case-based reasoning approach for materializing software architectures onto object-oriented designs (GLV, MRC, JADP), pp. 842–843.
SACSAC-2008-WinterS #approach #enterprise
Enterprise architecture governance: the need for a business-to-IT approach (RW, JS), pp. 548–552.
ASPLOSASPLOS-2008-DeviettiBMZ #bound #c #named #programming language #safety
Hardbound: architectural support for spatial safety of the C programming language (JD, CB, MMKM, SZ), pp. 103–114.
ASPLOSASPLOS-2008-LeeB #adaptation #performance #roadmap
Efficiency trends and limits from comprehensive microarchitectural adaptivity (BCL, DMB), pp. 36–47.
CASECASE-2008-AddadA #algebra #evaluation #graph #modelling #using
Modeling and response time evaluation of ethernet-based control architectures using timed event graphs and Max-Plus algebra (BA, SA), pp. 418–423.
CASECASE-2008-BaiKL
Open architecture for contactless smartcard-based portable electronic payment systems (LB, JK, PL), pp. 715–719.
CASECASE-2008-ChakibK #distributed #multi
Multi-decision C&P∨D&A architecture for the decentralized control of discrete event systems (HC, AK), pp. 187–193.
CASECASE-2008-FanKJAB #analysis #design
Integrated fixture design and analysis system based on service-oriented architecture (LF, ASK, BNJ, SA, SHB), pp. 656–661.
CASECASE-2008-FischionePRST #automation #communication #mining
Mining ventilation automation: Wireless sensing, communication architecture and advanced services (CF, LP, CR, FS, ST), pp. 851–857.
CASECASE-2008-KhaledMM #collaboration #concurrent
A Service Oriented Architecture for CAX concurrent collaboration (AK, YM, JM), pp. 650–655.
CCCC-2008-LashariLM #control flow
Control Flow Emulation on Tiled SIMD Architectures (GL, OL, MM), pp. 100–115.
CGOCGO-2008-HamptonA #compilation #thread
Compiling for vector-thread architectures (MH, KA), pp. 205–215.
DACDAC-2008-DongWSXLC #3d #evaluation #memory management #ram
Circuit and microarchitecture evaluation of 3D stacking magnetic RAM (MRAM) as a universal memory replacement (XD, XW, GS, YX, HHL, YC), pp. 554–559.
DACDAC-2008-GorjiaraG #automation #refinement
Automatic architecture refinement techniques for customizing processing elements (BG, DG), pp. 379–384.
DACDAC-2008-KuonR #automation
Automated transistor sizing for FPGA architecture exploration (IK, JR), pp. 792–795.
DACDAC-2008-LaiWGLD
A dynamically-allocated virtual channel architecture with congestion awareness for on-chip routers (McL, ZW, LG, HL, KD), pp. 630–633.
DACDAC-2008-LiuMZM
A power and temperature aware DRAM architecture (SL, SOM, YZ, GM), pp. 878–883.
DATEDATE-2008-AltCS #algorithm #detection #hardware #realtime
Hardware/software architecture of an algorithm for vision-based real-time vehicle detection in dark environments (NA, CC, WS), pp. 176–181.
DATEDATE-2008-ArteagaF #hardware #implementation #named #novel
GMDS: Hardware implementation of novel real output queuing architecture (RA, FT, REC, VdA, RS), pp. 1450–1455.
DATEDATE-2008-BeckerHEHSL #communication #design
Design Flows, Communication Based Design and Architectures in Automotive Electronic Systems (JB, MH, RE, AH, WS, VL).
DATEDATE-2008-BonnotLEGRG #approach #implementation #multi
Definition and SIMD Implementation of a Multi-Processing Architecture Approach on FPGA (PB, FL, GE, GG, OR, PG), pp. 610–615.
DATEDATE-2008-ChandraNK #power management #reduction #testing
Low Power Illinois Scan Architecture for Simultaneous Power and Test Data Volume Reduction (AC, FN, RK), pp. 462–467.
DATEDATE-2008-ChattopadhyayCILAM #configuration management #modelling
High-level Modelling and Exploration of Coarse-grained Re-configurable Architectures (AC, XC, HI, RL, GA, HM), pp. 1334–1339.
DATEDATE-2008-ChenL
Wire Sizing Alternative — An Uniform Dual-rail Routing Architecture (FWC, YYL), pp. 796–799.
DATEDATE-2008-CosteGHHTZ #design #embedded #evaluation #parallel #thread #validation
Quantitative Evaluation in Embedded System Design: Validation of Multiprocessor Multithreaded Architectures (NC, HG, HH, RH, YT, MZ), pp. 88–89.
DATEDATE-2008-EberleG #automation #communication #design #network #power management #scalability
A scalable low-power digital communication network architecture and an automated design path for controlling the analog/RF part of SDR transceivers (WE, MG), pp. 710–715.
DATEDATE-2008-FarahaniFS #network #scalability #using
Scalable Architecture for on-Chip Neural Network Training using Swarm Intelligence (AFF, SMF, SS), pp. 1340–1345.
DATEDATE-2008-FaruqueH #communication
Minimizing Virtual Channel Buffer for Routers in On-chip Communication Architectures (MAAF, JH), pp. 1238–1243.
DATEDATE-2008-ForestFASSN #physics
Physical Architectures of Automotive Systems (TF, AF, GA, MS, ALSV, MDN), pp. 391–395.
DATEDATE-2008-FrankWESN #analysis #design #evaluation #standard #tool support
Methods, Tools and Standards for the Analysis, Evaluation and Design of Modern Automotive Architectures (EF, RW, RE, ALSV, MDN), pp. 659–663.
DATEDATE-2008-GlasKSMB #configuration management #platform
A System Architecture for Reconfigurable Trusted Platforms (BG, AK, OS, KDMG, JB), pp. 541–544.
DATEDATE-2008-GuiducciSGL #interface #novel
Novel Front-End Circuit Architectures for Integrated Bio-Electronic Interfaces (CG, AS, FKG, YL), pp. 1328–1333.
DATEDATE-2008-HosseinabadyKMP #energy #graph #latency #performance #scalability
De Bruijn Graph as a Low Latency Scalable Architecture for Energy Efficient Massive NoCs (MH, MRK, JM, DKP), pp. 1370–1373.
DATEDATE-2008-KimPH #multi
Architecture Exploration of NAND Flash-based Multimedia Card (SK, CP, SH), pp. 218–223.
DATEDATE-2008-LarssonLCEP #optimisation #scheduling
Test-Architecture Optimization and Test Scheduling for SOCs with Core-Level Expansion of Compressed Test Patterns (AL, EL, KC, PE, ZP), pp. 188–193.
DATEDATE-2008-LeupersAVAV #design #multi
System-Level Design and Application Mapping for Wireless and Multimedia MPSoC Architectures (RL, GA, WV, TA, AV).
DATEDATE-2008-LiBXNPC #detection #optimisation #parallel #programmable
Optimizing Near-ML MIMO Detector for SDR Baseband on Parallel Programmable Architectures (ML, BB, WX, DN, LVdP, FC), pp. 444–449.
DATEDATE-2008-LiNBPC #multi
Generic Multi-Phase Software-Pipelined Partial-FFT on Instruction-Level-Parallel Architectures and SDR Baseband Applications (ML, DN, BB, LVdP, FC), pp. 598–603.
DATEDATE-2008-MarculescuN #challenge #design #variability
Design Variability: Challenges and Solutions at Microarchitecture-Architecture Level (DM, SRN).
DATEDATE-2008-MayerH #optimisation #performance
System Performance Optimization Methodology for Infineon’s 32-Bit Automotive Microcontroller Architecture (AM, FH), pp. 962–966.
DATEDATE-2008-MulasPBCABAM #multi #policy #streaming
Thermal Balancing Policy for Streaming Computing on Multiprocessor Architectures (FM, MP, MB, SC, AA, LB, DA, GDM), pp. 734–739.
DATEDATE-2008-NeumannSBN #design #embedded #flexibility
Design flow for embedded FPGAs based on a flexible architecture template (BN, TvS, HB, TGN), pp. 56–61.
DATEDATE-2008-PandeyD #memory management #optimisation
Slack Allocation Based Co-Synthesis and Optimization of Bus and Memory Architectures for MPSoCs (SP, RD), pp. 206–211.
DATEDATE-2008-SammanHG #parallel #pipes and filters
Multicast Parallel Pipeline Router Architecture for Network-on-Chip (FAS, TH, MG), pp. 1396–1401.
DATEDATE-2008-ThoguluvaRC #performance #programmable #security #using
Efficient Software Architecture for IPSec Acceleration Using a Programmable Security Processor (JT, AR, STC), pp. 1148–1153.
DATEDATE-2008-VinkBW #analysis #performance
Performance Analysis of SoC Architectures Based on Latency-Rate Servers (JPV, KvB, PvdW), pp. 200–205.
DATEDATE-2008-WuCSC #multi #set
Instruction Set Extension Exploration in Multiple-Issue Architecture (IWW, ZYC, JJJS, CPC), pp. 764–769.
HPCAHPCA-2008-DasMNPNIYD #optimisation #performance
Performance and power optimization through data compression in Network-on-Chip architectures (RD, AKM, CN, DP, VN, RRI, MSY, CRD), pp. 215–225.
HPCAHPCA-2008-LeeB #design #optimisation
Roughness of microarchitectural design topologies and its implications for optimization (BCL, DMB), pp. 240–251.
HPCAHPCA-2008-LeighRS #convergence
Fabric convergence implications on systems architecture (KL, PR, JS), pp. 15–26.
LCTESLCTES-2008-LeeKJKEKH #embedded #named #performance
FaCSim: a fast and cycle-accurate architecture simulator for embedded systems (JL, JK, CJ, SK, BE, KK, SH), pp. 89–100.
PDPPDP-2008-JovenFCMTC #distributed #named #parallel
xENoC — An eXperimental Network-On-Chip Environment for Parallel Distributed Computing on NoC-based MPSoC Architectures (JJ, OFB, DCR, RM, LT, JC), pp. 141–148.
PDPPDP-2008-LeiZGZ #named #network #thread
MEANS: A Micro-thrEad Architecture for Network Server (YL, WZ, YG, HZ), pp. 38–42.
PDPPDP-2008-PanLX #distributed #grid
A Distributed Reputation Control Architecture Based on Virtual Organizational Domains in the Grid Economy (ZP, GL, YX), pp. 100–104.
PDPPDP-2008-PontCCM #modelling #parametricity
Parametric Architecture for Modeling Neuronal Systems (MTSP, GdMC, JMGC, HMM), pp. 463–469.
PDPPDP-2008-Quintana-OrtiQCGZ #algorithm #manycore #scheduling
Scheduling of QR Factorization Algorithms on SMP and Multi-Core Architectures (GQO, ESQO, EC, RAvdG, FGVZ), pp. 301–310.
PDPPDP-2008-TaoKK #manycore
Evaluating the Cache Architecture of Multicore Processors (JT, MK, WK), pp. 12–19.
PPoPPPPoPP-2008-BaskaranBKRRS #automation #data flow #parallel
Automatic data movement and computation mapping for multi-level parallel architectures with explicitly managed memories (MMB, UB, SK, JR, AR, PS), pp. 1–10.
PPoPPPPoPP-2008-IskraRYB #framework #named
ZOID: I/O-forwarding infrastructure for petascale architectures (KI, JWR, KY, PHB), pp. 153–162.
PPoPPPPoPP-2008-TanFZRG #experience #manycore #memory management #optimisation
Experience on optimizing irregular computation for memory hierarchy in manycore architecture (GT, DF, JZ, AR, GRG), pp. 279–280.
PPoPPPPoPP-2008-TatikondaP #adaptation #approach #manycore #memory management #mining
An adaptive memory conscious approach for mining frequent trees: implications for multi-core architectures (ST, SP), pp. 263–264.
FASEFASE-2008-BisztrayHE #refactoring #verification
Verification of Architectural Refactorings by Rule Extraction (DB, RH, HE), pp. 347–361.
FASEFASE-2008-JanotaB #approach #formal method #modelling
Formal Approach to Integrating Feature and Architecture Models (MJ, GB), pp. 31–45.
FATESTestCom-FATES-2008-HieronsMN #distributed #implementation
Implementation Relations for the Distributed Test Architecture (RMH, MGM, MN), pp. 200–215.
CBSECBSE-2007-MucciniPRB #component #monitoring
Monitoring Architectural Properties in Dynamic Component-Based Systems (HM, AP, FR, AB), pp. 124–139.
ECSAECSA-2007-Anzures-GarciaHP #adaptation #collaboration
Architecture for Developing Adaptive and Adaptable Collaborative Applications (MAG, MJH, PPR), pp. 271–274.
ECSAECSA-2007-BrownM
The Art and Science of Software Architecture (AWB, JAM), pp. 237–256.
ECSAECSA-2007-CorderoS #composition #perspective
Analyzing Styles of the Modular Software Architecture View (RNLC, IRS), pp. 275–278.
ECSAECSA-2007-CorreiaMHE #categorisation #migration
Architecture Migration Driven by Code Categorization (RC, CMPM, RH, MER), pp. 115–122.
ECSAECSA-2007-CoteHW #evolution
Pattern-Based Evolution of Software Architectures (IC, MH, IW), pp. 29–43.
ECSAECSA-2007-FalessiKC #empirical #re-engineering
Issues in Applying Empirical Software Engineering to Software Architecture (DF, PK, GC), pp. 257–262.
ECSAECSA-2007-FarenhorstLV #effectiveness #tool support
Effective Tool Support for Architectural Knowledge Sharing (RF, PL, HvV), pp. 123–138.
ECSAECSA-2007-FuentesGPV #using
Using Connectors to Model Crosscutting Influences in Software Architectures (LF, NG, MP, JAV), pp. 292–295.
ECSAECSA-2007-Garlan
Software Architectures for Task-Oriented Computing (DG), p. 1.
ECSAECSA-2007-GrauF #approach #evaluation #generative
A Goal-Oriented Approach for the Generation and Evaluation of Alternative Architectures (GG, XF), pp. 139–155.
ECSAECSA-2007-JeradBG #maude #verification
Hierarchical Verification in Maude of L f P Software Architectures (CJ, KB, AGT), pp. 156–170.
ECSAECSA-2007-Kjaer #prototype
First Class Connectors for Prototyping Service Oriented Architectures (KEK), pp. 171–178.
ECSAECSA-2007-LopesTMS #component #framework
An Architectural Model for Small-Scale Component-Oriented Frameworks (SFL, AT, JLM, CAS), pp. 300–303.
ECSAECSA-2007-LosillaVAIS #approach #development #network
Wireless Sensor Network Application Development: An Architecture-Centric MDE Approach (FL, CVC, , AI, PS), pp. 179–194.
ECSAECSA-2007-LoulouKJD #design
Formal Design of Structural and Dynamic Features of Publish/Subscribe Architectural Styles (IL, AHK, MJ, KD), pp. 44–59.
ECSAECSA-2007-MansetVM #grid #model transformation
Managing Separation of Concerns in Grid Applications Through Architectural Model Transformations (DM, HV, RM), pp. 308–312.
ECSAECSA-2007-Martinez-PrietoCF #xml
Aqueducts : A Layered Pipeline-Based Architecture for XML Processing (MAMP, CEC, PdlF), pp. 313–316.
ECSAECSA-2007-MorrisonBOWG #approach #co-evolution
An Active Architecture Approach to Dynamic Systems Co-evolution (RM, DB, FO, BW, RMG), pp. 2–10.
ECSAECSA-2007-NavaCD #design #process #tool support
Processes for Creating and Exploiting Architectural Design Decisions with Tool Support (FN, RC, JCD), pp. 321–324.
ECSAECSA-2007-NavarroLMR #automation #generative
Supporting the Automatic Generation of Proto-Architectures (EN, PL, JJM, IR), pp. 325–329.
ECSAECSA-2007-OrtizPAAS #approach #case study #component #experience #framework #using
Experiences Using a Component-Oriented Architectural Framework for Robots and Its Improvement with a MDE Approach (FJO, JAP, DA, , PS), pp. 335–338.
ECSAECSA-2007-PahlGH #approach #modelling #ontology
An Ontology-Based Approach for Modelling Architectural Styles (CP, SG, WH), pp. 60–75.
ECSAECSA-2007-PereiraHK #distributed #multimodal #staged
A Distributed Staged Architecture for Multimodal Applications (ACP, FH, KK), pp. 195–206.
ECSAECSA-2007-SantAnnaFGL #composition #framework #metric #on the
On the Modularity of Software Architectures: A Concern-Driven Measurement Framework (CS, EF, AFG, CJPdL), pp. 207–224.
ECSAECSA-2007-SanzACM #framework #independence #modelling #platform #uml
UML Profile for the Platform Independent Modelling of Service-Oriented Architectures (MLS, CJA, CEC, EM), pp. 304–307.
ECSAECSA-2007-SoriaAPCR #aspect-oriented #configuration management
Dynamic Reconfiguration of Software Architectures Through Aspects (CCS, NA, JP, JÁC, IR), pp. 279–283.
ECSAECSA-2007-WaignierMD #framework #named
FIESTA: A Generic Framework for Integrating New Functionalities into Software Architectures (GW, AFLM, LD), pp. 76–91.
ECSAECSA-2007-Zalewski #analysis #development #scalability
Beyond ATAM: Architecture Analysis in the Development of Large Scale Software Systems (AZ), pp. 92–105.
QoSAQoSA-2007-BabarBG #empirical #evaluation #industrial
Factors Influencing Industrial Practices of Software Architecture Evaluation: An Empirical Investigation (MAB, LB, IG), pp. 90–107.
QoSAQoSA-2007-BoerFLVCJ
Architectural Knowledge: Getting to the Core (RCdB, RF, PL, HvV, VC, AJ), pp. 197–214.
QoSAQoSA-2007-ClercLV
The Architect’s Mindset (VC, PL, HvV), pp. 231–249.
QoSAQoSA-2007-KoziolekBH #component #performance #predict
Predicting the Performance of Component-Based Software Architectures with Different Usage Profiles (HK, SB, JH), pp. 145–163.
QoSAQoSA-2007-PaceC #design #quality #using
Using Planning Techniques to Assist Quality-Driven Architectural Design Exploration (JADP, MRC), pp. 33–52.
QoSAQoSA-2007-PoortPKW #process
The Influence of CMMI on Establishing an Architecting Process (ERP, HP, AK, PHNdW), pp. 215–230.
QoSAQoSA-2007-RoshandelMG #predict #reliability
A Bayesian Model for Predicting Reliability of Software Systems at the Architectural Level (RR, NM, LG), pp. 108–126.
QoSAQoSA-2007-VasconcelosW #comprehension #evaluation #reuse
Architecture Recovery and Evaluation Aiming at Program Understanding and Reuse (AV, CW), pp. 72–89.
QoSAQoSA-2007-Woodside #performance
Resource Architecture and Continuous Performance Engineering (CMW), pp. 1–14.
QoSAQoSA-2007-ZimmermannGKLS #development #enterprise #modelling #reuse
Reusable Architectural Decision Models for Enterprise Application Development (OZ, TG, JMK, FL, NS), pp. 15–32.
WICSAWICSA-2007-AlmeidaAGNML #approach #design #towards
Designing Domain-Specific Software Architecture (DSSA): Towards a New Approach (ESdA, , VCG, LMN, SRdLM, DL), p. 30.
WICSAWICSA-2007-BalasubramaniamMGW #development #flexibility #process
Flexible Software Development: From Software Architecture to Process (DB, RM, RMG, BW), p. 14.
WICSAWICSA-2007-BassMBHC #case study #experience
Architectural Misalignment: An Experience Report (MB, VM, LB, JDH, MC), p. 17.
WICSAWICSA-2007-BassN #effectiveness
An Introduction to Effectively Evaluating Software Architectures (LB, RLN), p. 34.
WICSAWICSA-2007-BassNWZ
Risk Themes Discovered through Architecture Evaluations (LB, RLN, WW, DZ), p. 1.
WICSAWICSA-2007-BassSS #design #distributed
Architecture Design for Globally Distributed Projects (MB, RSS, MS), p. 35.
WICSAWICSA-2007-BhattacharyaP #assessment #evolution
Architecture Assessment Model for System Evolution (SB, DEP), p. 8.
WICSAWICSA-2007-BhutaMMB #assessment #component #framework
A Framework for the Assessment and Selection of Software Components and Connectors in COTS-Based Architectures (JB, CM, NM, BWB), p. 6.
WICSAWICSA-2007-CardosoI #overview #pervasive #privacy
Architecting Pervasive Computing Systems for Privacy: A Survey (RSC, VI), p. 26.
WICSAWICSA-2007-ClementsKK
Working Session: Software Architecture Competence (PCC, RK, MK), p. 27.
WICSAWICSA-2007-ClementsKKDRV #information management
The Duties, Skills, and Knowledge of Software Architects (PCC, RK, MK, DD, SR, PV), p. 20.
WICSAWICSA-2007-ClercLV #development #multi
Assessing a Multi-Site Development Organization for Architectural Compliance (VC, PL, HvV), p. 10.
WICSAWICSA-2007-CortellessaMI #modelling #non-functional #validation
Non-Functional Modeling and Validation in Model-Driven Architecture (VC, ADM, PI), p. 25.
WICSAWICSA-2007-DhunganaRG #modelling #product line
Decision-Oriented Modeling of Product Line Architectures (DD, RR, PG), p. 22.
WICSAWICSA-2007-FalessiCK #design #question
Do Architecture Design Methods Meet Architects’ Needs? (DF, GC, PK), p. 5.
WICSAWICSA-2007-FerrariM #empirical #experience #requirements
The Impact of Requirements Knowledge and Experience on Software Architecting: An Empirical Study (RF, NHM), p. 16.
WICSAWICSA-2007-Florentz #analysis #evaluation #optimisation #representation
Inside Architecture Evaluation: Analysis and Representation of Optimization Potential (BF), p. 3.
WICSAWICSA-2007-FragaL #approach #enterprise #ontology
Training Initiative for New Software/Enterprise Architects: An Ontological Approach (AF, JL), p. 19.
WICSAWICSA-2007-GieseckeBH #middleware #modelling
Middleware-Induced Architectural Style Modelling for Architecture Exploration (SG, JB, WH), p. 21.
WICSAWICSA-2007-GortonB #challenge #concept #information management
Architecture Knowledge Management: Concepts, Technologies, Challenges (IG, MAB), p. 31.
WICSAWICSA-2007-HinzPUM #adaptation #web
Adaptation and Distribution of Pipeline-Based Context-Aware Web Architectures (MH, SP, MU, KM), p. 15.
WICSAWICSA-2007-JansenVAH #tool support
Tool Support for Architectural Decisions (AJ, JSvdV, PA, DKH), p. 4.
WICSAWICSA-2007-KnodelP #comparison
A Comparison of Static Architecture Compliance Checking Approaches (JK, DP), p. 12.
WICSAWICSA-2007-KornstadtS #agile #challenge #communication #development
Tackling Offshore Communication Challenges with Agile Architecture-Centric Development (AK, JS), p. 28.
WICSAWICSA-2007-KulkarniP #enterprise #framework #functional #requirements
An Architectural Framework for Non Functional Requirements in Enterprise SOA Adoption (NNK, SP), p. 36.
WICSAWICSA-2007-MesbahD #ajax
An Architectural Style for Ajax (AM, AvD), p. 9.
WICSAWICSA-2007-MillerM #interactive
The Architecture-Requirements Interaction (JAM, NHM), p. 24.
WICSAWICSA-2007-Muccini #testing #what
What Makes Software Architecture-Based Testing Distinguishable (HM), p. 29.
WICSAWICSA-2007-MucciniPR #fault tolerance
Architecting Fault Tolerant Systems (HM, PP, AR), p. 43.
WICSAWICSA-2007-NavarroLR #aspect-oriented #requirements
Requirements and Scenarios: Running Aspect-Oriented Software Architectures (EN, PL, IR), p. 23.
WICSAWICSA-2007-OliveiraW #approach #modelling
A Model-Driven Approach to Extract Views from an Architecture Description Language (CO, MW), p. 7.
WICSAWICSA-2007-RadhakrishnanS #enterprise #towards
Aligning Architectural Approaches towards an SOA-Based Enterprise Architecture (RR, BS), p. 38.
WICSAWICSA-2007-RossoM #approach #knowledge-based #scalability #using
Assessing the Architectonics of Large, Software-Intensive Systems Using a Knowledge-Based Approach (CDR, AM), p. 2.
WICSAWICSA-2007-Sangal #dependence #lightweight #modelling
Lightweight Dependency Models to Manage Software Architecture (NS), p. 40.
WICSAWICSA-2007-SangwanH #analysis #design #object-oriented
Integrating Architecture-Centric Methods into Object-Oriented Analysis and Design (RSS, ZEH), p. 32.
WICSAWICSA-2007-Sarang
Setting up Architect Team (PS), p. 18.
WICSAWICSA-2007-StaffordC #documentation
Producing Software Architecture Documentation to Suit Your Needs (JAS, PCC), p. 33.
DocEngDocEng-2007-KonishiFI #documentation
Data model and architecture of a paper-digital document management system (KK, NF, HI), pp. 29–31.
DRRDRR-2007-Giannetti #authoring #xml
Generic architecture for professional authoring environments to export XML-based formats (FG).
DRRDRR-2007-Marosi #adaptation #algorithm #industrial
Industrial OCR approaches: architecture, algorithms, and adaptation techniques (IM).
TPDLECDL-2007-ZimmerTW #distributed #information retrieval #library #named
MinervaDL: An Architecture for Information Retrieval and Filtering in Distributed Digital Libraries (CZ, CT, GW), pp. 148–160.
HTHT-2007-Rubart
Architecting structure-aware applications (JR), pp. 185–188.
ICDARICDAR-2007-HaritMC #documentation #named #novel
Pàtrà: A Novel Document Architecture for Integrating Handwriting with Audio-Visual Information (GH, VM, SC), pp. 699–703.
ICDARICDAR-2007-KimPK #embedded
An Embedded OCR Software Architecture for Enhancing Portability (SK, JP, YBK), pp. 1004–1008.
JCDLJCDL-2007-BorgmanWMP #embedded #library #network #using
Drowning in data: digital library architecture to support scientific use of embedded sensor networks (CLB, JCW, MSM, AP), pp. 269–277.
SIGMODSIGMOD-2007-LinskeyP #relational
An in-depth look at the architecture of an object/relational mapper (PCL, MP), pp. 889–894.
SIGMODSIGMOD-2007-PetropoulosDP #interactive #named #query
CLIDE: interactive query formulation for service oriented architectures (MP, AD, YP), pp. 1119–1121.
VLDBVLDB-2007-StonebrakerMAHHH
The End of an Architectural Era (It’s Time for a Complete Rewrite) (MS, SM, DJA, SH, NH, PH), pp. 1150–1160.
CSEETCSEET-2007-GoldenB #development #education
Creating Meaningful Assessments for Professional Development Education in Software Architecture (EG, LB), pp. 283–290.
CSEETCSEET-2007-McGregorBBBK #education #reasoning #using
Using an Architecture Reasoning Tool to Teach Software Architecture (JDM, FB, LB, PB, MK), pp. 275–282.
CSEETCSEET-2007-WangAJ #approach #education #empirical
Educational Approach to an Experiment in a Software Architecture Course (AIW, EA, MLJ), pp. 291–300.
SIGITESIGITE-2007-MorneauT #named
Architecture: an emerging core competence for IT professionals (KAM, ST), pp. 9–12.
CSMRCSMR-2007-BourqunK #refactoring
High-impact Refactoring Based on Architecture Violations (FB, RKK), pp. 149–158.
CSMRCSMR-2007-Graaf #evolution #modelling
Model-Driven Evolution of Software Architectures (BG), pp. 357–360.
CSMRCSMR-2007-PolletDPACV #re-engineering #taxonomy #towards
Towards A Process-Oriented Software Architecture Reconstruction Taxonomy (DP, SD, LP, IA, SC, HV), pp. 137–148.
CSMRCSMR-2007-Stoermer #analysis #quality #re-engineering
Software Quality Attribute Analysis by Architecture Reconstruction (SQUA3RE) (CS), pp. 361–364.
ICSMEICSM-2007-ArchuletaTF #composition #performance #sequence
A Maintainable Software Architecture for Fast and Modular Bioinformatics Sequence Search (JSA, ET, WcF), pp. 144–153.
WCREWCRE-2007-AlamD #3d #visualisation
EvoSpaces Visualization Tool: Exploring Software Architecture in 3D (SA, PD), pp. 269–270.
WCREWCRE-2007-Cornelissen #dynamic analysis #re-engineering
Dynamic Analysis Techniques for the Reconstruction of Architectural Views (BC), pp. 281–284.
WCREWCRE-2007-McNairGW #evolution #using #visualisation
Visualizing Software Architecture Evolution Using Change-Sets (AM, DMG, JHWJ), pp. 130–139.
WCREWCRE-2007-SartipiD #component #framework #interactive #re-engineering
An Amalgamated Dynamic and Static Architecture Reconstruction Framework to Control Component Interactions 259 (KS, ND), pp. 259–268.
SEFMSEFM-2007-CalinescuHGDTN #modelling #research
Model-driven architecture for cancer research (RC, SH, JG, JD, IT, SBN), pp. 59–68.
AIIDEAIIDE-2007-Stensrud
Intelligent Storytelling Architecture for Training (ISAT) (BSS), pp. 112–113.
CoGCIG-2007-KobtiS #game studies #multi
A Multi-Agent Architecture for Game Playing (ZK, SS), pp. 276–281.
AGTIVEAGTIVE-2007-KniemeyerBHK #approach #biology #graph transformation #parallel #relational
Relational Growth Grammars — A Parallel Graph Transformation Approach with Applications in Biology and Architecture (OK, GB, RH, WK), pp. 152–167.
HCIHCI-AS-2007-OhPPH #design #robust
Design of Change-Absorbing System Architecture for the Design of Robust Products and Services (SO, BP, SP, YSH), pp. 1110–1119.
HCIHCI-IDU-2007-TalebSA #modelling #web
Model-Driven Architecture for Web Applications (MT, AS, AA), pp. 1198–1205.
HCIHCI-IDU-2007-TarbyERTLK #aspect-oriented #comparison #evaluation #interactive #programming #usability #using
Traces Using Aspect Oriented Programming and Interactive Agent-Based Architecture for Early Usability Evaluation: Basic Principles and Comparison (JCT, HE, JR, CDT, PL, CK), pp. 632–641.
HCIHCI-MIE-2007-KimKK #algorithm #low cost
Human-Aided Cleaning Algorithm for Low-Cost Robot Architecture (SK, KK, THK), pp. 366–375.
HCIHIMI-IIE-2007-LaukaitisVG #information retrieval #natural language
Natural Language Based Heavy Personal Assistant Architecture for Information Retrieval and Presentation (AL, OV, VG), pp. 54–63.
HCIHIMI-IIE-2007-ViljamaaVA #mobile #multi #towards
Towards an Optimal Information Architecture Model for Mobile Multimedia Devices (TPV, TV, AA), pp. 664–673.
VISSOFTVISSOFT-2007-EickerSK #visualisation
Software Visualization in the Context of Service-Oriented Architectures (SE, TS, CK), pp. 108–111.
VISSOFTVISSOFT-2007-Sawant #multi #named #visualisation
DiffArchViz: A Tool to Visualize Correspondence Between Multiple Representations of a Software Architecture (APS), pp. 121–128.
VISSOFTVISSOFT-2007-SawantB #named #visualisation
SoftArchViz: A Software Architecture Visualization Tool (APS, NB), pp. 154–155.
CAiSECAiSE-2007-RollandKK #on the
On ISOA: Intentional Services Oriented Architecture (CR, RSK, NK), pp. 158–172.
EDOCEDOC-2007-JohnsonJSU #analysis #enterprise
A Tool for Enterprise Architecture Analysis (PJ, EJ, TS, JU), pp. 142–156.
EDOCEDOC-2007-Lankhorst #towards
Towards A Service-Oriented Architecture for Demand-Driven e Government (MML), pp. 214–228.
EDOCEDOC-2007-MichotteFF #aspect-oriented #component #modelling
Modeling and Integrating Aspects into Component Architectures (LM, RBF, FF), pp. 181–192.
EDOCEDOC-2007-MohammadCWWS #multi #quality #security
A Multi-Layer Security Enabled Quality of Service (QoS) Management Architecture (AM, AC, GW, CW, RAS), pp. 423–434.
EDOCEDOC-2007-NarmanJN #analysis #enterprise #framework #quality
Enterprise Architecture: A Framework Supporting System Quality Analysis (PN, PJ, LN), pp. 130–141.
EDOCEDOC-2007-SimoninTJ #development #enterprise
An Enterprise Architecture Alignment Measure for Telecom Service Development (JS, YLT, JMJ), pp. 476–483.
EDOCEDOC-2007-WegmannRRLJ #enterprise
Business and IT Alignment with SEAM for Enterprise Architecture (AW, GR, IR, LSL, PJ), pp. 111–121.
ICEISICEIS-AIDSS-2007-Bussler
Service-oriented architecture — one size fits nobody (CB), pp. 15–20.
ICEISICEIS-AIDSS-2007-SokolovaF #assessment #data mining #mining #multi
A Multi-Agent Architecture for Environmental Impact Assessment: Information Fusion, Data Mining and Decision Making (MVS, AFC), pp. 219–224.
ICEISICEIS-DISI-2007-BottcherO #commit
Dynamic commit tree management for service oriented architectures (SB, SO), pp. 95–101.
ICEISICEIS-DISI-2007-Bussler
Service-oriented architecture — one size fits nobody (CB), pp. 15–20.
ICEISICEIS-DISI-2007-CairesC #semantics #using #web
Using semantic web and service oriented technologies to build loosely coupled systems: SWOAT — a service and semantic web oriented architecture technology (BC, JC), pp. 226–232.
ICEISICEIS-DISI-2007-MbarkiSV #documentation #information management #repository
A document repository architecture for heterogeneous business information management (MM, CSD, NVP), pp. 192–198.
ICEISICEIS-DISI-2007-RauberR #legacy
Transformation of legacy business software into client-server architectures (TR, GR), pp. 36–43.
ICEISICEIS-EIS-2007-AoumeurSB #component #information management #interactive #petri net #weaving
Dynamic Interaction of Information Systems — Weaving Architectural Connectors on Component Petri Nets (NA, GS, KB), pp. 152–158.
ICEISICEIS-EIS-2007-Bussler
Service-oriented architecture — one size fits nobody (CB), pp. 15–20.
ICEISICEIS-EIS-2007-CimpanVA #enterprise #evolution #information management
Dynamic Architecture Based Evolution of Enterprise Information Systems (SC, HV, IA), pp. 221–229.
ICEISICEIS-EIS-2007-Egyhazy #comparison #design #security
Comparison of Five Architecture Description Languages on Design Focus, Security and Style (CJE), pp. 270–277.
ICEISICEIS-EIS-2007-LuS #process
A Reference Architecture for Managing Business Process Variants (RL, SWS), pp. 416–421.
ICEISICEIS-EIS-2007-SteenbergenBB #development #enterprise
An Instrument for the Development of the Enterprise Architecture Practice (MvS, MvdB, SB), pp. 14–22.
ICEISICEIS-HCI-2007-AraujoB #education #game studies #using
Using Games in the Teaching of Digital Systems and of Computers Architecture (PJGdA, JVB), pp. 5–10.
ICEISICEIS-HCI-2007-Bussler
Service-oriented architecture — one size fits nobody (CB), pp. 15–20.
ICEISICEIS-HCI-2007-GascuenaFG #authoring #education
An Authoring Architecture for Annotating Educational Contents (JMG, AFC, PG), pp. 53–60.
ICEISICEIS-J-2007-Bussler07a
Service-Oriented Architecture: One Size Fits Nobody (CB), pp. 55–62.
ICEISICEIS-J-2007-SteenbergenBB07a #approach #enterprise
A Balanced Approach to Developing the Enterprise Architecture Practice (MvS, MvdB, SB), pp. 240–253.
ICEISICEIS-SAIC-2007-Baghdadi #monitoring #specification #web #web service
Specification of a Tool for Monitoring and Managing a Web Services Architecture (YB), pp. 51–56.
ICEISICEIS-SAIC-2007-Bussler
Service-oriented architecture — one size fits nobody (CB), pp. 15–20.
ECIRECIR-2007-SevillanoCAS #clustering #documentation #robust
A Hierarchical Consensus Architecture for Robust Document Clustering (XS, GC, FA, JCS), pp. 741–744.
ICMLICML-2007-LarochelleECBB #empirical #evaluation #problem
An empirical evaluation of deep architectures on problems with many factors of variation (HL, DE, ACC, JB, YB), pp. 473–480.
SEKESEKE-2007-Abu-MatarO #empirical
Service Oriented Architecture Empirical Study (MAM, AJO), pp. 628–631.
SEKESEKE-2007-AlamD #3d #named #visualisation
EvoSpaces: 3D Visualization of Software Architecture (SA, PD), pp. 500–505.
SEKESEKE-2007-ColziMNR #component
A Component-Based Solution and Architecture for Dynamic Service-Based Applications (AC, TM, PN, DR), p. 637–?.
SEKESEKE-2007-GrunertKW #distributed #enterprise #multi
A Proposal for a Decentralized Multi-Agent Architecture for Virtual Enterprises (AG, SK, GW), pp. 546–551.
SEKESEKE-2007-HappelS #information management #named #semantics #wiki
Ontobrowse: A Semantic Wiki for Sharing Knowledge about Software Architectures (HJH, SS), p. 506–?.
SEKESEKE-2007-HofmeisterW #design #independence #platform #using
Designing a Platform-Independent Use-Case for a Composite Application using a Reference Architecture (HH, GW), pp. 227–231.
SEKESEKE-2007-KopetzkyD #workflow
Workflow Management and Service Oriented Architecture (TK, DD), pp. 749–750.
SEKESEKE-2007-MaZPYL #adaptation #polymorphism #self
Constructing Self-Adaptive Systems with Polymorphic Software Architecture (XM, YZ, JP, PY, JL), pp. 2–8.
SEKESEKE-2007-NakagawaSFM #testing #tool support #towards
Towards a Reference Architecture for Software Testing Tools (EYN, AdSS, FCF, JCM), pp. 157–162.
SEKESEKE-2007-OladimejiSC #approach #modelling
A Model-driven Approach to Architecting Secure Software (EAO, SS, LC), p. 535–?.
SEKESEKE-2007-RalhaAM #grid #semantics
A Four-layered Semantic Grid Architecture (CGR, JNCA, ACMAdM), p. 724–?.
SEKESEKE-2007-SchusterZP #collaboration #named #web
ADkwik: Web 2.0 Collaboration System for Architectural Decision Engineering (NS, OZ, CP), pp. 255–260.
SEKESEKE-2007-SotoVPP #information management #multi
A Three Level Multi-agent Architecture to Foster Knowledge Exchange (JPS, AV, JPR, MP), pp. 565–569.
SEKESEKE-2007-VasconcelosW #evaluation #quality #specification
Architectural Elements Recovery and Quality Evaluation to Assist in Reference Architectures Specification (APVdV, CMLW), pp. 494–499.
MODELSMoDELS-2007-OldevikH #aspect-oriented #uml
Architectural Aspects in UML (JO, ØH), pp. 301–315.
SPLCSPLC-2007-NonakaZ #development #product line #quality
Impact of Architecture and Quality Investment in Software Product Line Development (MN, LZ), pp. 63–73.
MODELSMoDELS-2007-OldevikH #aspect-oriented #uml
Architectural Aspects in UML (JO, ØH), pp. 301–315.
ECOOPECOOP-2007-Aldrich #object-oriented
Assuring Object-Oriented Architecture (JA), p. 450.
AdaEuropeAdaEurope-2007-MosteoM #ada #mobile #named #research
Sancta: An Ada 2005 General-Purpose Architecture for Mobile Robotics Research (ARM, LM), pp. 221–234.
AdaEuropeAdaEurope-2007-ZalilaHHP #distributed #generative
Generating Distributed High Integrity Applications from Their Architectural Description (BZ, IH, JH, LP), pp. 155–167.
PLDIPLDI-2007-WangCCJTGYLW #manycore #named #parallel #programming #thread
EXOCHI: architecture and programming environment for a heterogeneous multi-core multithreaded system (PHW, JDC, GNC, HJ, XT, MG, NYY, GYL, HW), pp. 156–166.
AdaSIGAda-2007-Sward #ada #named #using #web #web service
SP2: exposing ada web services using a service-oriented architecture (SOA) (RES), p. 4.
AdaSIGAda-2007-Sward07a #ada #using
Using ada in a service-Ooriented architecture (RES), pp. 63–68.
RERE-2007-PohlS #co-evolution #design #named #requirements
COSMOD-RE: Supporting the Co-Design of Requirements and Architectural Artifacts (KP, ES), pp. 258–261.
REFSQREFSQ-2007-AlkkiomakiS #case study #functional #integration #modelling #requirements #uml
Integration Use Cases — An Applied UML Technique for Modeling Functional Requirements in Service Oriented Architecture (VA, KS), pp. 190–202.
REFSQREFSQ-2007-PohlS #co-evolution #design #requirements
Structuring the Co-design of Requirements and Architecture (KP, ES), pp. 48–62.
ASEASE-2007-BotterweckOT #modelling
Model-driven derivation of product architectures (GB, LO, ST), pp. 469–472.
ASEASE-2007-UbayashiST #aspect-oriented #component #weaving
An aspect-oriented weaving mechanism based on component and connector architecture (NU, AS, TT), pp. 154–163.
ESEC-FSEESEC-FSE-2007-ErenkrantzGST #evolution #web
From representations to computations: the evolution of web architectures (JRE, MMG, GS, RNT), pp. 255–264.
ICSEICSE-2007-BaresiGM #automation #on the #verification
On Accurate Automatic Verification of Publish-Subscribe Architectures (LB, CG, LM), pp. 199–208.
ICSEICSE-2007-FuR #analysis #exception #java
Exception-Chain Analysis: Revealing Exception Handling Architecture in Java Server Applications (CF, BGR), pp. 230–239.
ICSEICSE-2007-GrahamKW #trade-off
Agility and Experimentation: Practical Techniques for Resolving Architectural Tradeoffs (TCNG, RK, CW), pp. 519–528.
ICSEICSE-2007-HendricksonH #modelling #product line #set
Modeling Product Line Architectures through Change Sets and Relationships (SAH, AvdH), pp. 189–198.
ICSEICSE-2007-HonidenTYTW #development #re-engineering #tool support
Top SE: Educating Superarchitects Who Can Apply Software Engineering Tools to Practical Development in Japan (SH, YT, NY, KT, HW), pp. 708–718.
ICSEICSE-2007-LeclercqOQS #tool support
Supporting Heterogeneous Architecture Descriptions in an Extensible Toolset (ML, AEÖ, VQ, JBS), pp. 209–219.
ICSEICSE-2007-MalekSRPM #concept #embedded #product line
Reconceptualizing a Family of Heterogeneous Embedded Systems via Explicit Architectural Support (SM, CS, SR, BP, NM), pp. 591–601.
SACSAC-2007-BraunW #enterprise #integration
Integration of IT service management into enterprise architecture (CB, RW), pp. 1215–1219.
SACSAC-2007-HungCYCS #algorithm #design #energy
An architectural co-synthesis algorithm for energy-aware network-on-chip design (WHH, YJC, CLY, YSC, APS), pp. 680–684.
SACSAC-2007-LobatoBPT #communication #internet #named
MOJOHON: a channel-driven communication architecture for applications deployed on the internet (DCL, MQB, MdGCP, CACT), pp. 1061–1066.
SACSAC-2007-SerugendoFRG
A metadata-based architectural model for dynamically resilient systems (GDMS, JSF, AR, NG), pp. 566–572.
SACSAC-2007-ShriramS #adaptation #information management #middleware #mobile
Adaptive middleware architecture for information sharing on mobile phones (RS, VS), pp. 800–804.
SACSAC-2007-WuFY #composition #re-engineering
Software reengineering with architecture decomposition (LW, YF, HY), pp. 1489–1493.
SACSAC-2007-ZhouPMLTL #ontology #self
Applying ontology in architecture-based self-management applications (YZ, JP, XM, BL, XT, JL), pp. 97–103.
GPCEGPCE-2007-JungH #component #framework #scalability #specification
A type-centric framework for specifying heterogeneous, large-scale, component-oriented, architectures (GJ, JH), pp. 33–42.
CCCC-2007-ShyamG #array #energy #memory management #reduction
An Array Allocation Scheme for Energy Reduction in Partitioned Memory Architectures (KS, RG), pp. 32–47.
CGOCGO-2007-AletaCGK #clustering
Heterogeneous Clustered VLIW Microarchitectures (AA, JMC, AG, DRK), pp. 354–366.
CGOCGO-2007-VaswaniTSJ #compilation #empirical #modelling #optimisation
Microarchitecture Sensitive Empirical Models for Compiler Optimizations (KV, MJT, YNS, PJJ), pp. 131–143.
DACDAC-2007-AdirAFJP #framework #validation
A Framework for the Validation of Processor Architecture Compliance (AA, SA, LF, IJ, OP), pp. 902–905.
DACDAC-2007-BanerjeeBDN #configuration management #resource management #scheduling
Selective Band width and Resource Management in Scheduling for Dynamically Reconfigurable Architectures (SB, EB, ND, JN), pp. 771–776.
DACDAC-2007-LimKK #communication #distributed #synthesis
Interconnect and Communication Synthesis for Distributed Register-File Microarchitecture (KHL, YK, TK), pp. 765–770.
DACDAC-2007-OstlerC #algorithm #approximate #concurrent #multi #network #thread
Approximation Algorithm for Data Mapping on Block Multi-threaded Network Processor Architectures (CO, KSC), pp. 801–804.
DACDAC-2007-RajnakK #design #distributed #implementation
Computer-aided Architecture Design & Optimized Implementation of Distributed Automotive EE Systems (AR, AK), pp. 556–561.
DACDAC-2007-XuZC #fault #optimisation
SOC Test Architecture Optimization for Signal Integrity Faults on Core-External Interconnects (QX, YZ, KC), pp. 676–681.
DACDAC-2007-ZhangGS #named #optimisation
SODA: Sensitivity Based Optimization of Disk Architecture (YZ, SG, MRS), pp. 865–870.
DACDAC-2007-ZhangSJ #configuration management #design #hybrid #named #optimisation
NanoMap: An Integrated Design Optimization Flow for a Hybrid Nanotube/CMOS Dynamically Reconfigurable Architecture (WZ, LS, NKJ), pp. 300–305.
DACDAC-2007-ZhuGSDK #power management #towards #using
Towards An Ultra-Low-Power Architecture Using Single-Electron Tunneling Transistors (CZ, Z(G, LS, RPD, RGK), pp. 312–317.
DATEDATE-2007-AnisN #debugging #interactive #low cost #using
Interactive presentation: Low cost debug architecture using lossy compression for silicon debug (EA, NN), pp. 225–230.
DATEDATE-2007-ArbeloKLLBSM #configuration management #kernel #video
Mapping control-intensive video kernels onto a coarse-grain reconfigurable architecture: the H.264/AVC deblocking filter (CA, AK, SL, JFL, MB, RS, JYM), pp. 177–182.
DATEDATE-2007-BanerjeeKR #power management #process
Process variation tolerant low power DCT architecture (NB, GK, KR), pp. 630–635.
DATEDATE-2007-BjerregaardSS #scalability
A scalable, timing-safe, network-on-chip architecture with an integrated clock distribution method (TB, MBS, JS), pp. 648–653.
DATEDATE-2007-EachempatiNGVM
Assessing carbon nanotube bundle interconnect for future FPGA architectures (SE, AN, AG, NV, YM), pp. 307–312.
DATEDATE-2007-FeiS #monitoring #set
Microarchitectural support for program code integrity monitoring in application-specific instruction set processors (YF, ZJS), pp. 815–820.
DATEDATE-2007-GolubevaLPM
Architectural leakage-aware management of partitioned scratchpad memories (OG, ML, MP, EM), pp. 1665–1670.
DATEDATE-2007-GrassmannRS #multi #physics #standard
Mapping the physical layer of radio standards to multiprocessor architectures (CG, MR, MS), pp. 1412–1417.
DATEDATE-2007-Jerraya #implementation #modelling
HW/SW implementation from abstract architecture models (AAJ), pp. 1470–1471.
DATEDATE-2007-KhanA #configuration management #implementation #pipes and filters #programmable #realtime
Pipelined implementation of a real time programmable encoder for low density parity check code on a reconfigurable instruction cell architecture (ZK, TA), pp. 349–354.
DATEDATE-2007-Kopetz #embedded
The ARTEMIS cross-domain architecture for embedded systems (HK), pp. 1468–1469.
DATEDATE-2007-KrishnaiahES #configuration management #scalability
Scalable reconfigurable channel decoder architecture for future wireless handsets (GK, NE, SS), pp. 1563–1568.
DATEDATE-2007-MilidonisAPMKG #interactive #memory management
Interactive presentation: A decoupled architecture of processors with scratch-pad memory hierarchy (AM, NA, VP, HM, AK, CEG), pp. 612–617.
DATEDATE-2007-MogalB #reduction
Microarchitecture floorplanning for sub-threshold leakage reduction (HM, KB), pp. 1238–1243.
DATEDATE-2007-MucciVCT #configuration management #implementation #interactive
Interactive presentation: Implementation of AES/Rijndael on a dynamically reconfigurable architecture (CM, LV, FC, MT), pp. 355–360.
DATEDATE-2007-NaculRL #hardware #scheduling
Hardware scheduling support in SMP architectures (ACN, FR, ML), pp. 642–647.
DATEDATE-2007-OstlerC #network
An ILP formulation for system-level application mapping on network processor architectures (CO, KSC), pp. 99–104.
DATEDATE-2007-ParkPBBKD #embedded #performance #pointer
Register pointer architecture for efficient embedded processors (JP, SBP, JDB, DBS, CK, WJD), pp. 600–605.
DATEDATE-2007-PoppNGKP #evaluation #interactive #towards
Interactive presentation: Towards a methodology for the quantitative evaluation of automotive architectures (PP, MDN, PG, SK, CP), pp. 504–509.
DATEDATE-2007-ReshadiG #embedded #low level #programming
Interrupt and low-level programming support for expanding the application domain of statically-scheduled horizontal-microcoded architectures in embedded systems (MR, DG), pp. 1337–1342.
DATEDATE-2007-RhodLC #performance
A low-SER efficient core processor architecture for future technologies (ELR, CALL, LC), pp. 1448–1453.
DATEDATE-2007-SahinH #algorithm #hardware #interactive #performance #predict
Interactive presentation: An efficient hardware architecture for H.264 intra prediction algorithm (ES, IH), pp. 183–188.
DATEDATE-2007-SchamannHLB #algorithm #case study #design #power management
Low power design on algorithmic and architectural level: a case study of an HSDPA baseband digital signal processing system (MS, SH, UL, MB), pp. 1406–1411.
DATEDATE-2007-SheibanyradPG #comparison #implementation #multi #network
Systematic comparison between the asynchronous and the multi-synchronous implementations of a network on chip architecture (AS, IMP, AG), pp. 1090–1095.
DATEDATE-2007-Soderquist
Event driven data processing architecture (IS), pp. 972–976.
DATEDATE-2007-SugiharaIM #multi #reliability #scheduling
Task scheduling for reliable cache architectures of multiprocessor systems (MS, TI, KM), pp. 1490–1495.
DATEDATE-2007-TychopoulosK #communication #optimisation
Optimization of the “FOCUS” Inband-FEC architecture for 10-Gbps SDH/SONET optical communication channels (AT, OGK), pp. 1575–1580.
DATEDATE-2007-YetikSTD #interactive #matlab #optimisation
Interactive presentation: A coefficient optimization and architecture selection tool for SigmaDelta modulators in MATLAB (ÖY, OS, ST, GD), pp. 87–92.
HPCAHPCA-2007-CezeMPT #named
Colorama: Architectural Support for Data-Centric Synchronization (LC, PM, CvP, JT), pp. 133–144.
HPCAHPCA-2007-GaneshJWJ #comprehension #memory management #scalability
Fully-Buffered DIMM Memory Architectures: Understanding Mechanisms, Overheads and Scaling (BG, AJ, DW, BLJ), pp. 109–120.
HPCAHPCA-2007-LeeB #design #modelling
Illustrative Design Space Studies with Microarchitectural Regression Models (BCL, DMB), pp. 340–351.
HPCAHPCA-2007-PascualGAD #fault tolerance #protocol
A Low Overhead Fault Tolerant Coherence Protocol for CMP Architectures (RFP, JMG, MEA, JD), pp. 157–168.
HPCAHPCA-2007-PuttaswamyL #3d
Thermal Herding: Microarchitecture Techniques for Controlling Hotspots in High-Performance 3D-Integrated Processors (KP, GHL), pp. 193–204.
HPCAHPCA-2007-ZhongLM #hybrid #manycore #parallel #thread
Extending Multicore Architectures to Exploit Hybrid Parallelism in Single-thread Applications (HZ, SAL, SAM), pp. 25–36.
HPDCHPDC-2007-GadgilFPP #fault tolerance #scalability
Scalable, fault-tolerant management in a service oriented architecture (HG, GF, SP, MEP), pp. 235–236.
ISMMISMM-2007-Kirk #gpu #parallel
NVIDIA cuda software and gpu parallel computing architecture (DK), pp. 103–104.
LCTESLCTES-2007-AhnLP
Optimistic coalescing for heterogeneous register architectures (MA, JL, YP), pp. 93–102.
LCTESLCTES-2007-YanL #clustering #execution
Stream execution on wide-issue clustered VLIW architectures (SY, BL), pp. 158–160.
PDPPDP-2007-Baz #algorithm #analysis #communication #implementation #message passing #parallel
Communication Study and Implementation Analysis of Parallel Asynchronous Iterative Algorithms on Message Passing Architectures (DEB), pp. 77–83.
PDPPDP-2007-DuarteRL #fault tolerance #functional #testing
Functional Tests of the RADIC Fault Tolerance Architecture (AD, DR, EL), pp. 278–287.
PDPPDP-2007-GoyenecheVAPC #algorithm #distributed #network
A Distributed Data Gathering Algorithm for Wireless Sensor Networks with Uniform Architecture (MG, JEV, JJA, MP, AC), pp. 373–380.
PDPPDP-2007-Kunkel0 #evaluation #performance
Performance Evaluation of the PVFS2 Architecture (JMK, TL), pp. 509–516.
PDPPDP-2007-QianHZLZF #design #float #implementation #stack
Design and Implementation of Floating Point Stack on General RISC Architecture (XQ, HH, HZ, GL, JZ, DF), pp. 238–245.
PPoPPPPoPP-2007-BuehrerPTKS #mining #towards
Toward terabyte pattern mining: an architecture-conscious solution (GB, SP, ST, TMK, JHS), pp. 2–12.
PPoPPPPoPP-2007-Chien #parallel #pervasive #programming
Pervasive parallel computing: an historic opportunity for innovation in programming and architecture (AAC), p. 160.
PPoPPPPoPP-2007-SaraswatSP #concurrent #named #programming
X10: concurrent programming for modern architectures (VAS, VS, CvP), p. 271.
SOSPSOSP-2007-CriswellLDA #execution #operating system
Secure virtual architecture: a safe execution environment for commodity operating systems (JC, AL, DD, VSA), pp. 351–366.
FASEFASE-2007-BarrosDDW #correlation
Correlation Patterns in Service-Oriented Architectures (APB, GD, MD, FW), pp. 245–259.
FASEFASE-2007-EdwardsMM #distributed #dynamic analysis
Scenario-Driven Dynamic Analysis of Distributed Architectures (GE, SM, NM), pp. 125–139.
FASEFASE-2007-PadbergHEMBE #ad hoc #consistency #maintenance #mobile #network
Maintaining Consistency in Layered Architectures of Mobile Ad-Hoc Networks (JP, KH, HE, TM, EB, CE), pp. 383–397.
FASEFASE-2007-TibermacineHK #component #constraints #deployment #distributed
Enforcing Architecture and Deployment Constraints of Distributed Component-Based Software (CT, DH, RK), pp. 140–154.
CBSECBSE-2006-BondarevCW #component #performance #process #trade-off
A Process for Resolving Performance Trade-Offs in Component-Based Architectures (EB, MRVC, PHNdW), pp. 254–269.
CBSECBSE-2006-CervantesC #lightweight #product line #using #workflow
Using a Lightweight Workflow Engine in a Plugin-Based Product Line Architecture (HC, SCV), pp. 198–205.
CBSECBSE-2006-GarciaLDSAFM #component #specification
From Specification to Experimentation: A Software Component Search Engine Architecture (VCG, DL, FAD, ECRS, ESdA, RPdMF, SRdLM), pp. 82–97.
CBSECBSE-2006-PerezACR #aspect-oriented #design
Designing Software Architectures with an Aspect-Oriented Architecture Description Language (JP, NA, JÁC, IR), pp. 123–138.
CBSECBSE-2006-WangAC #design
Architectural Building Blocks for Plug-and-Play System Design (SW, GSA, LAC), pp. 98–113.
QoSAQoSA-2006-BouckeWSH #distributed
Applying the ATAM to an Architecture for Decentralized Control of a Transportation System (NB, DW, KS, TH), pp. 180–198.
QoSAQoSA-2006-BucchiaroneMP #analysis #process
A Practical Architecture-Centric Analysis Process (AB, HM, PP), pp. 127–144.
QoSAQoSA-2006-CorryHS
Traveling Architects — A New Way of Herding Cats (AVC, KMH, DS), pp. 111–126.
QoSAQoSA-2006-FlorentzH #analysis #embedded #evaluation
Embedded Systems Architecture: Evaluation and Analysis (BF, MH), pp. 145–162.
QoSAQoSA-2006-KruchtenLV #reasoning
Building Up and Reasoning About Architectural Knowledge (PK, PL, HvV), pp. 43–58.
QoSAQoSA-2006-LiuGBHA #middleware #named
MEMS: A Method for Evaluating Middleware Architectures (YL, IG, LB, CH, SA), pp. 9–26.
QoSAQoSA-2006-Szyperski #component
Composing with Style — Components Meet Architecture (CAS), p. 2.
QoSAQoSA-2006-WuK #design #safety
Managing Architectural Design Decisions for Safety-Critical Software Systems (WW, TK), pp. 59–77.
QoSAQoSA-2006-ZullighovenLB #analysis #evaluation
Software Architecture Analysis and Evaluation (HZ, CL, MB), pp. 7–8.
TPDLECDL-2006-ChuBPN #research
OAI-PMH Architecture for the NASA Langley Research Center Atmospheric Science Data Center (CC, WEB, JZP, MLN), pp. 524–527.
TPDLECDL-2006-CouncillGIGMP #deployment #flexibility #generative #library #towards
Towards Next Generation CiteSeer: A Flexible Architecture for Digital Library Deployment (IGC, CLG, EDI, MG, MM, AP), pp. 111–122.
TPDLECDL-2006-PodnarLRKA #information retrieval #library #peer-to-peer
A Peer-to-Peer Architecture for Information Retrieval Across Digital Library Collections (IP, TL, MR, FK, KA), pp. 14–25.
JCDLJCDL-2006-BollenS #analysis
An architecture for the aggregation and analysis of scholarly usage data (JB, HVdS), pp. 298–307.
VLDBVLDB-2006-JoshiDZWFLW #image #multimodal #named #query #web
PARAgrab: A Comprehensive Architecture for Web Image Management and Multimodal Querying (DJ, RD, ZZ, WPW, MF, JL, JZW), pp. 1163–1166.
CSEETCSEET-2006-Northrop #education #quality
Let’s Teach Architecting High Quality Software (LMN), p. 5.
ITiCSEITiCSE-2006-IbbettCD #modelling #simulation
Computer architecture simulation models (RNI, JCDyC, DAWD), p. 353.
CSMRCSMR-2006-Capilla
Context-aware Architectures for Building Service-Oriented Systems (RC), pp. 300–303.
CSMRCSMR-2006-GraafWD #migration #model transformation #using
Migrating Supervisory Control Architectures Using Model Transformations (BG, SW, AvD), pp. 153–164.
CSMRCSMR-2006-IvkovicK #framework #model transformation #refactoring #semantics #using
A Framework for Software Architecture Refactoring using Model Transformations and Semantic Annotations (II, KK), pp. 135–144.
CSMRCSMR-2006-KnodelMNL #evaluation
Static Evaluation of Software Architectures (JK, DM, MN, ML), pp. 279–294.
CSMRCSMR-2006-LewisMS #component #legacy #migration #reuse
Analyzing the Reuse Potential of Migrating Legacy Components to a Service-Oriented Architecture (GAL, EJM, DBS), pp. 15–23.
CSMRCSMR-2006-LunguLG #visual notation
Package Patterns for Visual Architecture Recovery (ML, ML, TG), pp. 185–196.
CSMRCSMR-2006-Sneed #legacy
Integrating legacy Software into a Service oriented Architecture (HMS), pp. 3–14.
CSMRCSMR-2006-TonuAT #approach #using
Evaluating Architectural Stability Using a Metric-Based Approach (SAT, AA, LT), pp. 261–270.
CSMRCSMR-2006-WierdaDS #case study #clustering #using
Using Version Information in Architectural Clustering — A Case Study (AW, ED, LJS), pp. 214–228.
ICPCICPC-2006-BullSFL #modelling #visualisation
An Architecture to Support Model Driven Software Visualization (RIB, MADS, JMF, ML), pp. 100–106.
ICPCICPC-2006-SmithOK #comprehension #migration #web #web service
Working Session: Program Comprehension and Migration Strategies for Web Service and Service-Oriented Architectures (DBS, LO, KK), pp. 235–240.
ICSMEICSM-2006-LuciaFSTV #eclipse #legacy #migration #multi
A Strategy and an Eclipse Based Environment for the Migration of Legacy Systems to Multi-tier Web-based Architectures (ADL, RF, GS, GT, NV), pp. 438–447.
ICSMEICSM-2006-ShenM #named
ESDM — A Method for Developing Evolutionary Scenarios for Analysing the Impact of Historical Changes on Architectural Elements (YS, NHM), pp. 45–54.
MSRMSR-2006-YangR #evolution #mining
Scenarios for mining the software architecture evolution (YY, CR), pp. 10–13.
WCREWCRE-2006-KnodelMN #comprehension #empirical #visual notation #visualisation
Understanding Software Architectures by Visualization — An Experiment with Graphical Elements (JK, DM, MN), pp. 39–50.
WCREWCRE-2006-SartipiDS #multi #re-engineering
An Orchestrated Multi-view Software Architecture Reconstruction Environment (KS, ND, HS), pp. 61–70.
CoGCIG-2006-OlenderskiNL #behaviour
A Behavior-Based Architecture for Realistic Autonomous Ship Control (AO, MNN, SJL), pp. 148–155.
CSCWCSCW-2006-JunuzovicD #collaboration #hybrid
Response times in N-user replicated, centralized, and proximity-based hybrid collaboration architectures (SJ, PD), pp. 129–138.
SOFTVISSOFTVIS-2006-ByelasT #diagrams #visualisation
Visualization of areas of interest in software architecture diagrams (HB, ACT), pp. 105–114.
SOFTVISSOFTVIS-2006-KnodelMNZ #empirical #towards #visualisation
Towards empirically validated software architecture visualization (JK, DM, MN, DZ), pp. 187–188.
CAiSECAiSE-2006-TorreLDCA #enterprise
Landscape Maps for Enterprise Architectures (LWNvdT, MML, HWLtD, JTPC, FA), pp. 351–366.
EDOCEDOC-2006-ChanP #modelling #uml
QoS-Aware Model Driven Architecture through the UML and CIM (KC, IP), pp. 345–354.
EDOCEDOC-2006-ErnstLSW #enterprise #tool support
Tool Support for Enterprise Architecture Management — Strengths and Weaknesses (AME, JL, CMS, AW), pp. 13–22.
EDOCEDOC-2006-JohnsonLNS #analysis #diagrams #enterprise
Extended Influence Diagrams for Enterprise Architecture Analysis (PJ, RL, PN, MS), pp. 3–12.
EDOCEDOC-2006-MiaoSC #formal method
Formalizing and analyzing service oriented software architecture style (HM, JS, XC), pp. 387–390.
EDOCEDOC-2006-ShanH
Solution Architecting Mechanism (TCS, WWH), pp. 23–34.
ICEISICEIS-AIDSS-2006-AbdullahPBK #development #information management #knowledge-based #modelling #uml #using
Knowledge Engineering Using the UML Profile — Adopting the Model-Driven Architecture for Knowledge-Based System Development (MSA, RFP, IDB, CK), pp. 74–81.
ICEISICEIS-ISAS-2006-GrimanPGR #case study #collaboration #evaluation #ontology
An Ontology for Architectural Evaluation — Case Study: Collaboration Systems (AG, MAP, JG, MR), pp. 310–315.
ICEISICEIS-ISAS-2006-MansetVMO #approach #automation #generative #grid #modelling
A Formal Architecture-Centric Model-Driven Approach for the Automatic Generation of Grid Applications (DM, HV, RM, FO), pp. 322–330.
ICEISICEIS-ISAS-2006-PourrazVO #approach #evolution
An Architecture-Centric Approach for Managing the Evolution of EAI Services-Oriented Architecture (FP, HV, FO), pp. 234–241.
ICEISICEIS-ISAS-2006-Rotaru #evaluation #evolution
Molding Architecture and Integrity Mechanisms Evolution — An Architectural Stability Evaluation Model for Software Systems (OPR), pp. 426–431.
ICEISICEIS-J-2006-PourrazV #approach #evolution #using
Managing Service-Based EAI Architectures Evolution Using a Formal Architecture-Centric Approach (FP, HV), pp. 269–280.
ICEISICEIS-SAIC-2006-EssmannHG #collaboration #visualisation
An Open Architecture for Collaborative Visualization in Rich Media Environments (BE, TH, FG), pp. 27–34.
ECIRECIR-2006-NottelmannF #network #peer-to-peer #query
Comparing Different Architectures for Query Routing in Peer-to-Peer Networks (HN, NF), pp. 253–264.
SEKESEKE-2006-Benattou #distributed #multi #testing
A Multi-Agent Based Architecture For Distributed Testing (MB), pp. 495–498.
SEKESEKE-2006-CazellaA #data mining #mining #multi #recommendation #research
An architecture based on multi-agent system and data mining for recommending research papers and researchers (SCC, LOCA), pp. 67–72.
SEKESEKE-2006-ChangLK #metric #product line
Key Issues and Metrics for Evaluating Product Line Architectures (SHC, HJL, SDK), pp. 212–219.
SEKESEKE-2006-Ding #mobile
A Formal Architectural Model For Mobile Service Systems (ZD), pp. 670–675.
SEKESEKE-2006-FarenhorstBDLV #domain model #question #what
What’s in Constructing a Domain Model for Sharing Architectural Knowledge? (RF, RCdB, RD, PL, HvV), pp. 108–113.
SEKESEKE-2006-GarlanS
An Architecture for Personal Cognitive Assistance (DG, BRS), pp. 91–97.
SEKESEKE-2006-GokhaleY #analysis #pipes and filters #reliability
Reliability Analysis of Pipe and Filter Architecture Style (SSG, SMY), pp. 625–630.
SEKESEKE-2006-Hwang #information management
Information Services in Service Oriented Architecture-Challenges and Opportunities (KWH), p. 2.
SEKESEKE-2006-LeeK #case study #framework #re-engineering #verification
Verifying a Software Architecture Reconstruction Framework with a Case Study (SL, SK), pp. 102–107.
SEKESEKE-2006-MenolliD
A Data Warehouse Architecture in Layers for Science and Technology (ALAM, MMD), pp. 162–165.
SEKESEKE-2006-OussalahTGS #challenge #component
Updating Styles Challenge Updating Needs within Component-based Software Architectures (MO, DT, OLG, AS), pp. 98–101.
SEKESEKE-2006-TamzalitSO #component #evolution #problem
Evolution problem within Component-Based Software Architecture (DT, NS, MO), pp. 296–301.
ECMFAECMDA-FA-2006-KulkarniR #enterprise #framework #modelling #product line
A Model-Driven Architectural Framework for Integration-Capable Enterprise Application Product Lines (VK, SR), pp. 1–12.
ECMFAECMDA-FA-2006-OuGAYS #development #integration #modelling #ontology
A Model Driven Integration Architecture for Ontology-Based Context Modelling and Context-Aware Application Development (SO, NG, MA, KY, XS), pp. 188–197.
ECMFAECMDA-FA-2006-Pahl #composition #modelling #ontology
Ontology-Based Composition and Transformation for Model-Driven Service Architecture (CP), pp. 198–212.
ECMFAECMDA-FA-2006-Perez-MartinezS #analysis
From Analysis Model to Software Architecture: A PIM2PIM Mapping (JEPM, ASA), pp. 25–39.
MODELSMoDELS-2006-Gomaa #design #modelling #product line #realtime
A Software Modeling Odyssey: Designing Evolutionary Architecture-Centric Real-Time Systems and Product Lines (HG), pp. 1–15.
MODELSMoDELS-2006-HafnerAB #modelling #qvt #security #towards
Towards a MOF/QVT-Based Domain Architecture for Model Driven Security (MH, MA, RB), pp. 275–290.
SPLCSPL-BOOK-2006-ArciniegasDRCBO #evolution #product line #reasoning #security
Architecture Reasoning for Supporting Product Line Evolution: An Example on Security (JLA, JCD, JLR, RC, JBM, MO), pp. 327–372.
SPLCSPL-BOOK-2006-FaegriH #product line #security
A Software Product Line Reference Architecture for Security (TEF, SOH), pp. 275–326.
SPLCSPL-BOOK-2006-HallsteinsenSBF
Dealing with Architectural Variation in Product Populations (SOH, GS, GB, TEF), pp. 245–273.
SPLCSPL-BOOK-2006-Immonen #predict #reliability
A Method for Predicting Reliability and Availability at the Architecture Level (AI), pp. 373–422.
SPLCSPL-BOOK-2006-RommesA #product line
A Scenario-Based Method for Software Product Line Architecting (ER, PA), pp. 3–52.
SPLCSPLC-2006-Gomaa #case study #design #product line #uml
Designing Software Product Lines with UML 2.0: From Use Cases to Pattern-Based Software Architectures (HG), p. 218.
SPLCSPLC-2006-KolbM #product line #quality
Architecture-Centric Quality Engineering form Software Product Lines (RK, DM), p. 226.
MODELSMoDELS-2006-Gomaa #design #modelling #product line #realtime
A Software Modeling Odyssey: Designing Evolutionary Architecture-Centric Real-Time Systems and Product Lines (HG), pp. 1–15.
MODELSMoDELS-2006-HafnerAB #modelling #qvt #security #towards
Towards a MOF/QVT-Based Domain Architecture for Model Driven Security (MH, MA, RB), pp. 275–290.
ECOOPECOOP-2006-IbrahimC #automation #persistent #profiling #traversal
Automatic Prefetching by Traversal Profiling in Object Persistence Architectures (AI, WRC), pp. 50–73.
RERE-2006-BastosCM #requirements
Deriving Architectures from Requirements (LRDB, JC, JM), pp. 332–333.
ASEASE-2006-Abi-AntounANSG #difference
Differencing and Merging of Architectural Views (MAA, JA, NHN, BRS, DG), pp. 47–58.
ASEASE-2006-Dhungana #modelling #product line #variability
Integrated Variability Modeling of Features and Architecture in Software Product Line Engineering (DD), pp. 327–330.
ASEASE-2006-MurtaHW #evolution #implementation #named #traceability
ArchTrace: Policy-Based Support for Managing Evolving Architecture-to-Implementation Traceability Links (LGPM, AvdH, CMLW), pp. 135–144.
ICSEICSE-2006-BabarKG #assessment #distributed #evaluation #process #towards
Towards a distributed software architecture evaluation process: a preliminary assessment (MAB, BK, IG), pp. 845–848.
ICSEICSE-2006-Grunske #design #identification #multi #optimisation
Identifying “good” architectural design alternatives with multi-objective optimization strategies (LG), pp. 849–852.
ICSEICSE-2006-KrugerMM #aspect-oriented #performance #using
Efficient exploration of service-oriented architectures using aspects (IHK, RM, MM), pp. 62–71.
ICSEICSE-2006-Lemos #perspective #re-engineering
Software architectures for dependable systems: a software engineering perspective (RdL), pp. 1065–1066.
ICSEICSE-2006-MandelinKY #approach #diagrams #modelling
A Bayesian approach to diagram matching with application to architectural models (DM, DK, DMY), pp. 222–231.
ICSEICSE-2006-MattmannCMH #data-driven #distributed #framework
A software architecture-based framework for highly distributed and data intensive scientific applications (CM, DJC, NM, SH), pp. 721–730.
ICSEICSE-2006-RoshandelBCMG #component #modelling #reliability
Estimating software component reliability by leveraging architectural models (RR, SB, LC, NM, LG), pp. 853–856.
ICSEICSE-2006-SuryanarayanaDET #distributed #modelling #trust
Architectural support for trust models in decentralized applications (GS, MHD, JRE, RNT), pp. 52–61.
ICSEICSE-2006-WoollardM #parallel #symmetry
An architectural style for high-performance asymmetrical parallel computations (DW, NM), pp. 857–860.
SACSAC-2006-CaceresFOV #coordination #peer-to-peer #semantics
An abstract architecture for semantic service coordination in agent-based intelligent peer-to-peer environments (CC, AF, SO, MV), pp. 447–448.
SACSAC-2006-ColomboPR #formal method #modelling #realtime #uml
A UML 2-compatible language and tool for formal modeling real-time system architectures (PC, MP, MR), pp. 1785–1790.
SACSAC-2006-CortellessaMI #modelling #performance
Software performance model-driven architecture (VC, ADM, PI), pp. 1218–1223.
SACSAC-2006-DamianiB #data access
Architectural issues for a location-aware role-based access control system (MLD, EB), pp. 1184–1185.
SACSAC-2006-ErshovNM #precise
Quad and correctly rounded double precision math functions: portable and optimized for Intel architectures (AE, AN, SM), pp. 1310–1317.
SACSAC-2006-JouaultK #atl #on the #qvt
On the architectural alignment of ATL and QVT (FJ, IK), pp. 1188–1195.
SACSAC-2006-KacemKJD #uml #using
Describing dynamic software architectures using an extended UML model (MHK, AHK, MJ, KD), pp. 1245–1249.
SACSAC-2006-LeistZ #evaluation #framework
Evaluation of current architecture frameworks (SL, GZ), pp. 1546–1553.
SACSAC-2006-SchiavoniQ #component #programming #tool support
A posteriori defensive programming: an annotation toolkit for DoS-resistant component-based architectures (VS, VQ), pp. 1734–1738.
SACSAC-2006-SousaNV
Proactive resilience through architectural hybridization (PS, NFN, PV), pp. 686–690.
SACSAC-2006-TibermacineFS #constraints
Simplifying transformation of software architecture constraints (CT, RF, SS), pp. 1240–1244.
ASPLOSASPLOS-2006-CoonsCBMK #algorithm #scheduling
A spatial path scheduling algorithm for EDGE architectures (KEC, XC, DB, KSM, SKK), pp. 129–140.
ASPLOSASPLOS-2006-EyermanEKS #component #performance
A performance counter architecture for computing accurate CPI components (SE, LE, TK, JES), pp. 175–184.
ASPLOSASPLOS-2006-IpekMCSS #design #modelling #predict
Efficiently exploring architectural design spaces via predictive modeling (EI, SAM, RC, BRdS, MS), pp. 195–206.
ASPLOSASPLOS-2006-LeeB #modelling #performance #predict
Accurate and efficient regression modeling for microarchitectural performance and power prediction (BCL, DMB), pp. 185–194.
ASPLOSASPLOS-2006-MercaldiSPPSOE #data flow #scheduling
Instruction scheduling for a tiled dataflow architecture (MM, SS, AP, AP, AS, MO, SJE), pp. 141–150.
ASPLOSASPLOS-2006-PatwardhanJDL #fault #self
A defect tolerant self-organizing nanoscale SIMD architecture (JPP, VJ, CD, ARL), pp. 241–251.
ASPLOSASPLOS-2006-Rosenblum #operating system
Impact of virtualization on computer architecture and operating systems (MR), p. 1.
ASPLOSASPLOS-2006-SchuchmanV #program transformation #quantum
A program transformation and architecture support for quantum uncomputation (ES, TNV), pp. 252–263.
CASECASE-2006-TangWQ #middleware
A Middleware for Open CNC Architecture (QT, YW, GQ), pp. 558–561.
CASECASE-2006-WuXZ #flexibility
Service-oriented software architecture for flexible manufacturing control system (BW, LX, BHZ), pp. 425–430.
CASECASE-2006-XuL #3d #optimisation #parallel
Accuracy-Based Architecture Optimization of a 3-DOF Parallel Kinematic Machine (QX, YL), pp. 63–68.
CCCC-2006-LiuW #compilation #optimisation #performance #perspective
Performance Characterization of the 64-bit x86 Architecture from Compiler Optimizations’ Perspective (JL, YW), pp. 155–169.
CGOCGO-2006-HazelwoodC #interface
A Cross-Architectural Interface for Code Cache Manipulation (KMH, RSC), pp. 17–27.
CGOCGO-2006-SmithGMNYBMB #compilation
Compiling for EDGE Architectures (AS, JG, BAM, NN, BY, DB, KSM, JHB), pp. 185–195.
CGOCGO-2006-WentzlaffA
Constructing Virtual Architectures on a Tiled Processor (DW, AA), pp. 173–184.
DACDAC-2006-AroraRRSJC #mobile #multi #security
Software architecture exploration for high-performance security processing on a multiprocessor mobile SoC (DA, AR, SR, MS, NKJ, STC), pp. 496–501.
DACDAC-2006-BrierM #c #c++ #modelling #using #verification
Use of C/C++ models for architecture exploration and verification of DSPs (DB, RSM), pp. 79–84.
DACDAC-2006-BurginCHMMSKFF #adaptation #algorithm #implementation #power management #trade-off
Low-power architectural trade-offs in a VLSI implementation of an adaptive hearing aid algorithm (FB, FC, MH, HM, RMP, RS, HK, NF, WF), pp. 558–561.
DACDAC-2006-CortadellaKG #synthesis
Synthesis of synchronous elastic architectures (JC, MK, BG), pp. 657–662.
DACDAC-2006-DadgourJB #novel #power management
A novel variation-aware low-power keeper architecture for wide fan-in dynamic gates (HFD, RVJ, KB), pp. 977–982.
DACDAC-2006-GopalakrishnanLP #metric #using
Architecture-aware FPGA placement using metric embedding (PG, XL, LTP), pp. 460–465.
DACDAC-2006-InoueIKSE #mobile #named
VIRTUS: a new processor virtualization architecture for security-oriented next-generation mobile terminals (HI, AI, MK, JS, ME), pp. 484–489.
DACDAC-2006-KhatibPBBBKJN #analysis #design #monitoring #multi #realtime
A multiprocessor system-on-chip for real-time biomedical monitoring and analysis: architectural design space exploration (IAK, FP, DB, LB, MB, HK, AJ, RN), pp. 125–130.
DACDAC-2006-NabaaAN #adaptation #process
An adaptive FPGA architecture with process variation compensation and reduced leakage (GN, NA, FNN), pp. 624–629.
DACDAC-2006-RaoOK #logic
Topology aware mapping of logic functions onto nanowire-based crossbar architectures (WR, AO, RK), pp. 723–726.
DACDAC-2006-VermaI #automation #towards
Towards the automatic exploration of arithmetic-circuit architectures (AKV, PI), pp. 445–450.
DACDAC-2006-ZhangJS #configuration management #hybrid #named
NATURE: a hybrid nanotube/CMOS dynamically reconfigurable architecture (WZ, NKJ, LS), pp. 711–716.
DATEDATE-2006-AhnYPKKC #algorithm #configuration management
A spatial mapping algorithm for heterogeneous coarse-grained reconfigurable architectures (MA, JWY, YP, YK, MK, KC), pp. 363–368.
DATEDATE-2006-BhaduriSCTGG #analysis #design #fault tolerance #framework #hybrid
A hybrid framework for design and analysis of fault-tolerant architectures (DB, SKS, DC, VET, PSG, MG), pp. 335–336.
DATEDATE-2006-BiswasDIP #automation #functional #identification
Automatic identification of application-specific functional units with architecturally visible storage (PB, NDD, PI, LP), pp. 212–217.
DATEDATE-2006-ChakrapaniACKPS #embedded #probability
Ultra-efficient (embedded) SOC architectures based on probabilistic CMOS (PCMOS) technology (LNC, BESA, SC, PK, KVP, BS), pp. 1110–1115.
DATEDATE-2006-Degardins #assessment
Electric and electronic vehicle architecture assessment (PD), p. 558.
DATEDATE-2006-DensmoreDS #analysis #performance
FPGA architecture characterization for system level performance analysis (DD, AD, ALSV), pp. 734–739.
DATEDATE-2006-HealyVEBLLL #performance #trade-off
Microarchitectural floorplanning under performance and thermal tradeoff (MBH, MV, ME, CSB, SKL, HHSL, GHL), pp. 1288–1293.
DATEDATE-2006-HerkersdorfS #flexibility #named
AutoVision: flexible processor architecture for video-assisted driving (AH, WS), p. 556.
DATEDATE-2006-KanajanZPS #distributed #integration #trade-off #using
Exploring trade-off’s between centralized versus decentralized automotive architectures using a virtual integration environment (SK, HZ, CP, ALSV), pp. 548–553.
DATEDATE-2006-KlingaufGG #named #transaction
TRAIN: a virtual transaction layer architecture for TLM-based HW/SW codesign of synthesizable MPSoC (WK, HG, RG), pp. 1318–1323.
DATEDATE-2006-LiuBCLM #hardware #performance
Hardware efficient architectures for Eigenvalue computation (YL, CSB, PYKC, PHWL, SJM), pp. 953–958.
DATEDATE-2006-NascimentoL #clustering #complexity #configuration management #image
Temporal partitioning for image processing based on time-space complexity in reconfigurable architectures (PSBdN, MEdL), pp. 375–380.
DATEDATE-2006-OgrasMLC #communication #optimisation
Communication architecture optimization: making the shortest path shorter in regular networks-on-chip (ÜYO, RM, HGL, NC), pp. 712–717.
DATEDATE-2006-PasrichaD #communication #memory management #named
COSMECA: application specific co-synthesis of memory and communication architectures for MPSoC (SP, NDD), pp. 700–705.
DATEDATE-2006-RaghavanLJCV #distributed #multi #thread
Distributed loop controller architecture for multi-threading in uni-threaded VLIW processors (PR, AL, MJ, FC, DV), pp. 339–344.
DATEDATE-2006-ReddyWM #nondeterminism
Analyzing timing uncertainty in mesh-based clock architectures (SMR, GRW, RM), pp. 1097–1102.
DATEDATE-2006-RobellySCF #design #energy #performance #programmable #trade-off
Energy efficiency vs. programmability trade-off: architectures and design principles (PR, HS, KCC, GF), pp. 587–592.
DATEDATE-2006-SchusterNPF #power management
Architectural and technology influence on the optimal total power consumption (CS, JLN, CP, PAF), pp. 989–994.
DATEDATE-2006-SethuramanV #automation #generative #multi #named #using
optiMap: a tool for automated generation of noc architectures using multi-port routers for FPGAs (BS, RV), pp. 947–952.
DATEDATE-2006-Shaver #deployment #generative
Next generation architectures can dramatically reduce the 4G deployment cycle (DS), p. 599.
DATEDATE-2006-SrinivasanC #complexity #design #heuristic
A low complexity heuristic for design of custom network-on-chip architectures (KS, KSC), pp. 130–135.
DATEDATE-2006-StreubuhrFHTDS #modelling #multi #performance #realtime
Task-accurate performance modeling in SystemC for real-time multi-processor architectures (MS, JF, CH, JT, RD, TS), pp. 480–481.
DATEDATE-2006-WildHO #evaluation #performance #simulation #transaction #using
Performance evaluation for system-on-chip architectures using trace-based transaction level simulation (TW, AH, RO), pp. 248–253.
DATEDATE-2006-X #design #tool support
4G applications, architectures, design methodology and tools for MPSoC, pp. 830–831.
DATEDATE-2006-XueOLKK #clustering #embedded #memory management
Dynamic partitioning of processing and memory resources in embedded MPSoC architectures (LX, ÖÖ, FL, MTK, IK), pp. 690–695.
DATEDATE-DF-2006-AarajRRJ #authentication #embedded #performance
Architectures for efficient face authentication in embedded systems (NA, SR, AR, NKJ), pp. 1–6.
DATEDATE-DF-2006-AkselrodAA #debugging #framework #independence #multi #platform #security
Platform independent debug port controller architecture with security protection for multi-processor system-on-chip ICs (DA, AA, YA), pp. 30–35.
DATEDATE-DF-2006-BononiC #2d #analysis #network #simulation
Simulation and analysis of network on chip architectures: ring, spidergon and 2D mesh (LB, NC), pp. 154–159.
DATEDATE-DF-2006-DavilaTSSBR #algorithm #configuration management #design #implementation
Design and implementation of a rendering algorithm in a SIMD reconfigurable architecture (MorphoSys) (JD, AdT, JMS, MSE, NB, FR), pp. 52–57.
DATEDATE-DF-2006-IaconoZMPSB #multi #standard
ASIP architecture for multi-standard wireless terminals (DLI, JZ, EM, NP, GS, AB), pp. 118–123.
DATEDATE-DF-2006-MadingLPSBEH #fixpoint
The vector fixed point unit of the synergistic processor element of the cell architecture processor (NM, JL, JP, RS, SB, SE, WH), pp. 244–248.
DATEDATE-DF-2006-SteenhofDNGL #network
Networks on chips for high-end consumer-electronics TV system architectures (FS, HD, BN, KG, RPL), pp. 148–153.
HPCAHPCA-2006-ConstantinidesPBZBMAO #named
BulletProof: a defect-tolerant CMP switch architecture (KC, SP, JAB, BZ, VB, SAM, TMA, MO), pp. 5–16.
HPCAHPCA-2006-Shaw #biology
New architectures for a new biology (DES), p. 4.
HPCAHPCA-2006-ShiFGLZY #in memory #memory management #named #security
InfoShield: a security architecture for protecting information usage in memory (WS, JBF, GG, HHSL, YZ, JY), pp. 222–231.
HPDCHPDC-2006-BernardiCFJK #clustering #geometry #grid
Geometrical Interpretation for Data partitioning on a Grid Architecture (DB, CC, HF, MJ, MK), pp. 355–356.
HPDCHPDC-2006-dAnfrayS
RENATER dark fibre project architecture (Pd, FS), pp. 383–384.
LCTESLCTES-2006-FarfelederKSB #compilation #effectiveness #generative
Effective compiler generation by architecture description (SF, AK, ES, FB), pp. 145–152.
LCTESLCTES-2006-YanSG #configuration management #estimation #implementation
Area and delay estimation for FPGA implementation of coarse-grained reconfigurable architectures (LY, TS, NG), pp. 182–188.
LCTESLCTES-2006-ZhangQWZZ #compilation #multi #optimisation
Optimizing compiler for shared-memory multiple SIMD architecture (WZ, XQ, YW, BZ, CZ), pp. 199–208.
PDPPDP-2006-AlbrechtFKM #configuration management #named #network
DynaCORE — A Dynamically Reconfigurable Coprocessor Architecture for Network Processors (CA, JF, RK, EM), pp. 101–108.
PDPPDP-2006-KhanliA #grid #named
Grid-JQA — A New Architecture for QoS-Guaranteed Grid Computing System (LMK, MA), pp. 268–271.
PPoPPPPoPP-2006-ChanGGT #communication #multi
Collective communication on architectures that support simultaneous communication over multiple links (EC, RAvdG, WG, RT), pp. 2–11.
PPoPPPPoPP-2006-KahanK #exclamation #memory management #parallel #quote #thread
“MAMA!”: a memory allocator for multithreaded architectures (SK, PK), pp. 178–186.
FASEFASE-2006-FiadeiroL #approach #formal method
A Formal Approach to Event-Based Architectures (JLF, AL), pp. 18–32.
FATESFATES-RV-2006-FlanaganF
Dynamic Architecture Extraction (CF, SNF), pp. 209–224.
ISSTAISSTA-2006-KiviluomaKM #aspect-oriented #behaviour #monitoring #runtime #using
Run-time monitoring of architecturally significant behaviors using behavioral profiles and aspects (KK, JK, TM), pp. 181–190.
MBTMBT-2006-KervinenMK #testing #using
Controlling Testing Using Three-Tier Model Architecture (AK, MM, MK), pp. 53–66.
CBSECBSE-2005-Beznosov #case study #component #design #experience #implementation #web #web service
Experience Report: Design and Implementation of a Component-Based Protection Architecture for ASP.NET Web Services (KB), pp. 337–352.
CBSECBSE-2005-LanHMWMZC #component #deployment #scalability
Architecture Based Deployment of Large-Scale Component Based Systems: The Tool and Principles (LL, GH, LM, MW, HM, LZ, YC), pp. 123–138.
CBSECBSE-2005-SharmaJT #performance
Evaluating Performance Attributes of Layered Software Architecture (VSS, PJ, KST), pp. 66–81.
CBSECBSE-2005-TournierBO #component
Qinna, a Component-Based QoS Architecture (JCT, JPB, VO), pp. 107–122.
WICSAWICSA-2005-Abi-AntounAGSN #automation #concept #implementation #incremental
Semi-Automated Incremental Synchronization between Conceptual and Implementation Level Architectures (MAA, JA, DG, BRS, NHN), pp. 265–268.
WICSAWICSA-2005-Abi-AntounC #case study #incremental #legacy #re-engineering
A Case Study in Incremental Architecture-Based Re-engineering of a Legacy Application (MAA, WC), pp. 159–168.
WICSAWICSA-2005-AkermanT #ontology
Position on Ontology-Based Architecture (AA, JT), pp. 289–290.
WICSAWICSA-2005-AnderssonEL #named
DMDA — A Dynamic Service Architecture for Scientific Computing (JA, ME, WL), pp. 297–299.
WICSAWICSA-2005-BaraisLMD
Providing Support for Safe Software Architecture Transformations (OB, JLL, AFLM, LD), pp. 201–202.
WICSAWICSA-2005-BhattacharyaP #component #predict #specification
Predicting Architectural Styles from Component Specifications (SB, DEP), pp. 231–232.
WICSAWICSA-2005-Booch
Quantitative Observation and Theoretical Construction in Software Architecture (GB), p. 3.
WICSAWICSA-2005-BrownBGSK #development #embedded #product line
Feature-Guided Architecture Development for Embedded System Families (TJB, RB, CG, ITAS, PK), pp. 223–226.
WICSAWICSA-2005-Chikofsky #on the #reverse engineering
On the Meeting of Software Architecture and Reverse Engineering (EJC), pp. 17–24.
WICSAWICSA-2005-Christensen #framework #prototype #towards
Towards an Operational Framework for Architectural Prototyping (HBC), pp. 301–302.
WICSAWICSA-2005-Clements #documentation
Software Architecture Documentation in Practice Session Report (PCC), pp. 257–258.
WICSAWICSA-2005-Garlan #analysis #evaluation
Software Architecture Evaluation and Analysis Session Report (DG), pp. 227–228.
WICSAWICSA-2005-GeorgantasMBIKKGM
The Amigo Service Architecture for the Open Networked Home Environment (NG, SBM, YDB, VI, JK, JK, AG, RM), pp. 295–296.
WICSAWICSA-2005-GraafWD #migration
Migration of Supervisory Machine Control Architectures (BG, SW, AvD), pp. 261–262.
WICSAWICSA-2005-Hofmeister
Architecting Session Report (CH), pp. 209–210.
WICSAWICSA-2005-HofmeisterKNORA #design #industrial
Generalizing a Model of Software Architecture Design from Five Industrial Approaches (CH, PK, RLN, JHO, AR, PA), pp. 77–88.
WICSAWICSA-2005-IngstrupH #approach #declarative
A Declarative Approach to Architectural Reflection (MI, KMH), pp. 149–158.
WICSAWICSA-2005-JamwalI #flexibility
Breakable Objects: Building Blocks for Flexible Application Architectures (VJ, SI), pp. 217–218.
WICSAWICSA-2005-JansenB #design #set
Software Architecture as a Set of Architectural Design Decisions (AJ, JB), pp. 109–120.
WICSAWICSA-2005-JiangW #enterprise
Service-Oriented Architecture for Deploying and Integrating Enterprise Applications (MJ, AW), pp. 272–273.
WICSAWICSA-2005-Klein #how #question
How Does the Architect’s Role Change as the Software Ages? (JK), p. 141.
WICSAWICSA-2005-KnodelLM #evaluation #summary
Static Evaluation of Software Architectures — A Short Summary (JK, ML, DM), pp. 237–238.
WICSAWICSA-2005-Koschke #reverse engineering #what
What Architects Should Know About Reverse Engineering and Rengineering (RK), pp. 4–10.
WICSAWICSA-2005-KruchtenLVW
Building up and Exploiting Architectural Knowledge (PK, PL, HvV, TW), pp. 291–292.
WICSAWICSA-2005-LandBLC #case study #experience #industrial #integration
Architectural Concerns When Selecting an In-House Integration Strategy — Experiences from Industry (RL, LB, SL, IC), pp. 274–275.
WICSAWICSA-2005-LiLC #reliability
Octopus Architecture: A New Attempt to Achieve Reliable OS (HL, XL, PC), pp. 197–198.
WICSAWICSA-2005-LungZG #what
Reflection on Software Architecture Practices — What Works, What Remains to Be Seen, and What Are the Gaps (CHL, MZ, NG), pp. 221–222.
WICSAWICSA-2005-Matinlassi #model transformation #quality
Quality-Driven Software Architecture Model Transformation (MM), pp. 199–200.
WICSAWICSA-2005-OlumofinM #evaluation #product line
Extending the ATAM Architecture Evaluation to Product Line Architectures (FGO, VBM), pp. 45–56.
WICSAWICSA-2005-PerezLCCR #approach #aspect-oriented #coordination
Coordination in Software Architectures: an Aspect-Oriented Approach (JP, ML, JÁC, JHCC, IR), pp. 219–220.
WICSAWICSA-2005-PiattiniCA #quality #research
Classifying Software Architecture Quality Research (MP, CC, HA), pp. 195–196.
WICSAWICSA-2005-PoortAKW
Successful Architecture for Short Message Service Center (ERP, HA, AK, PHNdW), pp. 299–300.
WICSAWICSA-2005-RatcliffeCO #case study #design #monitoring
Case Study on Architecture-Centered Design for Monitoring Views at CERN (OR, SC, FO), pp. 213–214.
WICSAWICSA-2005-Riva #logic #re-engineering
Bridging the Concrete and Logical Domains for Software Architecture Reconstruction (CR), pp. 263–264.
WICSAWICSA-2005-RommesPA
Measuring Architecting Effort (ER, AP, PA), pp. 229–230.
WICSAWICSA-2005-Rosso #embedded #memory management #product line #realtime
Dynamic Memory Management for Software Product Family Architectures in Embedded Real-Time Systems (CDR), pp. 211–212.
WICSAWICSA-2005-SagarduiAE #experience
The ADOV Method: an Experience in Selecting the Relevant Views of an Architecture in a SME (GSM, GA, LE), pp. 259–260.
WICSAWICSA-2005-SantosC #approach #multi
An MDA Approach for a Multi-Layered Satellite On-Board Software Architecture (WADS, AMdC), pp. 253–256.
WICSAWICSA-2005-Schwanke #named #process #requirements
GEAR: A Good Enough Architectural Requirements Process (RWS), pp. 57–66.
WICSAWICSA-2005-ShaikAGSZAMF #co-evolution #design #quality
Change Propagation for Assessing Design Quality of Software Architectures (IPS, WA, RG, MS, AZ, HHA, AM, CPF), pp. 205–208.
WICSAWICSA-2005-ShawV #education
Software Architecture Education Session Report (MS, HvV), pp. 185–190.
WICSAWICSA-2005-SmithS #analysis #automation
Extending SPQR to Architectural Analysis by Semi-Automated Training (JMS, PDS), pp. 239–242.
WICSAWICSA-2005-Sotirovski
An Architecture and Its Rationale (DMS), pp. 287–288.
WICSAWICSA-2005-TangBGH #design #documentation #overview
A Survey of the Use and Documentation of Architecture Design Rationale (AT, MAB, IG, JH), pp. 89–98.
WICSAWICSA-2005-TangJHN #design #impact analysis #network #predict
Predicting Change Impact in Architecture Design with Bayesian Belief Networks (AT, YJ, JH, AEN), pp. 67–76.
WICSAWICSA-2005-TekinerdoganSA #analysis #reliability #using
Software Architecture Reliability Analysis Using Failure Scenarios (BT, HS, MA), pp. 203–204.
WICSAWICSA-2005-TibermacineFS #component #development #process
Preserving Architectural Choices throughout the Component-based Software Development Process (CT, RF, SS), pp. 121–130.
WICSAWICSA-2005-TivoliG #adaptation #component #synthesis
Adaptor Synthesis for Protocol-Enhanced Component Based Architectures (MT, DG), pp. 276–277.
WICSAWICSA-2005-Tyree #design
Architectural Design Decisions Session Report (JT), pp. 285–286.
WICSAWICSA-2005-VasconcelosW #approach #clustering #independence #set #towards
Towards a Set of Application Independent Clustering Criteria within an Architecture Recovery Approach (APVdV, CW), pp. 235–236.
WICSAWICSA-2005-WangSM #analysis #named
ACCA: An Architecture-Centric Concern Analysis Method (ZW, KS, NHM), pp. 99–108.
WICSAWICSA-2005-WoodsH
Architecture Description Languages in Practice Session Report (EW, RH), pp. 243–246.
WICSAWICSA-2005-WoodsR #using
Using Architectural Perspectives (EW, NR), pp. 25–35.
TPDLECDL-2005-PhelpsW #documentation
A No-Compromises Architecture for Digital Document Preservation (TAP, PBW), pp. 266–277.
TPDLECDL-2005-TryfonopoulosIK #distributed #library #named
LibraRing: An Architecture for Distributed Digital Libraries Based on DHTs (CT, SI, MK), pp. 25–36.
ICDARICDAR-2005-KumarJ #configuration management #hybrid #recognition
Configurable Hybrid Architectures for Character Recognition Applications (MNSSKPK, CVJ), pp. 1199–1205.
SIGMODSIGMOD-2005-Campbell #database #question
Service Oriented Database Architecture: APP server-lite? (DC), pp. 857–862.
SIGMODSIGMOD-2005-MurthyLKCTSFKAAK #enterprise #towards #xml
Towards an enterprise XML architecture (RM, ZHL, MK, SC, ATT, ES, DF, SK, NA, VA, VK), pp. 953–957.
SIGMODSIGMOD-2005-Patrick #enterprise
Impact of SOA on enterprise information architectures (PP), pp. 844–848.
SIGMODSIGMOD-2005-Sikka #challenge #data transformation #metadata
Data and metadata management in service-oriented architectures: some open challenges (VS), pp. 849–850.
CSEETCSEET-2005-LagoV #education
Teaching a Course on Software Architecture (PL, HvV), pp. 35–42.
CSEETCSEET-2005-LutzS #education #re-engineering
Infusing Software Architecture into Software Engineering Curricula (MJL, AS), p. 259.
CSEETCSEET-2005-WangS #analysis #student #using
Using Post Mortem Analysis to Evaluate Software Architecture Student Projects (AIW, TS), pp. 43–50.
ITiCSEITiCSE-2005-Christensen05a #complexity #java #named
TS-05: 150 lines of java with high architectural complexity (HBC), p. 396.
CSMRCSMR-2005-GraafDD #case study #embedded #experience #industrial
Evaluating an Embedded Software Reference Architecture — Industrial Experience Report (BG, HWvD, AvD), pp. 354–363.
CSMRCSMR-2005-KnodelFG #design #product line
Comparing Design Alternatives from Field-Tested Systems to Support Product Line Architecture Design (JK, TF, JFG), pp. 344–353.
CSMRCSMR-2005-Li #abstraction #design #object-oriented
Dynamic Model Design Recovery and Architecture Abstraction of Object Oriented Software (QL), pp. 284–287.
ICSMEICSM-2005-BrandKVV #pretty-printing
An Architecture for Context-Sensitive Formatting (MvdB, ATK, JJV, NPV), pp. 631–634.
ICSMEICSM-2005-GrosskurthG #web
A Reference Architecture for Web Browsers (AG, MWG), pp. 661–664.
ICSMEICSM-2005-Maciaszek #enterprise #information management
Developing Supportable Enterprise Information Systems — Architectural, Managerial, and Engineering Imperatives (LAM), pp. 721–722.
IWPCIWPC-2005-HendricksonDT #approach #comprehension
An (Architecture-Centric) Approach for Tracing, Organizing, and Understanding Events in Event-Based Software Architectures (SAH, EMD, RNT), pp. 227–236.
IWPCIWPC-2005-Sim #social
A Small Social History of Software Architecture (SES), pp. 341–344.
IWPCIWPC-2005-SynytskyyHD
Browsing Software Architectures With LSEdit (NS, RCH, IJD), pp. 176–178.
WCREWCRE-2005-CanforaP #testing
Architecting, Analyzing and Testing Service-Oriented Systems (GC, MDP), p. 237.
WCREWCRE-2005-HassanJH
Source versus Object Code Extraction for Recovering Software Architecture (AEH, ZMJ, RCH), pp. 67–76.
WCREWCRE-2005-LiCHCY #abstraction #process
Architecture Recovery and Abstraction from the Perspective of Processes (QL, HC, SH, PC, ZY), pp. 57–66.
WCREWCRE-2005-MaltonH #comprehension
Boxology of NBA and TA: A Basis for Understanding Software Architecture (AJM, RCH), pp. 187–195.
WCREWCRE-2005-Newcomb #legacy
Architecture-Driven Modernization (ADM) (PN), p. 237.
FMFM-2005-BasinKTW #verification
Verification of a Signature Architecture with HOL-Z (DAB, HK, KT, BW), pp. 269–285.
AIIDEAIIDE-2005-DillP
A Goal-Based Architecture for Opposing Player AI (KD, DP), pp. 33–38.
AIIDEAIIDE-2005-MateasS #interactive
Structuring Content in the Façade Interactive Drama Architecture (MM, AS), pp. 93–98.
AIIDEAIIDE-2005-Orkin #game studies #realtime
Agent Architecture Considerations for Real-Time Planning in Games (JO), pp. 105–110.
DiGRADiGRA-2005-GilTR #case study #experience #game studies #multi #online #scalability
Architecting Scalability for Massively Multiplayer Online Gaming Experiences (RG, JT, LR).
DiGRADiGRA-2005-PeinadoGG #game studies #persistent
A Game Architecture for Emergent Story-Puzzles in a Persistent World (FP, PPGM, MAGM).
DiGRADiGRA-2005-RhalibiCE #game studies #multi
Game Engineering for a Multiprocessor Architecture (AER, SC, DE).
DiGRADiGRA-2005-Walz #design #game studies #research
Research Issues in ArchITectural Game Design (SPW).
SOFTVISSOFTVIS-2005-GestwickiJ
Methodology and architecture of JIVE (PVG, BJ), pp. 95–104.
VISSOFTVISSOFT-2005-AndriyevskaDSM #diagrams #layout #uml
Evaluating UML Class Diagram Layout based on Architectural Importance (OA, ND, BS, JIM), pp. 14–19.
VISSOFTVISSOFT-2005-ErbenL #named
SAB — The Software Architecture Browser (NE, KPL), pp. 2–7.
VISSOFTVISSOFT-2005-GallagherHM #assessment #framework #visualisation
A Framework for Software Architecture Visualization Assessment (KG, AH, MM), pp. 76–81.
VISSOFTVISSOFT-2005-TermeerLTC #metric #visual notation
Visual Exploration of Combined Architectural and Metric Information (MT, CFJL, ACT, MRVC), pp. 21–26.
CAiSECAiSE-2005-RaadtHV #assessment #maturity
Alignment and Maturity Are Siblings in Architecture Assessment (BvdR, JFH, HvV), pp. 357–371.
EDOCEDOC-2005-ComuzziP #flexibility #web #web service
An Architecture for Flexible Web Service QoS Negotiation (MC, BP), pp. 70–82.
ICEISICEIS-v1-2005-DabousRYA #design
Estimating Patterns Consequences for the Architectural Design of E-Business Applications (FTD, FAR, HY, TAN), pp. 248–254.
ICEISICEIS-v1-2005-ManicaCD #semantics
An Architecture for Location-Dependent Semantic Cache Management (HM, MSdC, MARD), pp. 320–325.
ICEISICEIS-v1-2005-SoeANNT #framework #parallel #query
A Framework for Parallel Query Processing on Grid-Based Architecture (KMS, TNA, AAN, TTN, NT), pp. 203–208.
ICEISICEIS-v1-2005-Soley #modelling
Model Driven Architecture: Next Steps (RMS), p. 3.
ICEISICEIS-v3-2005-HafnerBB #security #standard #web #web service #workflow
A Security Architecture for Inter-Organizational Workflows: Putting Security Standards for Web Services Together (MH, RB, MB), pp. 128–135.
ICEISICEIS-v3-2005-KacemJKD #comparison #evaluation
Evaluation and Comparison of ADL Based Approaches for the Description of Dynamic of Software Architectures (MHK, MJ, AHK, KD), pp. 189–195.
ICEISICEIS-v3-2005-Shah #approach #enterprise #integration #modelling #realtime
Model Driven Architecture Based Real-Time Enterprise Information Integration — An Approach and Impact on Businesses (VSS), pp. 167–173.
ICEISICEIS-v3-2005-XuP #design #information management
Threat-Driven Architectural Design of Secure Information Systems (DX, JJP), pp. 136–143.
ICEISICEIS-v4-2005-BoppH #distributed #kernel #mobile
A Microkernel Architecture for Distributed Mobile Environments (TB, TH), pp. 151–156.
ICEISICEIS-v4-2005-YiZZ #ad hoc #detection #mobile #network #using
An Architecture for Intrusion Detection and Active Response Using Autonomous Agents in Mobile Ad Hoc Networks (PY, SZ, YZ), pp. 220–226.
ICEISICEIS-v5-2005-Fernandez-CaballeroGBL #adaptation #distance #learning
Distance Learning by Intelligent Tutoring System. Part I: Agent-Based Architecture for User-Centred Adaptivity (AFC, JMG, FB, EL), pp. 75–82.
ECIRECIR-2005-CachedaCPO #analysis #distributed #information retrieval #network
Network Analysis for Distributed Information Retrieval Architectures (FC, VC, VP, IO), pp. 527–529.
KDDKDD-2005-Barabasi #complexity #network #web
The architecture of complexity: the structure and the dynamics of networks, from the web to the cell (ALB), p. 3.
KDDKDD-2005-SanghaiSDK #clustering #design #multi #performance #simulation
A multinomial clustering model for fast simulation of computer architecture designs (KS, TS, JGD, DRK), pp. 808–813.
SEKESEKE-2005-AdorniMTL #network
Network Services via Reflective Architecture (MA, DM, FT, PL), pp. 761–766.
SEKESEKE-2005-BabarNJ #framework #overview #using
Assessing a Framework of Comparing Architecture Review Methods Using CMMI (MAB, MN, DRJ), pp. 400–403.
SEKESEKE-2005-ChinLHLL #internet #multi
Architecture for An Internet Marketing Multi-Agent System with Mediate Personal Agent (KYC, CWL, ZWH, JML, AJL), pp. 175–180.
SEKESEKE-2005-CooperDW #approach #aspect-oriented #modelling #reuse #security
Modeling Reusable Security Aspects for Software Architectures: a Pattern Driven Approach (KMLC, LD, WEW), pp. 158–162.
SEKESEKE-2005-FuDH #automation #design
A Methodology of Automated Realization of a Software Architecture Design (YF, ZD, XH), pp. 412–417.
SEKESEKE-2005-GujralAB #design
Architectural Model for Designing Agent-based System (NG, JA, KSB), pp. 753–760.
SEKESEKE-2005-Kjaergaard #abstraction #on the
On Abstraction Levels for Software Architecture Viewpoints (MBK), pp. 424–429.
SEKESEKE-2005-LungXZ #composition #using
Software Architecture Decomposition Using Attributes (CHL, XX, MZ), pp. 747–752.
SEKESEKE-2005-LungZ #analysis #combinator #design
Application of Design Combinatorial Theory to Scenario-Based Software Architecture Analysis (CHL, MZ), pp. 418–423.
ECMFAECMDA-FA-2005-BurmesterGS #framework #independence #modelling #platform #realtime
Model-Driven Architecture for Hard Real-Time Systems: From Platform Independent Models to Code (SB, HG, WS), pp. 25–40.
ECMFAECMDA-FA-2005-Pahl #modelling #ontology #web #web service
Layered Ontological Modelling for Web Service-Oriented Model-Driven Architecture (CP), pp. 88–102.
MODELSMoDELS-2005-AtkinsonK #concept #modelling
Concepts for Comparing Modeling Tool Architectures (CA, TK), pp. 398–413.
MODELSMoDELS-2005-Kimelman #research
Invited Presentation III: The Architects’ Workbench — Research in the Trenches (DK), p. 507.
MODELSMoDELS-2005-MasticolaBH #estimation #modelling #scalability
Model-Based Scalability Estimation in Inception-Phase Software Architecture (SPM, ABB, MH), pp. 355–366.
SPLCSPLC-2005-EtxeberriaM #evaluation #product line
Product-Line Architecture: New Issues for Evaluation (LE, GSM), pp. 174–185.
SPLCSPLC-2005-Niemela #development #product line
Strategies of Product Family Architecture Development (EN), pp. 186–197.
SPLCSPLC-2005-Trew #delivery #embedded #integration #product line
Enabling the Smooth Integration of Core Assets: Defining and Packaging Architectural Rules for a Family of Embedded Products (TT), pp. 137–149.
SPLCSPLC-2005-Wesselius #modelling #nondeterminism
Modeling Architectural Value: Cash Flow, Time and Uncertainty (JHW), pp. 89–95.
MODELSMoDELS-2005-AtkinsonK #concept #modelling
Concepts for Comparing Modeling Tool Architectures (CA, TK), pp. 398–413.
MODELSMoDELS-2005-Kimelman #research
Invited Presentation III: The Architects’ Workbench — Research in the Trenches (DK), p. 507.
MODELSMoDELS-2005-MasticolaBH #estimation #modelling #scalability
Model-Based Scalability Estimation in Inception-Phase Software Architecture (SPM, ABB, MH), pp. 355–366.
OOPSLAOOPSLA-2005-OanceaW #component #morphism #parametricity #polymorphism
Parametric polymorphism for software component architectures (CEO, SMW), pp. 147–166.
OOPSLAOOPSLA-2005-PaceC #modelling #named #object-oriented #tool support
ArchMatE: from architectural styles to object-oriented models through exploratory tool support (JADP, MRC), pp. 117–132.
OOPSLAOOPSLA-2005-SangalJSJ #dependence #modelling #using
Using dependency models to manage complex software architecture (NS, EJ, VS, DJ), pp. 167–176.
AdaEuropeAdaEurope-2005-OrtizAAP
A Reference Control Architecture for Service Robots Implemented on a Climbing Vehicle (FJO, DA, , JAP), pp. 13–24.
PLDIPLDI-2005-DaiHLH #automation #clustering #pipes and filters
Automatically partitioning packet processing applications for pipelined architectures (JD, BH, LL, LH), pp. 237–248.
SASSAS-2005-GlewPG #optimisation #plugin #type safety
Type-Safe Optimisation of Plugin Architectures (NG, JP, CG), pp. 135–154.
RERE-2005-GrauFM #analysis #named
REDEPEND-REACT: an Architecture Analysis Tool (GG, XF, NAMM), pp. 455–456.
ASEASE-2005-GeW #code generation #configuration management #framework #modelling #named
Bamboo: an architecture modeling and code generation framework for configuration management systems (GG, EJWJ), pp. 427–428.
ASEASE-2005-TibermacineFS #component #evolution
NFRs-aware architectural evolution of component-based software (CT, RF, SS), pp. 388–391.
ESEC-FSEESEC-FSE-2005-Barbosa #calculus #component #refinement
A refinement calculus for software components and architectures (MAB), pp. 377–380.
ESEC-FSEESEC-FSE-2005-InverardiMP #analysis #named
CHARMY: an extensible tool for architectural analysis (PI, HM, PP), pp. 111–114.
ESEC-FSEESEC-FSE-2005-SchmerlGY
Dynamically discovering architectures with DiscoTect (BRS, DG, HY), pp. 103–106.
ICSEICSE-2005-Abi-AntounAGSNT #implementation #modelling
Modeling and implementing software architecture with acme and archJava (MAA, JA, DG, BRS, NHN, TT), pp. 676–677.
ICSEICSE-2005-Al-NaeemGBRB #approach #distributed #quality
A quality-driven systematic approach for architecting distributed software applications (TAN, IG, MAB, FAR, BB), pp. 244–253.
ICSEICSE-2005-Fielding #open source
Software architecture in an open source world (RTF), p. 43.
ICSEICSE-2005-GortonL #dot-net #enterprise #integration
An architects guide to enterprise application integration with J2EE and .NET (IG, AL), pp. 726–727.
ICSEICSE-2005-GortonZ #case study #evaluation #experience #re-engineering #tool support
Tool support for just-in-time architecture reconstruction and evaluation: an experience report (IG, LZ), pp. 514–523.
ICSEICSE-2005-KimLKHB #case study #re-engineering
Re-engineering software architecture of home service robots: a case study (MK, JL, KCK, YH, SB), pp. 505–513.
ICSEICSE-2005-LagoV #modelling
Explicit assumptions enrich architectural models (PL, HvV), pp. 206–214.
ICSEICSE-2005-Siegel #design #distributed #modelling #question #why
Why use the model driven architecture to design and build distributed applications? (JS), p. 37.
SACSAC-2005-AloisioCFQ #data access
A grid-based architecture for earth observation data access (GA, MC, SF, GQ), pp. 701–705.
SACSAC-2005-BalzeraniRPA #product line #web
A product line architecture for web applications (LB, DDR, AP, GDA), pp. 1689–1693.
SACSAC-2005-CostaSB #adaptation #middleware #mobile
A reflective middleware architecture to support adaptive mobile applications (CMdC, MdSS, GB), pp. 1151–1154.
SACSAC-2005-FortinoR #component #coordination #mobile #multi
Multi-coordination of mobile agents: a model and a component-based architecture (GF, WR), pp. 443–450.
SACSAC-2005-PereiraS #enterprise
Enterprise architecture: business and IT alignment (CMP, PMAS), pp. 1344–1345.
SACSAC-2005-TournierBO #component #embedded #evaluation
An evaluation of Qinna, a component-based QoS architecture for embedded systems (JCT, JPB, VO), pp. 998–1002.
CASECASE-2005-LennartsonFF #flexibility
Control architecture for flexible production systems (BL, MF, PF), pp. 307–312.
CASECASE-2005-WangLWK #distributed #simulation #using
Collision resolution simulation for distributed control architectures using LonWorks (MW, EL, EW, MK), pp. 319–326.
CGOCGO-2005-Hind #machine learning #virtual machine
Virtual Machine Learning: Thinking like a Computer Architect (MH), p. 11.
CGOCGO-2005-KoesG
A Progressive Register Allocator for Irregular Architectures (DK, SCG), pp. 269–280.
DACDAC-2005-AdirABPS #approach #testing #verification
A generic micro-architectural test plan approach for microprocessor verification (AA, HA, EB, OP, KS), pp. 769–774.
DACDAC-2005-BanerjeeBD #clustering #configuration management
Physically-aware HW-SW partitioning for reconfigurable architectures with partial dynamic reconfiguration (SB, EB, NDD), pp. 335–340.
DACDAC-2005-ChengWLLH #reduction
Device and architecture co-optimization for FPGA power reduction (LC, PW, FL, YL, LH), pp. 915–920.
DACDAC-2005-EguroHS #adaptation
Architecture-adaptive range limit windowing for simulated annealing FPGA placement (KE, SH, AS), pp. 439–444.
DACDAC-2005-HoCCC #multi
Multilevel full-chip routing for the X-based architecture (TYH, CFC, YWC, SJC), pp. 597–602.
DACDAC-2005-LiC #embedded
Application/architecture power co-optimization for embedded systems powered by renewable sources (DL, PHC), pp. 618–623.
DACDAC-2005-LiK
Locality-conscious workload assignment for array-based computations in MPSOC architectures (FL, MTK), pp. 95–100.
DACDAC-2005-MarculescuT #energy #perspective #variability
Variability and energy awareness: a microarchitecture-level perspective (DM, ET), pp. 11–16.
DACDAC-2005-Nguyen
RF MEMS in wireless architectures (CTCN), pp. 416–420.
DACDAC-2005-NookalaCLS #approach #design #statistics #using
Microarchitecture-aware floorplanning using a statistical design of experiments approach (VN, YC, DJL, SSS), pp. 579–584.
DACDAC-2005-PasrichaDBB #automation #communication #synthesis
Floorplan-aware automated synthesis of bus-based communication architectures (SP, NDD, EB, MBR), pp. 565–570.
DACDAC-2005-SchaumontLQV #design #energy #multi #thread
Cooperative multithreading on 3mbedded multiprocessor architectures enables energy-scalable design (PS, BCCL, WQ, IV), pp. 27–30.
DACDAC-2005-SekarLRD #communication #configuration management #named
FLEXBUS: a high-performance system-on-chip communication architecture with a dynamically configurable topology (KS, KL, AR, SD), pp. 571–574.
DACDAC-2005-SuhKL
Cache coherence support for non-shared bus architecture on heterogeneous MPSoCs (TS, DK, HHSL), pp. 553–558.
DACDAC-2005-VolkerinkM #using
Response compaction with any number of unknowns using a new LFSR architecture (EHV, SM), pp. 117–122.
DACDAC-2005-YangWK
Secure scan: a design-for-test architecture for crypto chips (BY, KW, RK), pp. 135–140.
DACDAC-2005-ZykovMJVS #novel #performance #trade-off
High performance computing on fault-prone nanotechnologies: novel microarchitecture techniques exploiting reliability-delay trade-offs (AVZ, EM, MFJ, GdV, AS), pp. 270–273.
DATEDATE-2005-AmoryLMM #multi #reduction #reuse
Test Time Reduction Reusing Multiple Processors in a Network-on-Chip Based Architecture (AMA, ML, FGM, EIM), pp. 62–63.
DATEDATE-2005-BaradaranD #algorithm #configuration management
A Register Allocation Algorithm in the Presence of Scalar Replacement for Fine-Grain Configurable Architectures (NB, PCD), pp. 6–11.
DATEDATE-2005-BjerregaardS
A Router Architecture for Connection-Oriented Service Guarantees in the MANGO Clockless Network-on-Chip (TB, JS), pp. 1226–1231.
DATEDATE-2005-BlazquezLWGPC
Direct Conversion Pulsed UWB Transceiver Architecture (RB, FSL, DDW, BPG, JP, AC), pp. 94–95.
DATEDATE-2005-BortolazziMBM
Automotive System Architectures (Automotive Special Day) (JB, JLM, JB, CM), p. 654.
DATEDATE-2005-CilardoMMR #encryption #novel
A Novel Unified Architecture for Public-Key Cryptography (AC, AM, NM, LR), pp. 52–57.
DATEDATE-2005-Conti #analysis #power management
SystemC Analysis of a New Dynamic Power Management Architectur (MC), pp. 177–178.
DATEDATE-2005-DasguptaY #modelling #verification
Modeling and Verification of Globally Asynchronous and Locally Synchronous Ring Architectures (SD, AY), pp. 568–569.
DATEDATE-2005-FrancescoAM #distributed #flexibility #hardware #memory management #message passing
Flexible Hardware/Software Support for Message Passing on a Distributed Shared Memory Architecture (FP, AP, PM), pp. 736–741.
DATEDATE-2005-GangwarBPK #clustering #evaluation
Evaluation of Bus Based Interconnect Mechanisms in Clustered VLIW Architectures (AG, MB, PRP, AK), pp. 730–735.
DATEDATE-2005-HuotDFR #logic #multi
FPGA Architecture for Multi-Style Asynchronous Logic (NH, HD, LF, MR), pp. 32–33.
DATEDATE-2005-KimKKSCCKE #modelling #performance #transaction
Fast and Accurate Transaction Level Modeling of an Extended AMBA2.0 Bus Architecture (YTK, TK, YK, CS, EYC, KMC, JTK, SKE), pp. 138–139.
DATEDATE-2005-KimKPJC #configuration management #optimisation #pipes and filters #resource management
Resource Sharing and Pipelining in Coarse-Grained Reconfigurable Architecture for Domain-Specific Optimization (YK, MK, CP, JJ, KC), pp. 12–17.
DATEDATE-2005-KimWK #design #robust
owards Designing Robust QCA Architectures in the Presence of Sneak Noise Paths (KK, KW, RK), pp. 1214–1219.
DATEDATE-2005-LoghiAP #energy
Tag Overflow Buffering: An Energy-Efficient Cache Architecture (ML, PA, MP), pp. 520–525.
DATEDATE-2005-MiramondD #configuration management #design
Design Space Exploration for Dynamically Reconfigurable Architectures (BM, JMD), pp. 366–371.
DATEDATE-2005-OgrasM #approach #communication #composition #energy #synthesis #using
Energy- and Performance-Driven NoC Communication Architecture Synthesis Using a Decomposition Approach (ÜYO, RM), pp. 352–357.
DATEDATE-2005-RaabeBAZ #detection #hardware #simulation
Hardware Accelerated Collision Detection — An Architecture and Simulation Results (AR, BB, JKA, GZ), pp. 130–135.
DATEDATE-2005-SilvaB #design #pipes and filters #throughput #trade-off
Area and Throughput Trade-Offs in the Design of Pipelined Discrete Wavelet Transform Architectures (SVS, SB), pp. 32–37.
DATEDATE-2005-SrinivasanLV #clustering
Simultaneous Partitioning and Frequency Assignment for On-Chip Bus Architectures (SS, LL, NV), pp. 218–223.
DATEDATE-2005-YuWCHYB #design #network
Assertion-Based Design Exploration of DVS in Network Processor Architectures (JY, WW, XC, HH, JY, FB), pp. 92–97.
HPCAHPCA-2005-AhnED #parallel
Scatter-Add in Data Parallel Architectures (JHA, ME, WJD), pp. 132–142.
HPCAHPCA-2005-BalasubramonianMRV #performance
Microarchitectural Wire Management for Performance and Power in Partitioned Architectures (RB, NM, KR, VV), pp. 28–39.
HPCAHPCA-2005-ChandraGKS #multi #predict #thread
Predicting Inter-Thread Cache Contention on a Chip Multi-Processor Architecture (DC, FG, SK, YS), pp. 340–351.
HPCAHPCA-2005-Hofstee #performance
Power Efficient Processor Architecture and The Cell Processor (HPH), pp. 258–262.
HPCAHPCA-2005-HwuP #future of #industrial #perspective #research
The Future of Computer Architecture Research: An Industrial Perspective (WmWH, SJP), p. 264.
HPCAHPCA-2005-LiBHS #energy #performance #smt
Performance, Energy, and Thermal Considerations for SMT and CMP Architectures (YL, DMB, ZH, KS), pp. 71–82.
HPCAHPCA-2005-MukherjeeER #fault #perspective #problem
The Soft Error Problem: An Architectural Perspective (SSM, JSE, SKR), pp. 243–247.
HPCAHPCA-2005-RanganathanJ #enterprise #research #roadmap
Enterprise IT Trends and Implications for Architecture Research (PR, NPJ), pp. 253–256.
PDPPDP-2005-FernandezGBA #3d #optimisation #thread #video
Optimizing a 3D-FWT Video Encoder for SMPs and HyperThreading Architectures (RFP, JMG, GB, MEA), pp. 76–83.
PDPPDP-2005-MunozA #database #distributed
Architecture for an Intelligent Distributed Database (ACM, JLA), pp. 322–328.
PPoPPPPoPP-2005-JungLLH #adaptation #execution #multi #smt
Adaptive execution techniques for SMT multiprocessor architectures (CJ, DL, JL, SH), pp. 236–246.
SOSPSOSP-2005-BarattoKN #named
THINC: a virtual display architecture for thin-client computing (RAB, LNK, JN), pp. 277–290.
FASEFASE-2005-Dayani-FardYMA #c #c++ #legacy
Improving the Build Architecture of Legacy C/C++ Software Systems (HDF, YY, JM, PA), pp. 96–110.
CBSECBSE-2004-AldaC #adaptation #component #peer-to-peer #self
Strategies for a Component-Based Self-adaptability Model in Peer-to-Peer Architectures (SA, ABC), pp. 59–67.
CBSECBSE-2004-Mikic-RakicM #distributed
Software Architectural Support for Disconnected Operation in Highly Distributed Environments (MMR, NM), pp. 23–39.
WICSAWICSA-2004-BachmannBKS #design #experience #using
Experience Using an Expert System to Assist an Architect in Designing for Modifiability (FB, LJB, MK, CPS), pp. 281–284.
WICSAWICSA-2004-BardramC #collaboration #realtime
Real-time Collaboration in Activity-based Architectures (JB, HBC), pp. 325–328.
WICSAWICSA-2004-BardramCH #approach #design #learning #prototype
Architectural Prototyping: An Approach for Grounding Architectural Design and Learning (JB, HBC, KMH), pp. 15–24.
WICSAWICSA-2004-BaresiHTV #refinement
Style-Based Refinement of Dynamic Software Architectures (LB, RH, ST, DV), pp. 155–166.
WICSAWICSA-2004-BashroushSKB #network #realtime
A Network Architectural Style for Real-time Systems: NaSr (RB, ITAS, PK, TJB), pp. 321–324.
WICSAWICSA-2004-BernardoB #generative #parallel #source code #thread
Generating Well-Synchronized Multithreaded Programs from Software Architecture Descriptions (MB, EB), pp. 167–176.
WICSAWICSA-2004-ChengHGSS #coordination #multi #self
An Architecture for Coordinating Multiple Self-Management Systems (SWC, ACH, DG, BRS, PS), pp. 243–254.
WICSAWICSA-2004-DeursenHKMR #named #re-engineering
Symphony: View-Driven Software Architecture Reconstruction (AvD, CH, RK, LM, CR), pp. 122–134.
WICSAWICSA-2004-EnglebertV #refinement
Attribute-Based Refinement of Software Architectures (VE, FV), pp. 301–304.
WICSAWICSA-2004-GobelPAPRZ #component
The COMQUAD Component Container Architecture (SG, CP, RA, MP, SR, SZ), pp. 315–320.
WICSAWICSA-2004-GomaaH #configuration management #evolution
Software Reconfiguration Patterns for Dynamic Evolution of Software Architectures (HG, MH), pp. 79–88.
WICSAWICSA-2004-IonitaAHOT #approach #cost analysis
A Scenario-Driven Approach for Value, Risk, and Cost Analysis in System Architecting for Innovation (MTI, PA, DKH, JHO, JJMT), pp. 277–280.
WICSAWICSA-2004-IssarnyTLS #distributed #mobile
Software Architecture for Mobile Distributed Computing (VI, FT, JL, FS), pp. 201–210.
WICSAWICSA-2004-KrugerM #development
Systematic Development and Exploration of Service-Oriented Software Architectures (IHK, RM), pp. 177–187.
WICSAWICSA-2004-LesaintP #aspect-oriented #configuration management #constraints #implementation #product line
Aspects and Constraints for Implementing Configurable Product-Line Architectures (DL, GP), pp. 135–144.
WICSAWICSA-2004-MarcoI #composition #generative #modelling #performance
Compositional Generation of Software Architecture Performance QN Models (ADM, PI), pp. 37–46.
WICSAWICSA-2004-Matinlassi #case study #maintenance #product line
Evaluating the Portability and Maintainability of Software Product Family Architecture: Terminal Software Case Study (MM), pp. 295–300.
WICSAWICSA-2004-MattmannCHKR #data-driven #distributed #scalability
Software Architecture for Large-Scale, Distributed, Data-Intensive Systems (CM, DJC, JSH, SCK, PMR), pp. 255–276.
WICSAWICSA-2004-MeisterRR #analysis #product line #statistics
Applying Patterns to Develop a Product Line Architecture for Statistical Analysis Software (JM, RHR, MR), pp. 291–294.
WICSAWICSA-2004-MichielsDJV #protocol #self
The DiPS+ Software Architecture for Self-healing Protocol Stacks (SM, LD, WJ, PV), pp. 233–242.
WICSAWICSA-2004-MorrisonKBMOCWSG #evolution
Support for Evolving Software Architectures in the ArchWare ADL (RM, GNCK, DB, KM, FO, SC, BW, BS, RMG), pp. 69–78.
WICSAWICSA-2004-MustapicWNCSFA #industrial
Real World Influences on Software Architecture — Interviews with Industrial System Experts (GM, AW, CN, IC, KS, JF, JA), pp. 101–111.
WICSAWICSA-2004-NortonF
Reactive Types for Dataflow-Oriented Software Architectures (BN, MF), pp. 211–220.
WICSAWICSA-2004-OliveiraWFL #approach #case study
An Architectural Approach to Mobility — The Handover Case Study (CO, MW, JLF, AL), pp. 305–310.
WICSAWICSA-2004-Perez-MartinezS #analysis #heuristic
Heuristics for the Transition from Analysis to Software Architecture (JEPM, ASA), pp. 311–314.
WICSAWICSA-2004-PettitG #behaviour #concurrent #modelling #petri net #using
Modeling Behavioral Patterns of Concurrent Software Architectures Using Petri Nets (RGPI, HG), pp. 57–68.
WICSAWICSA-2004-PostmaAW #approach #component
Component Replacement in a Long-Living Architecture: The 3RDBA Approach (AP, PA, JGW), pp. 89–100.
WICSAWICSA-2004-Purhonen #case study #embedded #optimisation #performance
Performance Optimization of Embedded Software Architecture — A Case Study (AP), pp. 112–121.
WICSAWICSA-2004-RivaSSTXY
Establishing a Software Architecting Environment (CR, PS, TS, APT, JX, YY), pp. 188–200.
WICSAWICSA-2004-RoshandelSMGZ #comprehension #modelling #trade-off
Understanding Tradeoffs among Different Architectural Modeling Approaches (RR, BRS, NM, DG, DZ), pp. 47–56.
WICSAWICSA-2004-SuryanarayanaEHT #distributed #named #trust
PACE: An Architectural Style for Trust Management in Decentralized Applications (GS, JRE, SAH, RNT), pp. 221–232.
WICSAWICSA-2004-Tekinerdogan #analysis #named
ASAAM: Aspectual Software Architecture Analysis Method (BT), pp. 5–14.
WICSAWICSA-2004-ZhuBJ #evaluation #mining
Mining Patterns to Support Software Architecture Evaluation (LZ, MAB, DRJ), pp. 25–36.
JCDLJCDL-2004-BorbinhaFN #library #named
BND: the architecture of a national digital library (JLB, NF, JN), pp. 21–22.
JCDLJCDL-2004-EfronEMZ #machine learning #scalability
Machine learning for information architecture in a large governmental website (ME, JLE, GM, JZ), pp. 151–159.
JCDLJCDL-2004-KumarSCS #repository
Architecting an extensible digital repository (AK, RS, RC, NS), pp. 2–10.
SIGMODSIGMOD-2004-Gudgin #reliability #web #web service
Secure, Reliable, Transacted; Innovation in Web Services Architecture (MG), pp. 879–880.
VLDBVLDB-2004-Ailamaki #database #hardware
Database Architecture for New Hardware (AA), p. 1241.
VLDBVLDB-2004-CooperEFHJKRRW #named
HiFi: A Unified Architecture for High Fan-in Systems (OC, AE, MJF, WH, SRJ, SK, FR, SR, EW), pp. 1357–1360.
VLDBVLDB-2004-Hellerstein #algorithm #data transformation
Architectures and Algorithms for Internet-Scale (P2P) Data Management (JMH), p. 1244.
CSEETCSEET-2004-TomaykoCLSS #education
Key Considerations in Teaching Software Architecture (JET, SC, ML, MJS, DS), p. 174.
ITiCSEITiCSE-2004-LarssonL #student
Student-oriented examination in a computer architecture course (EL, AL), p. 245.
SIGITESIGITE-2004-StevensonR #education #security
Teaching security best practices by architecting and administering an IT security lab (BRS, GWR), pp. 182–187.
CSMRCSMR-2004-AntoniolP #analysis #distributed
A Distributed Architecture for Dynamic Analyses on User-Profile Data (GA, MDP), pp. 319–328.
CSMRCSMR-2004-BauerT #adaptation #clustering #object-oriented
Architecture-Aware Adaptive Clustering of OO Systems (MB, MT), pp. 3–14.
CSMRCSMR-2004-HassounJC #metric #runtime
A Dynamic Runtime Coupling Metric for Meta-Level Architectures (YH, RJ, SC), pp. 339–346.
CSMRCSMR-2004-PashovRP #feature model #modelling
Supporting Architectural Restructuring by Analyzing Feature Models (IP, MR, IP), pp. 25–36.
CSMRCSMR-2004-PingK #refactoring #web
Refactoring Web sites to the Controller-Centric Architecture (YP, KK), pp. 204–213.
CSMRCSMR-2004-Rosso #lessons learnt #mobile #performance #process #product line
The Process of and the Lessons Learned from Performance Tuning of a Product Family Software Architecture for Mobile Phones (CDR), pp. 270–278.
ICSMEICSM-2004-AbdelmoezSGAYBKM #co-evolution
Software Architectures Change Propagation Tool (SACPT) (WA, MS, RG, HHA, BY, SB, MK, AM), p. 517.
ICSMEICSM-2004-BahsoonE
Evaluating Architectural Stability with Real Options Theory (RB, WE), pp. 443–447.
ICSMEICSM-2004-BaxterA #component #program transformation #re-engineering
Component Architecture Reengineering by Program Transformation (IDB, RLA), p. 509.
ICSMEICSM-2004-EverittTT #evaluation #process #validation
Validating and Improving an Existing Software Architectural Evaluation Process (TE, RTT, JDT), pp. 417–421.
ICSMEICSM-2004-NguyenMBT #configuration management
Architectural Software Configuration Management in Molhado (TNN, EVM, JB, CT), pp. 296–305.
ICSMEICSM-2004-NguyenMBT04a #configuration management #named #object-oriented
Molhado: Object-Oriented Architectural Software Configuration Management (TNN, EVM, JB, CT), p. 510.
ICSMEICSM-2004-RivaSSX #analysis #maintenance #reverse engineering #uml
UML-Based Reverse Engineering and Model Analysis Approaches for Software Architecture Maintenance (CR, PS, TS, JX), pp. 50–59.
IWPCIWPC-2004-HassanH #development #using
Using Development History Sticky Notes to Understand Software Architecture (AEH, RCH), pp. 183–193.
IWPCIWPC-2004-OBrienS #comprehension #web #web service
Working Session: Program Comprehension Strategies for Web Service and Service-oriented Architectures (LO, DBS), pp. 260–263.
IWPCIWPC-2004-StoermerOV
Architectural Views through Collapsing Strategies (CS, LO, CV), pp. 100–110.
WCREWCRE-2004-Favre #metamodelling #named
CacOphoNy: Metamodel-Driven Architecture Recovery (JMF), pp. 204–213.
SEFMSEFM-2004-KhedriB #design #functional
Formal Derivation of Functional Architectural Design (RK, IB), pp. 356–265.
SEFMSEFM-2004-LaibinisT #fault tolerance #specification
Fault Tolerance in a Layered Architecture: A General Specification Pattern in B (LL, ET), pp. 346–355.
SEFMSEFM-2004-Mei #lifecycle #named
ABC: Supporting Software Architectures in the Whole Lifecycle (HM), pp. 342–343.
ICGTICGT-2004-BuurenJIS #composition #enterprise #modelling
Composition of Relations in Enterprise Architecture Models (RvB, HJ, MEI, PS), pp. 39–53.
CSCWCSCW-2004-BardramH #mobile #social
The AWARE architecture: supporting context-mediated social awareness in mobile cooperation (JEB, TRH), pp. 192–201.
CSCWCSCW-2004-ChungD #collaboration #towards
Towards dynamic collaboration architectures (GC, PD), pp. 1–10.
CAiSECAiSE-2004-BarriosN #enterprise #information management #modelling
Model Driven Architectures for Enterprise Information Systems (JB, SN), pp. 3–19.
EDOCEDOC-2004-BoerBJST #logic
A Logical Viewpoint on Architectures (FSdB, MMB, JJ, AS, LWNvdT), pp. 73–83.
EDOCEDOC-2004-SteenADL #enterprise
Supporting Viewpoint-Oriented Enterprise Architecture (MWAS, DHA, HWLtD, MML), pp. 201–211.
EDOCEDOC-2004-SvenssonVW #approach #consistency
Data Consistency in a Heterogeneous IT Landscape: A Service Oriented Architecture Approach (ES, CV, TW), pp. 3–8.
EDOCEDOC-2004-WangCWFU #enterprise #quality
Integrated Quality of Service (QoS) Management in Service-Oriented Enterprise Architectures (GW, AC, CW, CKF, SAU), pp. 21–32.
ICEISICEIS-v1-2004-AlexopoulouKM #agile #data flow #enterprise
Managing Information Flow Dynamics with Agile Enterprise Architectures (NA, PK, DM), pp. 454–459.
ICEISICEIS-v1-2004-DelgadoM #concept #database #integration #semantics #semistructured data #towards
Towards Conceptual Mediation: A Semantic Architecture For Dynamic Integration of Heterogeneous Databases (IND, JFAM), pp. 169–176.
ICEISICEIS-v1-2004-Mahmoud #enterprise #mobile
A Responsibility-Driven Architecture for Mobile Enterprise Applications (QHM), pp. 125–129.
ICEISICEIS-v1-2004-PongasV #information management
Developing a Corporate Information System Architecture: The Case of Eurostat (GP, FV), pp. 21–29.
ICEISICEIS-v3-2004-AllouiO #process #uml #using
Describing Software-Intensive Process Architectures Using a UML-Based ADL (IA, FO), pp. 201–208.
ICEISICEIS-v3-2004-Beiler #enterprise #towards
Business-Driven Enterprise Authorization — Moving Towards a Unified Authorization Architecture (TB), pp. 67–72.
ICEISICEIS-v3-2004-GoethalsVLSBH #communication #enterprise #integration
Communication and Enterprise Architecture in Extended Enterprise Integration (FGG, JV, WL, MS, MDB, RH), pp. 332–337.
ICEISICEIS-v3-2004-IacobL #concept #enterprise #framework #prototype #visualisation
View Visualisation for Enterprise Architecture — from Conceptual Framework to Prototype (MEI, DvL), pp. 629–634.
ICEISICEIS-v3-2004-LeeuwenDL #enterprise #integration #modelling #tool support
A Tool Integration Workbench for Enterprise Architecture — Integrating Heterogeneous Models and Tools (DvL, HWLtD, MML), pp. 470–478.
ICEISICEIS-v3-2004-LeymonerieJCBO #approach #formal method #process #towards
Towards a Business Process Formalisation Based on an Architecture Centred Approach (FL, LBDJ, SC, CB, FO), pp. 513–518.
ICEISICEIS-v3-2004-Osei-BrysonG
A Manufacturing Systems Architecture for the 21st Century (KMOB, DG), pp. 457–463.
ICEISICEIS-v3-2004-PicaultBDPT #information management #specification #standard
Specifying Information System Architectures with DASIBAO — A Standard Based Method (AP, PB, JLD, JP, BT), pp. 254–264.
ICEISICEIS-v3-2004-VasconcelosPST #information management #research #roadmap
Open Issues on Information System Architecture Research Domain: The Vision (AV, CMP, PMAS, JMT), pp. 273–282.
ICEISICEIS-v3-2004-VasiuD #security #web #web service #xml
A Requirement for a XML Web Services Security Architecture (LV, CD), pp. 60–66.
ICEISICEIS-v4-2004-BaluzLTWO #composition #web #web service
Composition of Web Services in the ICS Architecture (CRB, SL, RFT, BW, NRSO), pp. 435–438.
ICEISICEIS-v4-2004-EssmannHB #collaboration #component #mobile #network
A Network Component Architecture for Collaboration in Mobile Settings (BE, TH, TB), pp. 337–343.
ICEISICEIS-v4-2004-FaulknerKCD #design #e-commerce
Agent-Oriented Design of E-Commerce System Architecture (SF, MK, AC, TTD), pp. 372–379.
ICEISICEIS-v4-2004-GreenbergDF #e-commerce
A Content Oriented Architecture for Consumer-to-Business E-Commerce (JHG, DDDI, BF), pp. 16–23.
ICEISICEIS-v4-2004-HelaakoskiKH #network
An Agent Architecture for Steel Product Business Network (HH, JK, HH), pp. 467–470.
ICEISICEIS-v4-2004-RodriguezDGI #monitoring #online #performance
A Wireless Application That Monitors ECG Signals On-Line: Architecture and Performance (JR, LD, AG, AI), pp. 138–145.
ICEISICEIS-v5-2004-DuarteCS #flexibility #interface
A Flexible Interface Architecture for Digital Talking Books (CD, LC, HS), pp. 146–151.
ECIRECIR-2004-CachedaPO #analysis #distributed #performance
Performance Analysis of Distributed Architectures to Index One Terabyte of Text (FC, VP, IO), pp. 394–408.
ICPRICPR-v1-2004-BariamisIMK #feature model #image #realtime
An FPGA-Based Architecture for Real Time Image Feature Extraction (DGB, DKI, DEM, SAK), pp. 801–804.
ICPRICPR-v1-2004-BourlaiMK #smarttech #using #verification
Face Verification System Architecture Using Smart Cards (TB, KM, JK), pp. 793–796.
ICPRICPR-v1-2004-IlaGC #algorithm #detection #parallel
Proposal of a Parallel Architecture for a Motion Detection Algorithm (VI, RG, FC), pp. 797–800.
ICPRICPR-v1-2004-LombardiZ #design
Architectural Design Issues for Bayesian Contextual Vision (PL, BZ), pp. 753–756.
ICPRICPR-v1-2004-WredeFBS #framework #xml
An XML Based Framework for Cognitive Vision Architectures (SW, JF, CB, GS), pp. 757–760.
ICPRICPR-v1-2004-YoshimotoDAT #performance #realtime
Confidence-Driven Architecture for Real-time Vision Processing and Its Application to Efficient Vision-Based Human Motion Sensing (HY, ND, DA, RiT), pp. 736–740.
ICPRICPR-v2-2004-JorgeMA #estimation #network #sequence #video
Estimation of the Bayesian Network Architecture for Object Tracking in Video Sequences (PMJ, JSM, AJA), pp. 732–735.
ICPRICPR-v3-2004-EhtiatiC #identification
A Strongly Coupled Architecture for Contextual Object and Scene Identification (TE, JJC), pp. 69–72.
ICPRICPR-v4-2004-CastanedaLC #composition #implementation #realtime #visual notation
Implementation of a Modular Real-Time Feature-Based Architecture Applied to Visual Face Tracking (BC, YL, JCC), pp. 167–170.
ICPRICPR-v4-2004-MizukamiWT #approximate #network
A Proposal of Neural Network Architecture for Non-Linear Function Approximation (YM, YW, KT), pp. 605–608.
ICPRICPR-v4-2004-NandedkarB #classification #fuzzy #network
A Fuzzy Min-Max Neural Network Classifier with Compensatory Neuron Architecture (AVN, PKB), pp. 553–556.
KDDKDD-2004-AliS #collaboration #distributed #named #recommendation #using
TiVo: making show recommendations using a distributed collaborative filtering architecture (KA, WvS), pp. 394–401.
SEKESEKE-2004-CaiGHD #analysis #modelling #performance
Software Architecture Modelling and Performance Analysis with Argo/MTE (YC, JCG, JGH, XD), pp. 276–281.
SEKESEKE-2004-CairoA #problem #reuse
The KAMET II Architecture for Problem-Solving Method Reuse (OC, JCA), pp. 435–438.
SEKESEKE-2004-FaulknerKNCD #approach #development #integration #multi
Information Integration Architecture Development: A Multi-Agent Approach (SF, MK, TN, AC, TTD), pp. 192–198.
SEKESEKE-2004-FontanaRTA #adaptation
Architectural Reflection in Adaptive Systems (FAF, CR, FT, MA), pp. 74–79.
SEKESEKE-2004-JahnkeB #clustering #reverse engineering #using
Reverse Engineering Software Architecture using Rough Clusters (JHJ, YB), pp. 270–275.
SEKESEKE-2004-JuzgadoMS #usability
Clarifying the Relationship between Software Architecture and Usability (NJJ, AMM, IS), pp. 378–383.
SEKESEKE-2004-KhammaciSO #component
Active Connectors for Component-Object based Software Architecture (TK, AS, MO), pp. 346–349.
SEKESEKE-2004-MarmaridisGG #web
Web based architecture for Dynamic eCollaborative work (IM, JAG, AG), p. 445–?.
SEKESEKE-2004-YuHDM #design #security
Integrating Security Administration into Software Architectures Design (HY, XH, YD, LM), pp. 416–420.
SPLCSPLC-2004-AmericaHIOR #product line #variability
Scenario-Based Decision Making for Architectural Variability in Product Families (PA, DKH, MTI, JHO, ER), pp. 284–303.
SPLCSPLC-2004-Bosch04a #re-engineering
Architecture-Centric Software Engineering (JB), p. 314.
SPLCSPLC-2004-NiemelaMT #evaluation #product line
Practical Evaluation of Software Product Family Architectures (EN, MM, AT), pp. 130–145.
SPLCSPLC-2004-PaulishPK #development #product line
Product Line Architectures for Global Software Development (DJP, RP, WK), p. 313.
SPLCSPLC-2004-Taborda #product line #release planning
Generalized Release Planning for Product Line Architectures (LJMT), pp. 238–254.
UMLUML-2004-MullerBS #case study #experience #modelling
Model-Driven Architecture for Automatic-Control: An Experience Report (PAM, DB, PS), pp. 260–274.
PLDIPLDI-2004-EichenbergerWO #constraints
Vectorization for SIMD architectures with alignment constraints (AEE, PW, KO), pp. 82–93.
RERE-2004-RapanottiHJN #composition #problem
Architecture-driven Problem Decomposition (LR, JGH, MJ, BN), pp. 80–89.
ASEASE-2004-CaoGHST #generative #tool support
An Architecture for Generating Web-Based, Thin-Client Diagramming Tools (SC, JCG, JGH, HS, EDT), pp. 270–273.
ASEASE-2004-JansenB #evaluation #evolution #tool support
Evaluation of Tool Support for Architectural Evolution (AJ, JB), pp. 375–378.
ASEASE-2004-MehtaMSA #behaviour #composition #modelling
Modeling Behavior in Compositions of Software Architectural Primitives (NRM, NM, MS, FA), pp. 371–374.
FSEFSE-2004-UchitelCKM #synthesis
System architecture: the context for scenario-based model synthesis (SU, RC, JK, JM), pp. 33–42.
ICSEICSE-2004-Alda #adaptation #component #peer-to-peer #self
Component-Based Self-Adaptability in Peer-to-Peer Architectures (SA), pp. 33–35.
ICSEICSE-2004-CaporuscioIP #composition #middleware #verification
Compositional Verification of Middleware-Based Software Architecture Descriptions (MC, PI, PP), pp. 221–230.
ICSEICSE-2004-DeursenR #re-engineering
Software Architecture Reconstruction (AvD, CR), pp. 745–746.
ICSEICSE-2004-Francois #data type #distributed #hybrid #parallel
A Hybrid Architectural Style for Distributed Parallel Processing of Generic Data Streams (ARJF), pp. 367–376.
ICSEICSE-2004-GortonH #case study #experience #nondeterminism
Architecting in the Face of Uncertainty: An Experience Report (IG, JH), pp. 543–551.
ICSEICSE-2004-GortonL #enterprise #integration
Architectures and Technologies for Enterprise Application Integration (IG, AL), pp. 726–727.
ICSEICSE-2004-KhareT #distributed #rest
Extending the Representational State Transfer (REST) Architectural Style for Decentralized Systems (RK, RNT), pp. 428–437.
ICSEICSE-2004-Matinlassi #comparison #design #performance #product line
Comparison of Software Product Line Architecture Design Methods: COPA, FAST, FORM, KobrA and QADA (MM), pp. 127–136.
ICSEICSE-2004-RaadtSPV
Polyphony in Architecture (BvdR, JS, MP, HvV), pp. 533–542.
ICSEICSE-2004-Roshandel #analysis #modelling #reliability
Calculating Architectural Reliability via Modeling and Analysis (RR), pp. 69–71.
ICSEICSE-2004-SchmerlG #development #named
AcmeStudio: Supporting Style-Centered Architecture Development (BRS, DG), pp. 704–705.
ICSEICSE-2004-Waewsawangwong #approach #component #constraints #self
A Constraint Architectural Description Approach to Self-Organising Component-Based Software Systems (PW), pp. 81–83.
ICSEICSE-2004-YanGSAK #named
DiscoTect: A System for Discovering Architectures from Running Systems (HY, DG, BRS, JA, RK), pp. 470–479.
SACSAC-2004-AgostaPS #design #embedded #multi #power management #program transformation #source code
Multi-objective co-exploration of source code transformations and design space architectures for low-power embedded systems (GA, GP, CS), pp. 891–896.
SACSAC-2004-BeyerKMJL #flexibility #network #towards
Towards a flexible, process-oriented IT architecture for an integrated healthcare network (MB, KK, CM, SJ, RL), pp. 264–271.
SACSAC-2004-Cebulla #concept #modelling #using
Modeling sociotechnical specifics using architectural concepts (MC), pp. 1559–1563.
SACSAC-2004-CombiP #workflow
Architectures for a temporal workflow management system (CC, GP), pp. 659–666.
SACSAC-2004-GalandM #functional #self
A functional architecture for self-aware routers (DG, OM), pp. 352–356.
SACSAC-2004-KlampanosJ #information retrieval #network #peer-to-peer
An architecture for information retrieval over semi-collaborating Peer-to-Peer networks (IAK, JMJ), pp. 1078–1083.
SACSAC-2004-LiebmannD #adaptation
Adaptive data dissemination and caching for edge service architectures built with the J2EE (EL, SD), pp. 1717–1724.
SACSAC-2004-NeelyLEBNG #distributed #learning
An architecture for supporting vicarious learning in a distributed environment (SN, HL, DME, JB, JN, XG), pp. 963–970.
SACSAC-2004-ParkKLK #embedded #energy #memory management #performance
An energy efficient cache memory architecture for embedded systems (JWP, CGK, JHL, SDK), pp. 884–890.
SACSAC-2004-PereiraS #enterprise #framework #using
A method to define an Enterprise Architecture using the Zachman Framework (CMP, PMAS), pp. 1366–1371.
SACSAC-2004-VailayaBKKCA #biology #information management #representation
An architecture for biological information extraction and representation (AV, PB, RK, AK, MLC, AA), pp. 103–110.
SACSAC-2004-ZhangCC #case study #migration #web #web service
Migration to web services oriented architecture: a case study (JZ, JYC, CKC), pp. 1624–1628.
ASPLOSASPLOS-2004-DesikanSBK #scalability
Scalable selective re-execution for EDGE architectures (RD, SS, DB, SWK), pp. 120–132.
CGOCGO-2004-AlmogRSS #energy #optimisation
Specialized Dynamic Optimizations for High-Performance Energy-Efficient Microarchitecture (YA, RR, NS, AS), pp. 137–150.
CGOCGO-2004-DupreDT #embedded #named
VHC: Quickly Building an Optimizer for Complex Embedded Architectures (MD, ND, OT), pp. 53–64.
CGOCGO-2004-LukMPCL #named
Ispike: A Post-link Optimizer for the Intel®Itanium®Architecture (CKL, RM, HP, RSC, PGL), pp. 15–26.
DACDAC-2004-AbdiG #automation #functional #generative #specification
Automatic generation of equivalent architecture model from functional specification (SA, DG), pp. 608–613.
DACDAC-2004-Austin #design #robust
Designing robust microarchitectures (TMA), p. 78.
DACDAC-2004-CongFZ #automation #pipes and filters #synthesis
Architecture-level synthesis for automatic interconnect pipelining (JC, YF, ZZ), pp. 602–607.
DACDAC-2004-EkpanyapongMWLL #design
Profile-guided microarchitectural floorplanning for deep submicron processor design (ME, JRM, TW, HHSL, SKL), pp. 634–639.
DACDAC-2004-HanBBCJ #data transfer #distributed #flexibility #memory management #multi #performance #scalability
An efficient scalable and flexible data transfer architecture for multiprocessor SoC with massive distributed memory (SIH, AB, MB, SIC, AAJ), pp. 250–255.
DACDAC-2004-KheterpalSP
Routing architecture exploration for regular fabrics (VK, AJS, LTP), pp. 204–207.
DACDAC-2004-LeeDBABM #simulation
Circuit-aware architectural simulation (SL, SD, VB, TMA, DB, TNM), pp. 305–310.
DACDAC-2004-PasrichaDB #approach #communication #modelling #performance #transaction
Extending the transaction level modeling approach for fast communication architecture exploration (SP, NDD, MBR), pp. 113–118.
DACDAC-2004-RowenL #flexibility
Flexible architectures for engineering successful SOCs (CR, SL), pp. 692–697.
DACDAC-2004-WohlWP #scalability
Scalable selector architecture for x-tolerant deterministic BIST (PW, JAW, SP), pp. 934–939.
DACDAC-2004-YangKM #named #optimisation
Divide-and-concatenate: an architecture level optimization technique for universal hash functions (BY, RK, DAM), pp. 614–617.
DATEDATE-DF-2004-BerensKW #mobile
Channel Decoder Architecture for 3G Mobile Wireless Terminals (FB, GK, NW), pp. 192–197.
DATEDATE-DF-2004-BlancGG #case study #experience #validation
Experiences during the Experimental Validation of the Time-Triggered Architecture (SB, JG, PJG), pp. 256–261.
DATEDATE-DF-2004-BoschettiSB #configuration management #image #runtime
A Run-Time Reconfigurable Datapath Architecture for Image Processing Applications (MRB, ISS, SB), pp. 242–247.
DATEDATE-DF-2004-ChuDPSL #tool support
Customisable EPIC Processor: Architecture and Tools (WWSC, RGD, SP, SPS, WL), pp. 236–241.
DATEDATE-DF-2004-CoccoDHHH #scalability
A Scalable Architecture for LDPC Decodin (MC, JD, MJMH, AH, JH), pp. 88–95.
DATEDATE-DF-2004-FaroukS #design #implementation
Design and Implementation of a Secret Key Steganographic Micro-Architecture Employing FPGA (HAF, MS), pp. 212–217.
DATEDATE-DF-2004-FummiMMPP #analysis #industrial #modelling #network
Modeling and Analysis of Heterogeneous Industrial Networks Architectures (FF, SM, MM, GP, MP), pp. 342–344.
DATEDATE-DF-2004-MenichelliOBDB #design #multi #power management
A Simulation-Based Power-Aware Architecture Exploration of a Multiprocessor System-on-Chip Design (FM, MO, LB, MD, LB), pp. 312–317.
DATEDATE-DF-2004-PortoA #2d #implementation
Project Space Exploration on the 2-D DCT Architecture of a JPEG Compressor Directed to FPGA Implementation (RECP, LVA), pp. 224–229.
DATEDATE-DF-2004-SchliebuschCLAMSBN #implementation #synthesis
RTL Processor Synthesis for Architecture Exploration and Implementation (OS, AC, RL, GA, HM, MS, GB, AN), pp. 156–160.
DATEDATE-DF-2004-WortmannSM #performance
A High-Speed Transceiver Architecture Implementable as Synthesizable IP Core (AW, SS, MM), pp. 46–51.
DATEDATE-v1-2004-BansalGDNG #configuration management #network
Network Topology Exploration of Mesh-Based Coarse-Grain Reconfigurable Architectures (NB, SG, ND, AN, RG), pp. 474–479.
DATEDATE-v1-2004-BasuDDCMF #design #question #verification
Formal Verification Coverage: Are the RTL-Properties Covering the Design’s Architectural Intent? (PB, SD, PD, PPC, CRM, LF), pp. 668–669.
DATEDATE-v1-2004-DensmoreRS #development #framework #platform #refinement
Microarchitecture Development via Metropolis Successive Platform Refinement (DD, SR, ALSV), pp. 346–351.
DATEDATE-v1-2004-DSilvaRS #automaton #communication #framework #modelling #protocol #verification
Synchronous Protocol Automata: A Framework for Modelling and Verification of SoC Communication Architectures (VD, SR, AS), pp. 390–395.
DATEDATE-v1-2004-HuM #communication #constraints #energy #realtime #scheduling
Energy-Aware Communication and Task Scheduling for Network-on-Chip Architectures under Real-Time Constraints (JH, RM), pp. 234–239.
DATEDATE-v1-2004-JangKLCLS #case study #modelling #network
High-Level System Modeling and Architecture Exploration with SystemC on a Network SoC: S3C2510 Case Study (HOJ, MK, MjL, KC, KL, KS), pp. 538–543.
DATEDATE-v1-2004-LyseckyV #clustering #configuration management #hardware #logic
A Configurable Logic Architecture for Dynamic Hardware/Software Partitioning (RLL, FV), pp. 480–485.
DATEDATE-v1-2004-MartensG #design
A Phase-Frequency Transfer Description of Analog and Mixed-Signal Front-End Architectures for System-Level Design (EM, GGEG), pp. 436–441.
DATEDATE-v1-2004-PatelMP #energy #memory management #multi #synthesis
Synthesis of Partitioned Shared Memory Architectures for Energy-Efficient Multi-Processor SoC (KP, EM, MP), pp. 700–701.
DATEDATE-v1-2004-SehgalC #composition #performance #testing #using
Efficient Modular Testing of SOCs Using Dual-Speed TAM Architectures (AS, KC), pp. 422–427.
DATEDATE-v1-2004-ShinKCCKE #design #performance
Fast Exploration of Parameterized Bus Architecture for Communication-Centric SoC Design (CS, YTK, EYC, KMC, JTK, SKE), pp. 352–357.
DATEDATE-v1-2004-Skadron #hybrid
Hybrid Architectural Dynamic Thermal Management (KS), pp. 10–15.
DATEDATE-v1-2004-SzymanekCK #design #energy #memory management #multi
Time-Energy Design Space Exploration for Multi-Layer Memory Architectures (RS, FC, KK), pp. 318–323.
DATEDATE-v1-2004-ThepayasuwanD #layout #synthesis
Layout Conscious Bus Architecture Synthesis for Deep Submicron Systems on Chip (NT, AD), pp. 108–113.
DATEDATE-v1-2004-ZhangVL #embedded #self
A Self-Tuning Cache Architecture for Embedded Systems (CZ, FV, RLL), pp. 142–147.
DATEDATE-v2-2004-ArslanO #named #reduction
CircularScan: A Scan Architecture for Test Cost Reduction (BA, AO), pp. 1290–1295.
DATEDATE-v2-2004-BanerjeeVC #performance
A Power and Performance Model for Network-on-Chip Architectures (NB, PV, KSC), pp. 1250–1255.
DATEDATE-v2-2004-GuptaJ #algorithm
An Algorithm for Nano-Pipelining of Circuits and Architectures for a Nanotechnology (PG, NKJ), pp. 974–979.
DATEDATE-v2-2004-MeiVVL #case study #configuration management #design #matrix
Design Methodology for a Tightly Coupled VLIW/Reconfigurable Matrix Architecture: A Case Study (BM, SV, DV, RL), pp. 1224–1229.
DATEDATE-v2-2004-MuraliM
Bandwidth-Constrained Mapping of Cores onto NoC Architectures (SM, GDM), pp. 896–903.
DATEDATE-v2-2004-SinghT #multi
Generalized Latency-Insensitive Systems for Single-Clock and Multi-Clock Architectures (MS, MT), pp. 1008–1013.
DATEDATE-v2-2004-UedaSTI #embedded #estimation #performance
Architecture-Level Performance Estimation for IP-Based Embedded Systems (KU, KS, YT, MI), pp. 1002–1007.
DATEDATE-v2-2004-VerbauwhedeSPK #design #embedded #energy #multi #performance
Architectures and Design Techniques for Energy Efficient Embedded DSP and Multimedia Processing (IV, PS, CP, BK), pp. 988–995.
DATEDATE-v2-2004-ZhuM #communication #framework #multi #platform #prototype #specification #using
Using a Communication Architecture Specification in an Application-Driven Retargetable Prototyping Platform for Multiprocessing (XZ, SM), pp. 1244–1249.
HPCAHPCA-2004-MakineniI
Architectural Characterization of TCP/IP Packet Processing on the Pentium M Microprocessor (SM, RRI), pp. 152–163.
LCTESLCTES-2004-QinRM #concurrent #development #modelling #synthesis #tool support
A formal concurrency model based architecture description language for synthesis of software development tools (WQ, SR, SM), pp. 47–56.
LCTESLCTES-2004-WillmannBP #interface #named #network #programmable
Spinach: a liberty-based simulator for programmable network interface architectures (PW, MB, VSP), pp. 20–29.
PDPPDP-2004-PardinesR #clustering
Minimizing the Load Redistribution Cost in Cluster Architectures (IP, FFR), pp. 326–331.
FASEFASE-2004-MucciniDR #testing
Systematic Testing of Software Architectures in the C2 Style (HM, MSD, DJR), pp. 295–309.
DocEngDocEng-2003-KurtevB #modelling #xml
Model driven architecture based XML processing (IK, KvdB), pp. 246–248.
TPDLECDL-2003-SfakakisK #concurrent #integration #online #resource management
An Architecture for Online Information Integration on Concurrent Resource Access on a Z39.50 Environment (MS, SK), pp. 288–299.
HTHT-2003-BraABLRSSS #adaptation #exclamation #hypermedia
AHA! The adaptive hypermedia architecture (PDB, ATMA, BB, BdL, BR, TS, DS, NS), pp. 81–84.
ICDARICDAR-2003-RamachandranK #documentation #web
An Architecture for Ink Annotations on Web Documents (SR, RSK), p. 256–?.
ICDARICDAR-2003-VermaG #approach #online #recognition
A Neural-Evolutionary Approach for Feature and Architecture Selection in Online Handwriting Recognition (BV, MG), pp. 1038–1042.
JCDLJCDL-2003-MuMP #case study #education #interactive #user interface
The Interactive Shared Educational Environment: User Interface, System Architecture and Field Study (XM, GM, AP), p. 291–?.
SIGMODSIGMOD-2003-BornhovdAKMPR #database #named #scalability
DBCache: Middle-tier Database Caching for Highly Scalable e-Business Architectures (CB, MA, SK, CM, HP, BR), p. 662.
SIGMODSIGMOD-2003-Pruscino #performance
Oracle RAC: Architecture and Performance (AP), p. 635.
VLDBVLDB-2003-NathDKGKS #named
IrisNet: An Architecture for Internet-scale Sensing Services (SN, AD, YK, PBG, BK, SS), pp. 1137–1140.
ITiCSEITiCSE-2003-JulianoCK #education #using
Observations from using two modes of teaching undergraduate computer architecture (BAJ, CHC, EK), p. 248.
ITiCSEITiCSE-2003-MirmotahariHK #learning
Difficulties learning computer architecture (OM, CH, JK), p. 247.
CSMRCSMR-2003-EvancoV #maintenance
Some Optimal Object-Based Architectural Features for Corrective Maintenance (WME, JMV), pp. 281–280.
ICSMEICSM-2003-Baxter #automation #re-engineering #tool support
Massively Reengineering Architectures With Automated Tools (IDB), p. 463.
ICSMEICSM-2003-BrilPK #industrial
Embedding architectural support in industry (RJB, AP, RLK), pp. 348–357.
ICSMEICSM-2003-GargCCWH #evolution #product line
An Environment for Managing Evolving Product Line Architectures (AG, MC, PC, CvdW, AvdH), p. 358–?.
ICSMEICSM-2003-LandC #analysis #case study #integration
Software Systems Integration and Architectural Analysis — A Case Study (RL, IC), pp. 338–347.
ICSMEICSM-2003-ObbinkA #towards
Towards Evergreen Architectures: On the usage of scenarios in system architecting (JHO, PA), p. 298–?.
ICSMEICSM-2003-PortH #flexibility
Strategic Architectural Flexibility (DP, LH), p. 389–?.
ICSMEICSM-2003-Sartipi #pattern matching
Software Architecture Recovery based on Pattern Matching (KS), p. 293–?.
ICSMEICSM-2003-SartipiK #graph #modelling #on the
On Modeling Software Architecture Recovery as Graph Matching (KS, KK), pp. 224–234.
ICSMEICSM-2003-Terekhov #legacy
Re-using software architecture in legacy transformation projects (AAT), p. 462.
IWPCIWPC-2003-GannodM #verification
Verification of Recovered Software Architectures (GCG, SM), pp. 258–265.
IWPCIWPC-2003-IvkovicG
Enhancing Domain-Specific Software Architecture Recovery (II, MWG), pp. 266–273.
IWPCIWPC-2003-PinzgerOG #component #comprehension
Analyzing and Understanding Architectural Characteristics of COM+ Components (MP, JO, HCG), pp. 54–63.
WCREWCRE-2003-HanHN #web
Reconstructing Software Architecture for J2EE Web Applications (MH, CH, RLN), pp. 67–79.
WCREWCRE-2003-StoermerOV #quality #re-engineering #towards
Moving Towards Quality Attribute Driven Software Architecture Reconstruction (CS, LO, CV), pp. 46–56.
FMFME-2003-AldiniB #approach #concurrent #verification
A General Approach to Deadlock Freedom Verification for Software Architectures (AA, MB), pp. 658–677.
FMFME-2003-CompareIPS #analysis #lifecycle #model checking #validation
Integrating Model-Checking Architectural Analysis and Validation in a Real Software Life-Cycle (DC, PI, PP, AS), pp. 114–132.
SEFMSEFM-2003-Sinnott #generative #specification #testing
Architecting Specifications for Test Case Generation (ROS), p. 24–?.
SFMSFM-2003-AndradeF #evolution
Architecture Based Evolution of Software Systems (LFA, JLF), pp. 148–181.
SFMSFM-2003-BalsamoBS #evaluation #performance
Performance Evaluation at the Software Architecture Level (SB, MB, MS), pp. 207–258.
SFMSFM-2003-BertolinoIM #formal method #testing
Formal Methods in Testing Software Architectures (AB, PI, HM), pp. 122–147.
SFMSFM-2003-Garlan #analysis #component #formal method #modelling
Formal Modeling and Analysis of Software Architecture: Components, Connectors, and Events (DG), pp. 1–24.
SFMSFM-2003-InverardiT #assembly #component
Software Architecture for Correct Components Assembly (PI, MT), pp. 92–121.
SFMSFM-2003-IssarnyZ #dependence
Software Architecture and Dependability (VI, AZ), pp. 259–286.
SFMSFM-2003-KramerMU #analysis #approach #modelling
Software Architecture Modeling & Analysis: A Rigorous Approach (JK, JM, SU), pp. 44–51.
SFMSFM-2003-Lamsweerde
From System Goals to Software Architecture (AvL), pp. 25–43.
SFMSFM-2003-MurphyPR #mobile
Software Architecture for Mobile Computing (ALM, GPP, GCR), pp. 182–206.
SFMSFM-2003-StaffordWC #analysis #dependence
The Application of Dependence Analysis to Software Architecture Descriptions (JAS, ALW, MC), pp. 52–62.
AGTIVEAGTIVE-2003-HaaseMBG #agile #graph grammar #prototype
A Domain Specific Architecture Tool: Rapid Prototyping with Graph Grammars (TH, OM, BB, FHG), pp. 236–242.
AGTIVEAGTIVE-2003-HaaseMBG03a #integration #named #refinement
Fire3: Architecture Refinement for A-posteriori Integration (TH, OM, BB, FHG), pp. 461–467.
AGTIVEAGTIVE-2003-KarsaiA #graph transformation #modelling
Graph Transformations in OMG’s Model-Driven Architecture: (GK, AA), pp. 243–259.
CHICHI-2003-SalvucciL #modelling
Simple cognitive modeling in a complex cognitive architecture (DDS, FJL), pp. 265–272.
SOFTVISSOFTVIS-2003-MuTSM #interactive #locality #optimisation
Interactive Locality Optimization on NUMA Architectures (TM, JT, MS, SAM), pp. 133–141.
VISSOFTVISSOFT-2003-FavreSE #component #scalability
Exploring the Many Architectures of a Very Large Component-Based Software (JMF, RS, JE), pp. 46–47.
VISSOFTVISSOFT-2003-FavreSE1 #component #scalability
Exploring the Many Architectures of a Very Large Component-Based Software (JMF, RS, JE), pp. 46–53.
VISSOFTVISSOFT-2003-RillingL #challenge #visualisation
Challenges in Visualizing and Reconstructing Architectural Views (JR, ML), pp. 73–79.
CAiSECAiSE-2003-CastroSM #modelling #uml
Modeling Organizational Architectural Styles in UML (JC, CTLLS, JM), pp. 111–126.
CAiSECAiSE-2003-WieringaBFG
Aligning Application Architecture to the Business Context (RW, HMB, MMF, PWPJG), pp. 209–225.
EDOCEDOC-2003-DimitrakosRYGLRSWW #grid
An Emerging Architecture Enabling Grid Based Application Service Provision (TD, DMR, FY, MG, GL, PR, BS, SW, KW), pp. 240–251.
EDOCEDOC-2003-GarciaGS #automation #industrial
A Software Architecture for Industrial Automation (RGG, EG, AS), pp. 315–320.
EDOCEDOC-2003-JengSC #enterprise #process #realtime
An Agent-based Architecture for Analyzing Business Processes of Real-Time Enterprises (JJJ, JS, HC), pp. 86–97.
EDOCEDOC-2003-JonkersBABBBDGSHIJLLPSTZ #enterprise #towards
Towards a Language for Coherent Enterprise Architecture Descriptions (HJ, RvB, FA, FSdB, MMB, HB, HWLtD, LG, JGS, SH, MEI, WJ, MML, DvL, EP, AS, LWNvdT, GVvZ), pp. 28–39.
EDOCEDOC-2003-WegmannP #enterprise
MDA in Enterprise Architecture? The Living System Theory to the Rescue... (AW, OP), pp. 2–13.
ICEISICEIS-v1-2003-AndoMKB #collaboration #database #process
An Architecture of a Secure Database for Networked Collaborative Activities (MA, KM, MK, AB), pp. 3–10.
ICEISICEIS-v1-2003-CouturierS #component #information management #reuse
Patterns and Components to Capitalize and Reuse a Cooperative Information System Architecture (VC, MS), pp. 225–231.
ICEISICEIS-v1-2003-JablonskiPM #framework #web
An Architectural Framework for Web Applications (SJ, IP, CM), pp. 285–293.
ICEISICEIS-v1-2003-KirchbergST #distributed #multi
A Multi-Level Architecture for Distributed Object Bases (MK, KDS, AT), pp. 63–70.
ICEISICEIS-v3-2003-AllouiMO #approach #generative #modelling #using
Modelling and Generating Business-To-Business Applications Using an Architecture Description Language — Based Approach (IA, KM, FO), pp. 201–210.
ICEISICEIS-v3-2003-DoFK #information management #multi
Organizational Multi-Agent Architectures for Information Systems (TTD, SF, MK), pp. 89–96.
ICEISICEIS-v3-2003-FaulknerK #information management #towards
Towards an Agent Architectural Description Language for Information Systems (SF, MK), pp. 59–66.
ICEISICEIS-v3-2003-Wegmann #enterprise #on the
On the Systemic Enterprise Architecture Methodology (Seam) (AW), pp. 483–490.
ICEISICEIS-v3-2003-ZhenW #distributed #grid #workflow
Distributed Workflow Management in Open Grid Services Architecture (YZ, ZW), pp. 511–518.
ICEISICEIS-v4-2003-LiKM #automation #e-commerce #multi #recommendation
Multi-Agent Architecture for Automatic Recommendation System in E-Commerce (QL, RK, YM), pp. 265–270.
ICEISICEIS-v4-2003-McPhersonHHK #security #web #web service #xml
Guardian Knowledge Farm Agents and Security Architectures: Web Services, XML, and Wireless Mappings (MM, GH, BH, GK), pp. 244–253.
ICEISICEIS-v4-2003-NagaoK #evaluation #using #web #web service
Evaluation of Mainframe Computer System Using Web Service Architecture (MN, YK), pp. 460–463.
ICEISICEIS-v4-2003-UchyigitC #collaboration #multi
A Multi-Agent Architecture for Dynamic Collaborative Filtering (GU, KLC), pp. 363–368.
KDDKDD-2003-BarryZM #information management #simulation
Architecting a knowledge discovery engine for military commanders utilizing massive runs of simulations (PSB, JZ, MM), pp. 699–704.
SEKESEKE-2003-AggourP #named #reuse
ROADS: A Reusable, Optimizable Architecture for Decision Systems (KSA, MP), pp. 297–305.
SEKESEKE-2003-BarberHB #multi #performance
Early Multi-Level Software Architecture Performance Evaluations (KSB, JH, GB), pp. 561–569.
SEKESEKE-2003-BarberL #framework #specification #using
Specifying and Analyzing Agent Architectures using the Agent Competency Framework (KSB, DNL), pp. 232–239.
SEKESEKE-2003-Cebulla #adaptation #reasoning #using #variability
Reasoning about Variability and structural Adaptation in Sociotechnical Systems Using Dynamic Architectures (MC), pp. 406–413.
SEKESEKE-2003-DingZSA #fault tolerance #specification #validation
Specification and Validation of Fault-Tolerant Software Architectures Based on Actor Model (HD, CZ, LS, GA), pp. 458–466.
SEKESEKE-2003-LoC #clustering #component #design
Application of Clustering Techniques to Component Architecture Design (ScL, JhC), pp. 699–705.
SEKESEKE-2003-LongoAFG #multi
A Layered Architecture to Manage Complex Multimedia Services (ML, PA, AF, NG), pp. 414–421.
SEKESEKE-2003-MaLCCZ #approach #distributed #implementation
A Graph-Oriented Approach to the Description and Implementation of Distributed and Dynamic Software Architecture (XM, JL, JC, ATSC, KZ), pp. 518–525.
SEKESEKE-2003-RenT #component #off the shelf #visualisation
Visualizing Software Architecture with Off-The-Shelf Components (JR, RNT), pp. 132–141.
SEKESEKE-2003-RezaH
An Integrated Method to Software Architecture (HR, XH), pp. 398–405.
SEKESEKE-2003-TrentiniFT #approach #distributed #documentation #workflow
A Distributed Document Management Approach for Workflow Support in the DBSA Architecture (AT, FAF, FT), pp. 503–509.
SEKESEKE-2003-WangBY #development #quality #relational
Relational Program Architecture for High Quality Software Development (DW, FBB, ILY), pp. 346–353.
SEKESEKE-2003-YuHGD #design #distributed #formal method
Formal Software Architecture Design of Secure Distributed Systems (HY, XH, SG, YD), pp. 450–457.
SIGIRSIGIR-2003-KlampanosJ #information retrieval #peer-to-peer
An architecture for peer-to-peer information retrieval (IAK, JMJ), pp. 401–402.
UMLUML-2003-Rouquette #uml
UML/MDA Reality Check: Heterogenous Architecture Style (NFR), p. 143.
UMLUML-2003-YangX #case study #encoding #experience #uml
Encoding Informal Architectural Descriptions with UML: An Experience Report (YY, JX), pp. 138–142.
OOPSLAOOPSLA-2003-EeckhoutGB #how #java #source code #virtual machine
How java programs interact with virtual machines at the microarchitectural level (LE, AG, KDB), pp. 169–186.
AdaEuropeAdaEurope-2003-EvancoV #ada #fault
Some Architectural Features of Ada Systems Affecting Defects (WME, JMV), pp. 232–245.
AdaEuropeAdaEurope-2003-OrtizAPS #case study #evaluation #performance #realtime #uml #using
A Case Study in Performance Evaluation of Real-Time Teleoperation Software Architectures Using UML-MAST (FJO, , JAP, PS), pp. 417–428.
RERE-2003-NavarroRP #requirements
Software Requirements for Architectured Systems (EN, IR, JP), pp. 365–366.
ASEASE-2003-HendricksonDT #approach #comprehension
An Approach for Tracing and Understanding Asynchronous Architectures (SAH, EMD, RNT), pp. 318–322.
ASEASE-2003-SkeneE #analysis #approach #modelling #non-functional
A Model-Driven Approach to Non-Functional Analysis of Software Architectures (JS, WE), pp. 236–239.
ASEASE-2003-Wile #calculus #non-functional
Architecture Style-Based Calculi for Non-functional Properties (DSW), pp. 299–303.
ESEC-FSEESEC-FSE-2003-BaresiHTV #modelling #validation
Modeling and validation of service-oriented architectures: application vs. style (LB, RH, ST, DV), pp. 68–77.
ESEC-FSEESEC-FSE-2003-GuerraRRL #fault tolerance
A fault-tolerant software architecture for COTS-based software systems (PAdCG, CMFR, ABR, RdL), pp. 375–378.
ESEC-FSEESEC-FSE-2003-MehtaM
Composing architectural styles from architectural primitives (NRM, NM), pp. 347–350.
ESEC-FSEESEC-FSE-2003-RanL #mobile #runtime
Making sense of runtime architecture for mobile phone software (AR, RL), pp. 367–370.
ESEC-FSEESEC-FSE-2003-SelonenX #modelling #uml #validation
Validating UML models against architectural profiles (PS, JX), pp. 58–67.
ICSEICSE-2003-BoschJ #design #usability
Designing Software Architectures for Usability (JB, NJJ), pp. 757–758.
ICSEICSE-2003-ClementsGLNS
Documenting Software Architectures: Views and Beyond (PCC, DG, RL, RLN, JAS), pp. 740–741.
ICSEICSE-2003-EdenK #design #implementation
Architecture, Design, Implementation (AHE, RK), pp. 149–159.
ICSEICSE-2003-Fairbanks #case study #developer #experience #modelling #why
Why Can’t They Create Architecture Models Like “Developer X”? An Experience Report (GF), pp. 548–552.
ICSEICSE-2003-GriswoldBBT #component #framework
A Component Architecture for an Extensible, Highly Integrated Context-Aware Computing Infrastructure (WGG, RTB, SWB, TMT), pp. 363–373.
ICSEICSE-2003-MooreKKA #design #lessons learnt
Quantifying the Value of Architecture Design Decisions: Lessons from the Field (MM, RK, MK, JA), pp. 557–563.
ICSEICSE-2003-PrecheltH #co-evolution #component #experience #scalability
The Co-Evolution of a Hype and a Software Architecture: Experience of Component-Producing Large-Scale EJB Early Adopters (LP, DJH), pp. 553–556.
ICSEICSE-2003-RayC #diagrams #interactive #modelling
Architectural Interaction Diagrams: AIDs for System Modeling (AR, RC), pp. 396–407.
ICSEICSE-2003-RussellJ #embedded #evaluation #performance
Embedded Architect: A Tool for Early Performance Evaluation of Embedded Software (JTR, MFJ), pp. 824–825.
ICSEICSE-2003-Schmidt #distributed
Pattern-Oriented Distributed System Architectures (DCS), p. 750.
ICSEICSE-2003-WangHGAGA #assessment #risk management #specification #uml
Architectural Level Risk Assessment Tool Based on UML Specifications (TW, AEH, AG, WA, KGP, HHA), pp. 808–809.
SACSAC-2003-Blake #distributed #retrieval
An Architecture to Support Distributed Data Retrieval in Specialized Formats (MBB), pp. 803–810.
SACSAC-2003-BodorikJ #privacy
Architecture for User-Controlled e-Privacy (PB, DNJ), pp. 609–616.
SACSAC-2003-ChanLCHLL #named
Bluepoint: A Bluetooth-based Architecture for Location-Positioning Services (ATSC, HVL, JC, AH, LL, LL), pp. 990–995.
SACSAC-2003-FinkO #coordination #framework
An Extensible Architecture-based Framework for Coordination Languages (TF, KO), pp. 402–406.
SACSAC-2003-GoncalvesMCWFRM #configuration management #design #named
ARCHITECT-R: A System for Reconfigurable Robots Design (RAG, PAM, JMPC, DFW, MMF, RAFR, EM), pp. 679–683.
SACSAC-2003-KellarMZWKB #composition
Architecture to Support Dynamic Composition of Math Lesson Plans (MK, BM, RZ, CRW, DK, JMB), pp. 569–574.
SACSAC-2003-RoussosKGPKG #pervasive
Systems Architecture for Pervasive Retail (GR, PK, EAG, MP, GK, GMG), pp. 631–636.
SACSAC-2003-SaliceFVP #clustering #embedded #multi
Partitioning of Embedded Applications onto Heterogeneous Multiprocessor Architectures (FS, WF, LDV, LP), pp. 661–665.
SACSAC-2003-SalveminiSSSZZ #embedded #energy #performance #trade-off
A Methodology for the Efficient Architectural Exploration of Energy-Delay Trade-offs for Embedded Systems (LS, MS, DS, CS, VZ, RZ), pp. 672–678.
GPCEGPCE-2003-PintoFT #component #development #named
DAOP-ADL: An Architecture Description Language for Dynamic Component and Aspect-Based Development (MP, LF, JMT), pp. 118–137.
CGOCGO-2003-InagakiKN #compilation #java #scheduling
Integrated Prepass Scheduling for a Java Just-In-Time Compiler on the IA-64 Architecture (TI, HK, TN), pp. 159–168.
CGOCGO-2003-KimS
Dynamic Binary Translation for Accumulator-Oriented Architectures (HSK, JES), pp. 25–35.
CGOCGO-2003-SettleCHL #optimisation #stack
Optimization for the Intel® Itanium ®Architectur Register Stack (AS, DAC, GH, DML), pp. 115–124.
DACDAC-2003-AtasuPI #automation #constraints
Automatic application-specific instruction-set extensions under microarchitectural constraints (KA, LP, PI), pp. 256–261.
DACDAC-2003-BittlestoneHSA #library
Architecting ASIC libraries and flows in nanometer era (CB, AMH, VS, NVA), pp. 776–781.
DACDAC-2003-BorkarKNTKD #parametricity
Parameter variations and impact on circuits and microarchitecture (SB, TK, SN, JT, AK, VD), pp. 338–342.
DACDAC-2003-CongJRR #evaluation #physics
Microarchitecture evaluation with physical planning (JC, AJ, GR, MR), pp. 32–35.
DACDAC-2003-HenftlingZBZE
Re-use-centric architecture for a fully accelerated testbench environment (RH, AZ, MB, MZ, WE), pp. 372–375.
DACDAC-2003-LiXC #modelling #optimisation #power management #scalability
Scalable modeling and optimization of mode transitions based on decoupled power management architecture (DL, QX, PHC), pp. 119–124.
DACDAC-2003-MongZ
A retargetable micro-architecture simulator (WSM, JZ), pp. 752–757.
DACDAC-2003-NohlGBALSM #encoding #modelling #synthesis #using
Instruction encoding synthesis for architecture exploration using hierarchical processor models (AN, VG, GB, AH, RL, OS, HM), pp. 262–267.
DACDAC-2003-RussellJ #component #embedded #evaluation #performance
Architecture-level performance evaluation of component-based embedded systems (JTR, MFJ), pp. 396–401.
DACDAC-2003-VogelsG
Architectural selection of A/D converters (MV, GGEG), pp. 974–977.
DACDAC-2003-WohlWPA #logic #performance
Efficient compression and application of deterministic patterns in a logic BIST architecture (PW, JAW, SP, MBA), pp. 566–569.
DACDAC-2003-XiangGSW #effectiveness #testing
A cost-effective scan architecture for scan testing with non-scan test power and test application cost (DX, SG, JGS, YLW), pp. 744–747.
DATEDATE-2003-AgarwalRV #pipes and filters
Exploring High Bandwidth Pipelined Cache Architecture for Scaled Technology (AA, KR, TNV), pp. 10778–10783.
DATEDATE-2003-BeckerTVB #configuration management #industrial #integration
An Industrial/Academic Configurable System-on-Chip Project (CSoC): Coarse-Grain XXP-/Leon-Based Architecture Integration (JB, AT, MV, VB), pp. 11120–11121.
DATEDATE-2003-BriniBC #communication #flexibility #framework #platform
A Flexible Virtual Platform for Computational and Communication Architecture Exploration of DMT VDSL Modems (SB, DB, FC), pp. 20164–20169.
DATEDATE-2003-CaldariCCCPT #modelling #transaction #using
Transaction-Level Models for AMBA Bus Architecture Using SystemC 2.0 (MC, MC, MC, SC, LP, CT), pp. 20026–20031.
DATEDATE-2003-ChooMR #named #power management #synthesis
MRPF: An Architectural Transformation for Synthesis of High-Performance and Low-Power Digital Filters (HC, KM, KR), pp. 10700–10705.
DATEDATE-2003-DasguptaKM #metric #novel #performance
A Novel Metric for Interconnect Architecture Performance (PD, ABK, SM), pp. 10448–10455.
DATEDATE-2003-DragoFMPP #embedded #estimation #performance #tuple
Estimation of Bus Performance for a Tuplespace in an Embedded Architecture (ND, FF, MM, GP, MP), pp. 20188–20195.
DATEDATE-2003-GilbertTW #communication #embedded #multi
Communication Centric Architectures for Turbo-Decoding on Embedded Multiprocessors (FG, MJT, NW), pp. 10356–10363.
DATEDATE-2003-GoelM #design
Layout-Driven SOC Test Architecture Design for Test Time and Wire Length Minimization (SKG, EJM), pp. 10738–10741.
DATEDATE-2003-Goltze #communication #flexibility #object-oriented
A Flexible Object-Oriented Software Architecture for Smart Wireless Communication Devices (MG), pp. 20126–20131.
DATEDATE-2003-GuptaB
Different Approaches to Add Reconfigurability in a SoC Architecture (BG, MB), p. 10398.
DATEDATE-2003-HuM #energy #flexibility #performance
Exploiting the Routing Flexibility for Energy/Performance Aware Mapping of Regular NoC Architectures (JH, RM), pp. 10688–10693.
DATEDATE-2003-KoorapatyCTPPS #logic #programmable
Heterogeneous Programmable Logic Block Architectures (AK, VC, KYT, CP, LTP, HS), pp. 11118–11119.
DATEDATE-2003-LiliusTV #evaluation #performance #protocol
Fast Evaluation of Protocol Processor Architectures for IPv6 Routing (JL, DT, SV), pp. 20158–20163.
DATEDATE-2003-MamidipakaD #embedded #memory management #power management #stack
On-chip Stack Based Memory Organization for Low Power Embedded Architectures (MM, NDD), pp. 11082–11089.
DATEDATE-2003-MeiVVML #configuration management #parallel #scheduling #using
Exploiting Loop-Level Parallelism on Coarse-Grained Reconfigurable Architectures Using Modulo Scheduling (BM, SV, DV, HDM, RL), pp. 10296–10301.
DATEDATE-2003-OgawaNCSWNST #approach #optimisation #transaction
A Practical Approach for Bus Architecture Optimization at Transaction Level (OO, SBdN, PC, KS, YW, HN, TS, YT), pp. 20176–20181.
DATEDATE-2003-OhKWS #feedback #using
Test Pattern Compression Using Prelude Vectors in Fan-Out Scan Chain with Feedback Architecture (NO, RK, TWW, JS), pp. 10110–10115.
DATEDATE-2003-PalermoSZ #embedded
Power-Performance System-Level Exploration of a MicroSPARC2-Based Embedded Architecture (GP, CS, VZ), pp. 20182–20187.
DATEDATE-2003-PanBKK #analysis #design #programmable
Design and Analysis of a Programmable Single-Chip Architecture for DVB-T Base-Band Receiver (CP, NB, AHK, AK), pp. 10468–10475.
DATEDATE-2003-RettbergZBL #embedded #pipes and filters #self
A Fully Self-Timed Bit-Serial Pipeline Architecture for Embedded Systems (AR, MCZ, CB, TL), pp. 11130–11131.
DATEDATE-2003-RinnerSW #agile #embedded #flexibility #multi #prototype
Rapid Prototyping of Flexible Embedded Systems on Multi-DSP Architectures (BR, MS, RW), pp. 10204–10211.
DATEDATE-2003-Sanchez-ElezFADBH #configuration management #data transformation #energy #memory management #multi
Low Energy Data Management for Different On-Chip Memory Levels in Multi-Context Reconfigurable Architectures (MSE, MF, MLA, HD, NB, RH), pp. 10036–10043.
DATEDATE-2003-StolbergBFMFMKKP #manycore #named
HiBRID-SoC: A Multi-Core System-on-Chip Architecture for Multimedia Signal Processing Applications (HJS, MB, LF, SM, SF, XM, MBK, HK, PP), pp. 20008–20013.
DATEDATE-2003-TanRJ #approach #embedded #energy
Software Architectural Transformations: A New Approach to Low Energy Embedded Software (TKT, AR, NKJ), pp. 11046–11051.
DATEDATE-2003-Vissers #configuration management #parallel
Parallel Processing Architectures for Reconfigurable Systems (KAV), pp. 10396–10397.
DATEDATE-2003-ZivkovicKWD #multi #performance #source code
Fast and Accurate Multiprocessor Architecture Exploration with Symbolic Programs (VDZ, EAdK, PvdW, EFD), pp. 10656–10661.
HPCAHPCA-2003-AlameldeenW #concurrent #multi #simulation #thread #variability
Variability in Architectural Simulations of Multi-Threaded Workloads (ARA, DAW), pp. 7–18.
HPCAHPCA-2003-KimYDYD #performance
Performance Enhancement Techniques for InfiniBand? Architecture (EJK, KHY, CRD, MSY, JD), pp. 253–262.
HPCAHPCA-2003-NagarajaKBMN #clustering #communication
Evaluating the Impact of Communication Architecture on the Performability of Cluster-Based Services (KN, NK, RB, RPM, TDN), pp. 229–240.
HPCAHPCA-2003-RadovicH #communication
Hierarchical Backoff Locks for Nonuniform Communication Architectures (ZR, EH), pp. 241–252.
HPCAHPCA-2003-SakamotoKIAUMK #analysis #enterprise #performance
Microarchitecture and Performance Analysis of a SPARC-V9 Microprocessor for Enterprise Server Systems (MS, AK, AI, TA, HU, KM, YK), pp. 141–152.
HPCAHPCA-2003-TaylorLAA #network
Scalar Operand Networks: On-Chip Interconnect for ILP in Partitioned Architecture (MBT, WL, SPA, AA), pp. 341–353.
HPDCHPDC-2003-JiangX #named #on-demand #platform
SODA: A Service-On-Demand Architecture for Application Service Hosting Utility Platforms (XJ, DX), pp. 174–183.
HPDCHPDC-2003-TakefusaTMM #algorithm #analysis #energy #grid #performance #physics #replication #scheduling
Performance Analysis of Scheduling and Replication Algorithms on Grid Datafarm Architecture for High-Energy Physics Applications (AT, OT, SM, YM), pp. 34–47.
LCTESLCTES-2003-KimVKI #adaptation #optimisation #parallel
Adapting instruction level parallelism for optimizing leakage in VLIW architectures (HSK, NV, MTK, MJI), pp. 275–283.
LCTESLCTES-2003-LeeCD #algorithm #configuration management
An algorithm for mapping loops onto coarse-grained reconfigurable architectures (JeL, KC, NDD), pp. 183–188.
LCTESLCTES-2003-ShaylorSB #java #virtual machine
A java virtual machine architecture for very small devices (NS, DNS, WRB), pp. 34–41.
PDPPDP-2003-DustdarG #collaboration #distributed #mobile
Architectural concerns in distributed and mobile collaborative systems (SD, HCG), pp. 475–483.
PDPPDP-2003-ProdanFGMFM #clustering #grid #on the #parametricity #performance #using
On Using ZENTURIO for Performance and Parameter Studies on Cluster and Grid Architectures (RP, TF, MG, GM, FF, HM), pp. 185–192.
PDPPDP-2003-SanchezLARVB #learning #multi
A multi-tiered agent-based architecture for a cooperative learning environment (ESV, ML, RRA, AR, XAVS, SB), pp. 500–506.
PPoPPPPoPP-2003-ChenHHJL #analysis #compilation #multi #points-to #probability #thread
Compiler support for speculative multithreading architecture with probabilistic points-to analysis (PSC, MYH, YSH, RDCJ, JKL), pp. 25–36.
PPoPPPPoPP-2003-Squyres #component
A component architecture for LAM/MPI (JMS), p. 2.
SOSPSOSP-2003-FuCCSV #named
SHARP: an architecture for secure resource peering (YF, JSC, BNC, SS, AV), pp. 133–148.
ICTSSTestCom-2003-LepperTW #component #deployment
TUB-TCI An Architecture for Dynamic Deployment of Test Components (ML, BTyW, JW), pp. 279–294.
ICTSSTestCom-2003-UralW #distributed #generative #sequence
Generating Checking Sequences for a Distributed Test Architecture (HU, CW), pp. 146–162.
CBSECBSE-2002-InverardiT #approach #assembly #automation #component #off the shelf
Correct and automatic assembly of COTS components: an architectural approach (PI, MT), p. 3.
CBSECBSE-2002-LarssonWNC #embedded #predict #product line #using
Using Prediction Enabled Technologies for Embedded Product Line Architectures (ML, AW, CN, IC), p. 12.
WICSAWICSA-2002-ChengGSSSS #self #using
Using Architectural Style as a Basis for System Self-repair (SWC, DG, BRS, JPS, BS, PS), pp. 45–59.
WICSAWICSA-2002-CuestaFBB
Introducing Reflection in Architecture Description Languages (CECQ, PdlF, MBS, MEBG), pp. 143–156.
WICSAWICSA-2002-LudersCS #component #industrial
A Component-Based Software Architecture for Industrial Control (FL, IC, AS), pp. 193–204.
WICSAWICSA-2002-MaccariG
Introducing the Software Architectonic Viewpoint (AM, GHG), pp. 175–189.
WICSAWICSA-2002-MedvidovicMM #framework #implementation #product line
A Family of Software Architecture Implementation Frameworks (NM, NRM, MMR), pp. 221–235.
WICSAWICSA-2002-Ramdane-CherifLL #analysis #configuration management #evaluation
Dynamic Reconfigurable Software Architecture: Analysis and Evaluation (ARC, NL, FL), pp. 79–93.
WICSAWICSA-2002-Riva #re-engineering
Architecture Reconstruction in Practice (CR), pp. 159–173.
WICSAWICSA-2002-ShiH #communication #modelling #protocol #using
Modeling and Analyzing the Software Architecture of a Communication Protocol Using SAM (TS, XH), pp. 63–77.
WICSAWICSA-2002-SmolanderP
Practical Rationale for Describing Software Architecture (KS, TP), pp. 113–125.
WICSAWICSA-2002-SousaG #framework #named #ubiquitous
Aura: an Architectural Framework for User Mobility in Ubiquitous Computing Environments (JPS, DG), pp. 29–43.
WICSAWICSA-2002-YoderJ #adaptation
The Adaptive Object-Model Architectural Style (JWY, REJ), pp. 3–27.
CBSECBSE-2003-BaresiHTV #analysis #graph transformation #modelling
Modeling and Analysis of Architectural Styles Based on Graph Transformation (LB, RH, ST, DV), p. 12.
CBSECBSE-2003-JrH #component #constraints #specification
Specifying Architectural Constraints on Components (WDJ, CH), p. 5.
TPDLECDL-2002-KoubarakisKTR #distributed #library #modelling
Information Alert in Distributed Digital Libraries: The Models, Languages, and Architecture of DIAS (MK, TK, CT, PR), pp. 527–542.
TPDLECDL-2002-WittenBPB #analysis #documentation #library #metadata #requirements
Importing Documents and Metadata into Digital Libraries: Requirements Analysis and an Extensible Architecture (IHW, DB, GWP, SJB), pp. 390–405.
JCDLJCDL-2002-AnanLMNZFFS #using
Preservation and transition of NCSTRL using an OAI-based architecture (HA, XL, KM, MLN, MZ, JCF, EAF, PS), pp. 181–182.
JCDLJCDL-2002-JaneeF #library
The ADEPT digital library architecture (GJ, JF), pp. 342–350.
JCDLJCDL-2002-LagozeAGHIKMPSTHMAGK #library
Core services in the architecture of the national science digital library (NSDL) (CL, WYA, SG, DH, CI, DBK, RJM, JP, JS, CT, WH, DM, JA, SGL, TK), pp. 201–209.
JCDLJCDL-2002-WittenBPB #plugin
The Greenstone plugin architecture (IHW, DB, GWP, SJB), pp. 285–286.
VLDBVLDB-2002-CandanALPH #multi
View Invalidation for Dynamic Content Caching in Multitiered Architectures (KSC, DA, WSL, OP, WPH), pp. 562–573.
VLDBVLDB-2002-Nori #standard
eBusiness Standards and Architectures (AN).
ITiCSEITiCSE-2002-CasselK
A state of the course report: computer organization & architecture (L(C, DK), pp. 175–177.
ITiCSEITiCSE-2002-Yehezkel #taxonomy #visualisation
A taxonomy of computer architecture visualizations (CY), pp. 101–105.
CSMRCSMR-2002-RivaR #re-engineering
Combining Static and Dynamic Views for Architecture Reconstruction (CR, JVR), p. 47–?.
CSMRCSMR-2002-Zdun #re-engineering #web
Reengineering to the Web: A Reference Architecture (UZ), p. 164–?.
ICSMEICSM-2002-Arsanjani #compilation #configuration management #towards
Business Compilers: Towards Supporting a Highly Re-Configurable Architectural Style for Service-Oriented Architecture (AA), p. 287.
ICSMEICSM-2002-ArsanjaniAZ #component #configuration management #maintenance
Externalizing Component Manners to Achieve Greater Maintainability through a Highly Re-Configurable Architectural Style (AA, JJA, HZ), pp. 628–637.
ICSMEICSM-2002-Koschke #component #comprehension #evolution
Atomic Architectural Component Recovery for Program Understanding and Evolution (RK), pp. 478–481.
ICSMEICSM-2002-RotschkeK #analysis #evolution #industrial #scalability #tool support
Architecture Analysis Tools to Support Evolution of Large Industrial Systems (TR, RLK), pp. 182–191.
ICSMEICSM-2002-TvedtCL #design #evaluation #process
Does the Code Match the Design? A Process for Architecture Evaluation (RTT, PC, ML), pp. 393–401.
IWPCIWPC-2002-IvkovicG #case study
Architecture Recovery of Dynamically Linked Applications: A Case Study (II, MWG), pp. 178–184.
IWPCIWPC-2002-PinzgerG
Pattern-Supported Architecture Recovery (MP, HCG), pp. 53–61.
IWPCIWPC-2002-TeleaMR #tool support #visualisation
An Open Visualization Toolkit for Reverse Architecting (ACT, AM, CR), pp. 3–10.
IWPCIWPC-2002-TuG #approach #evolution
An Integrated Approach for Studying Architectural Evolution (QT, MWG), pp. 127–136.
WCREWCRE-2002-Galal-Edeen
Reverse Architecting: Seeking the Architectonic (GHGE), pp. 141–150.
WCREWCRE-2002-PinzgerFGJ #named #pattern matching
Revealer: A Lexical Pattern Matcher for Architecture Recovery (MP, MF, HG, MJ), p. 170–?.
WCREWCRE-2002-RivaY #documentation #generative #using #xml
Generation of Architectural Documentation Using XML (CR, YY), p. 161–?.
WCREWCRE-2002-StoermerOV #re-engineering
Practice Patterns for Architecture Reconstruction (CS, LO, CV), pp. 151–160.
FMFME-2002-BourdonovKKP #testing
UniTesK Test Suite Architecture (IBB, AK, VVK, AKP), pp. 77–88.
ICGTICGT-2002-BardohlEP #specification
Transforming Specification Architectures by GenGED (RB, CE, JP), pp. 30–44.
CSCWCSCW-2002-LaurillauN
Clover architecture for groupware (YL, LN), pp. 236–245.
CAiSECAiSE-2002-GrundyZ #multi #user interface #web
An Architecture for Building Multi-device Thin-Client Web User Interfaces (JCG, WZ), pp. 728–732.
CAiSECAiSE-2002-Lu #database #re-engineering
Reengineering of Database Applications to EJB Based Architecture (JL), pp. 361–376.
CAiSECAiSE-2002-McBrienP #approach #database #evolution #semistructured data
Schema Evolution in Heterogeneous Database Architectures, A Schema Transformation Approach (PM, AP), pp. 484–499.
CAiSECAiSE-2002-SmolanderP #communication
Describing and Communicating Software Architecture in Practice: Observations on Stakeholders and Rationale (KS, TP), pp. 117–133.
CAiSECAiSE-2002-WetzelK #concept #process #workflow
Serviceflow Beyond Workflow? Concepts and Architectures for Supporting Inter-organizational Service Processes (IW, RK), pp. 500–515.
EDOCEDOC-2002-BagliettoMPZ #community #deployment
Deployment of Service Oriented Architecture for a Business Community (PB, MM, AP, NZ), pp. 293–304.
EDOCEDOC-2002-CariouBJ #distributed #implementation #process
An Architecture and a Process for Implementing Distributed Collaborations (EC, AB, JMJ), pp. 132–143.
EDOCEDOC-2002-MarvieMG #component #distributed #modelling
Separation of Concerns in Modeling Distributed Component-Based Architectures (RM, PM, JMG), pp. 144–154.
EDOCEDOC-2002-MosM #approach #component #modelling #performance #using
Performance Management in Component-Oriented Systems Using a Model Driven ArchitectureTM Approach (AM, JM), pp. 227–237.
EDOCEDOC-2002-WangR #corba #lightweight #performance
An Architecture for Web-Enabled Engineering Applications Based on Lightweight High Performance CORBA (GW, RR), pp. 249–257.
ICEISICEIS-2002-BirkholzerV #enterprise #information management #integration
The Role of Enterprise Architecture for Planning and Managing Future Information Systems Integration (TB, JV), pp. 42–49.
ICEISICEIS-2002-BlythCS #named #xml
XEON — An Architecture for an XML Enabled Firewall (AB, DC, IS), pp. 1111–1116.
ICEISICEIS-2002-CarballoDC #internet
Thin Servers — An Architecture to Support Arbitrary Placement of Computation in the Internet (JCDyC, AD, RCHC), pp. 1080–1085.
ICEISICEIS-2002-GarciaRPP #concept #maintenance
Conceptual Architecture for the Assessme NT and Improvement of Software Maintenance (FG, FR, MP, MP), pp. 610–617.
ICEISICEIS-2002-KamogawaM #process #towards
Towards a New Business Process Architecture (TK, MJM), pp. 823–829.
ICPRICPR-v2-2002-GarciaD #detection #performance #robust
A Neural Architecture for Fast and Robust Face Detection (CG, MD), pp. 44–47.
SEKESEKE-2002-AbateB #approach #component #design #scalability
A scalable approach to the design of SW architectures with dynamically create/destroyed components (PA, MB), pp. 255–262.
SEKESEKE-2002-AmbriolaK
Architectural transformations (VA, AK), pp. 275–278.
SEKESEKE-2002-BarberHB #evaluation #performance
Performance evaluation of domain reference architectures (KSB, JH, GB), pp. 225–232.
SEKESEKE-2002-Dantzig #design #web
Architecture and design of high volume web sites: (a brief history of IBM sport and event web sites) (PD), pp. 17–24.
SEKESEKE-2002-DustdarG #collaboration #distributed #mobile
Architectural concerns in distributed and mobile collaborative systems (SD, HCG), pp. 521–522.
SEKESEKE-2002-FresaNPS #assessment #case study
Assessment of software architectures: a case study (AF, GN, EP, GS), pp. 699–706.
SEKESEKE-2002-FukuzawaS
Evaluating software architectures by coloured petrit nets (KF, MS), pp. 263–270.
SEKESEKE-2002-HeDD #model checking #specification
Model checking software architecture specifications in SAM (XH, JD, YD), pp. 271–278.
SEKESEKE-2002-KirdaFRG #mobile
A service architecture for mobile teamwork (EK, PF, GR, HCG), pp. 513–518.
SEKESEKE-2002-Medvidovic #development #middleware #on the
On the role of middleware in architecture-based software development (NM), pp. 299–306.
SEKESEKE-2002-Micucci #industrial #knowledge-based #monitoring
Exploiting the kaleidoscope architecture in an industrial environmental monitoring system with heterogeneous devices and a knowledge-based supervisor (DM), pp. 685–688.
SEKESEKE-2002-PeriniSG #coordination #multi #requirements #specification
Coordination specification in multi-agent systems: from requirements to architecture with the Tropos methodology (AP, AS, FG), pp. 51–54.
SEKESEKE-2002-SchmerlG #design #self
Exploiting architectural design knowledge to support self-repairing systems (BRS, DG), pp. 241–248.
SEKESEKE-2002-SvahnbergWLM #comprehension #quality
A method for understanding quality attributes in software architecture structures (MS, CW, LL, MM), pp. 819–826.
SPLCSPLC-2002-Linden #overview #perspective #platform #process #product line
Engineering Software Architectures, Processes and Platforms for System Families — ESAPS Overview (FvdL), pp. 383–398.
SPLCSPLC-2002-MuthigA #modelling #product line
Model-Driven Product Line Architectures (DM, CA), pp. 110–129.
SPLCSPLC-2002-ThielH #design #integration #product line #variability
Systematic Integration of Variability into Product Line Architecture Design (ST, AH), pp. 130–153.
SPLCSPLC-2002-Zyl #product line
Product Line Architecture and the Separation of Concerns (JvZ), pp. 90–109.
ECOOPECOOP-2002-AldrichCN #reasoning
Architectural Reasoning in ArchJava (JA, CC, DN), pp. 334–367.
OOPSLAOOPSLA-2002-MichelH #constraints
A constraint-based architecture for local search (LM, PVH), pp. 83–100.
AdaEuropeAdaEurope-2002-Jazayeri #evolution #on the
On Architectural Stability and Evolution (MJ), pp. 13–23.
PEPMASIA-PEPM-2002-Amarasinghe #compilation
Defying the speed of light: : a spatially-aware compiler for wire-exposed architectures (SPA), p. 70.
AdaSIGAda-2002-Gantsou #distributed #framework
An architectural framework for supporting distributed object based routing (DG), pp. 27–29.
RERE-2002-HallJLNR #problem #requirements #using
Relating Software Requirements and Architectures Using Problem Frames (JGH, MJ, RCL, BN, LR), pp. 137–144.
RERE-2002-Kozaczynski #requirements #risk management
Requirements, Architectures and Risks (WK), pp. 6–7.
ASEASE-2002-BarberGH #evaluation #non-functional #using
Enabling Iterative Software Architecture Derivation Using Early Non-Functional Property Evaluation (KSB, TJG, JH), pp. 172–182.
FSEFSE-2002-CobleighOWL #adaptation #composition
Containment units: a hierarchically composable architecture for adaptive systems (JMC, LJO, AEW, BSL), pp. 159–165.
FSEFSE-2002-LopesFW
Architectural primitives for distribution and mobility (AL, JLF, MW), pp. 41–50.
ICSEICSE-2002-AldrichCN #implementation #named
ArchJava: connecting software architecture to implementation (JA, CC, DN), pp. 187–197.
ICSEICSE-2002-Bosch #re-engineering
Architecture-centric software engineering (JB), pp. 681–682.
ICSEICSE-2002-BratthallGHJKMOZA #industrial
Integrating hundred’s of product through one architecture: the industrial IT architecture (LB, RvdG, HH, EJ, ZK, RM, MO, CZ, JSA), pp. 604–614.
ICSEICSE-2002-DashofyHT #agile #development #framework #xml
An infrastructure for the rapid development of XML-based architecture description languages (EMD, AvdH, RNT), pp. 266–276.
ICSEICSE-2002-Fowler02a #information management
Information systems architecture (MF), p. 702.
ICSEICSE-2002-HassanH #web
Architecture recovery of web applications (AEH, RCH), pp. 349–359.
ICSEICSE-2002-InoueHHN #industrial
A dynamic pair-program sending architecture for industrial remote operations (TI, YH, KH, MN), pp. 385–391.
ICSEICSE-2002-KruchtenSK #named #tutorial #uml
Tutorial: describing software architecture with UML (PK, BS, WK), pp. 693–694.
ICSEICSE-2002-Maccari #case study #evolution #experience #product line
Experiences in assessing product family software architecture for evolution (AM), pp. 585–592.
ICSEICSE-2002-PaceC #aspect-oriented #framework #object-oriented
An object-oriented bridge among architectural styles, aspects and frameworks (JADP, MRC), p. 717.
ICSEICSE-2002-SchapachnikBO #approach #automaton #development #distributed #model checking
An architecture-centric approach to the development of a distributed model-checker for timed automata (FS, VAB, AO), p. 710.
SACSAC-2002-ArdagnaF #design #web
A cost-oriented methodology for the design of web based IT architectures (DA, CF), pp. 1127–1133.
SACSAC-2002-DavidssonW #coordination #multi
A multi-agent system architecture for coordination of just-in-time production and distribution (PD, FW), pp. 294–299.
SACSAC-2002-GoumasAK #automation #code generation #parallel
Automatic code generation for executing tiled nested loops onto parallel architectures (GIG, MA, NK), pp. 876–881.
SACSAC-2002-HoomanP #distributed #replication #verification
Formal verification of replication on a distributed data space architecture (JH, JvdP), pp. 351–358.
SACSAC-2002-MathiasCDM #distributed #heuristic #metaheuristic #named #optimisation
DEVOpT: a distributed architecture supporting heuristic and metaheuristic optimization methods (ENM, CMdC, FLD, FMM), pp. 870–875.
GPCEGPCE-2002-Butler #case study #evolution #framework #refactoring
Architectural Refactoring in Framework Evolution: A Case Study (GB), pp. 128–139.
ASPLOSASPLOS-2002-Estrin #challenge #network #research
Keynote address: Sensor network research: emerging challenges for architecture, systems, and languages (DE), pp. 1–4.
ASPLOSASPLOS-2002-GordonTKLMLLWHMA #compilation
A stream compiler for communication-exposed architectures (MIG, WT, MK, JL, ASM, AAL, CL, JW, HH, DM, SPA), pp. 291–303.
DACDAC-2002-LahiriDR #communication #design #performance #power management
Communication architecture based power management for battery efficient system design (KL, SD, AR), pp. 691–696.
DACDAC-2002-NohlBSLMH #flexibility #performance #simulation
A universal technique for fast and flexible instruction-set architecture simulation (AN, GB, OS, RL, HM, AH), pp. 22–27.
DACDAC-2002-ThieleCGK #design #framework #trade-off
A framework for evaluating design tradeoffs in packet processing architectures (LT, SC, MG, SK), pp. 880–885.
DATEDATE-2002-GoffioulWVD #analysis #approach #using
Analysis of Nonlinearities in RF Front-End Architectures Using a Modified Volterra Series Approach (MG, PW, GV, SD), pp. 352–356.
DATEDATE-2002-PandeyP #algorithm #design #generative #incremental #testing
An Incremental Algorithm for Test Generation in Illinois Scan Architecture Based Designs (ARP, JHP), pp. 368–375.
DATEDATE-2002-RizzoC #case study #configuration management #video
A Video Compression Case Study on a Reconfigurable VLIW Architecture (DR, OC), pp. 540–546.
DATEDATE-2002-Sanchez-ElezFMMKHB #configuration management #multi
A Complete Data Scheduler for Multi-Context Reconfigurable Architectures (MSE, MF, RM, RH, NB, FJK), pp. 547–552.
DATEDATE-2002-SassatelliTBGDCG #configuration management #scalability
Highly Scalable Dynamically Reconfigurable Systolic Ring-Architecture for DSP Applications (GS, LT, PB, TG, CD, GC, JG), pp. 553–558.
DATEDATE-2002-SoininenKQ #algorithm #estimation
Mappability Estimation of Architecture and Algorithm (JPS, JK, YQ), p. 1132.
HPCAHPCA-2002-CascavalCCDGLMSW #evaluation #parallel #thread
Evaluation of a Multithreaded Architecture for Cellular Computing (CC, JGC, LC, MD, MG, DL, JEM, KS, HSWJ), pp. 311–322.
HPCAHPCA-2002-GrochowskiAT #power management #simulation
Microarchitectural Simulation and Control of di/dt-induced Power Supply Voltage Variation (EG, DA, VT), pp. 7–16.
HPDCHPDC-2002-ChengGSSH #adaptation #grid
Software Architecture-Based Adaptation for Grid Computing (SWC, DG, BRS, PS, NH), pp. 389–398.
HPDCHPDC-2002-CoreyJV #adaptation #empirical #grid #parametricity
Local Discovery of System Architecture — Application Parameter Sensitivity: An Empirical Technique for Adaptive Grid Applications (IC, JRJ, JSV), p. 399–?.
HPDCHPDC-2002-KenyonC #grid #requirements
Architecture Requirements for Commercializing Grid Resources (CK, GC), pp. 215–224.
ISMMISMM-2002-JohanssonSW #concurrent #message passing #using
Heap architectures for concurrent languages using message passing (EJ, KFS, JW), pp. 195–206.
LCTESLCTES-SCOPES-2002-ChoPW #algorithm #graph #memory management #performance
Efficient register and memory assignment for non-orthogonal architectures via graph coloring and MST algorithms (JC, YP, DBW), pp. 130–138.
LCTESLCTES-SCOPES-2002-KesslerB #clustering #code generation
Optimal integrated code generation for clustered VLIW architectures (CWK, AB), pp. 102–111.
LCTESLCTES-SCOPES-2002-QianCS #clustering
Loop fusion for clustered VLIW architectures (YQ, SC, PHS), pp. 112–119.
LCTESLCTES-SCOPES-2002-ScholzE
Register allocation for irregular architectures (BS, EE), pp. 139–148.
LCTESLCTES-SCOPES-2002-WahlenGNHLM #case study #compilation
Application specific compiler/architecture codesign: a case study (OW, TG, AN, AH, RL, HM), pp. 185–193.
PDPPDP-2002-CotroneoMRR #integration #legacy #multi
Integration of Legacy Client-Server Applications in a Secure Multi-Tier Architecture (DC, AM, LR, SR), pp. 269–276.
PDPPDP-2002-Grosspietsch
Unorthodox Computing Architectures (KEG), pp. 209–210.
PDPPDP-2002-KlauerEMW #automation #data type #parallel #thread
The CDAG: A Data Structure for Automatic Parallelization for a Multithreaded Architecture (BK, FE, RM, KW), pp. 219–226.
FASEFASE-2002-BernardoF
Architectural Types Revisited: Extensible And/Or Connections (MB, FF), pp. 113–127.
CBSECBSE-2001-Garlan
Software Architecture (DG), p. 3.
CBSECBSE-2001-Wile #using
Ensuring General-Purpose and Domain-Specific Properties Using Architectural Styles (DSW), p. 6.
WICSAWICSA-2001-BaragryR #why
Why We Need A Different View of Software Architecture (JB, KR), p. 125–?.
WICSAWICSA-2001-BernardoCD #algebra #detection #process
Detecting Architectural Mismatches in Process Algebraic Descriptions of Software Systems (MB, PC, LD), pp. 77–86.
WICSAWICSA-2001-DashofyHT #xml
A Highly-Extensible, XML-Based Architecture Description Language (EMD, AvdH, RNT), pp. 103–112.
WICSAWICSA-2001-DingM #approach #evolution #incremental #named
Focus: A Light-Weight, Incremental Approach to Software Architecture Recovery and Evolution (LD, NM), p. 191–?.
WICSAWICSA-2001-EgyedW #modelling #statechart
Statechart Simulator for Modeling Architectural Dynamics (AE, DSW), p. 87–?.
WICSAWICSA-2001-HakalaHKPVV #reuse
Annotating Reusable Software Architectures with Specialization Patterns (MH, JH, KK, JP, AV, JV), pp. 171–180.
WICSAWICSA-2001-Jonkers #interface
Interface-Centric Architecture Descriptions (HJ), pp. 113–124.
WICSAWICSA-2001-KloukinasI
SPIN-ning Software Architectures: A Method for Exploring Complex (CK, VI), pp. 67–76.
WICSAWICSA-2001-Kozaczynski #question
Is Architecture a Product that Can Be Packaged and Sold? (WK), p. 3–?.
WICSAWICSA-2001-Laine #development #embedded #object-oriented #problem #scalability
The Role of SW Architectures in Solving Fundamental Problems in Object-Oriented Development of Large Embedded SW Systems (PKL), pp. 14–23.
WICSAWICSA-2001-MitchellRM #algorithm #clustering
An Architecture for Distributing the Computation of Software Clustering Algorithms (BSM, MT, SM), pp. 181–190.
WICSAWICSA-2001-Schwanke
Layers, Decisions, Patterns, Styles, and Architectures (RWS), pp. 137–147.
WICSAWICSA-2001-Shaw #bound #communication #research #ubiquitous
When System Boundaries Dissolve: Research Opportunities in Software Architectures for Ubiquitous Computing and Communication (MS), p. 99–?.
WICSAWICSA-2001-Sotirovski #fault tolerance #towards
Towards Fault-tolerant Software Architectures (DMS), pp. 7–13.
WICSAWICSA-2001-StoermerO #mining #named #product line
MAP — Mining Architectures for Product Line Evaluations (CS, LO), pp. 35–44.
DocEngDocEng-2001-Muchaluat-SaadeS #authoring #convergence #hypermedia #towards
Towards the convergence between hypermedia authoring languages and architecture description languages (DCMS, LFGS), pp. 48–57.
TPDLECDL-2001-BergmarkL #automation
An Architecture for Automatic Reference Linking (DB, CL), pp. 115–126.
TPDLECDL-2001-KholiefSM #data type #library #retrieval
Architecture for Event-Based Retrieval from Data Streams in Digital Libraries (MK, SNTS, KM), pp. 300–311.
HTHT-2001-Kahn #hypermedia
Information architecture: a new discipline for organizing hypertext (PK), pp. 1–2.
ICDARICDAR-2001-DowntonTWHLBSR #design #legacy
Constructing Web-Based Legacy Index Card Archives — Architectural Design Issues and Initial Data Acquisition (ACD, ACT, GJW, ACH, SML, GWB, MJS, GSR), pp. 854–858.
JCDLJCDL-2001-DongA #design #education #library
Design principles for the information architecture of a SMET education digital library (AD, AMA), pp. 314–321.
JCDLJCDL-2001-NelsonMGY #video
A bucket architecture for the open video project (MLN, GM, GG, MY), pp. 310–311.
VLDBVLDB-2001-Gentili
Italian Electronic Identity Card — principle and architecture (MG), pp. 629–632.
VLDBVLDB-2001-Kotidis #process
A Data Warehousing Architecture for Enabling Service Provisioning Process (YK), pp. 481–490.
VLDBVLDB-2001-NarayananS
Tavant System Architecture for Sell-side Channel Management (SN, SNS), pp. 675–678.
VLDBVLDB-2001-Shmueli #deployment #web #web service
Architectures for Internal Web Services Deployment (OS), pp. 641–644.
CSMRCSMR-2001-BoschB #maintenance
Assessing Optimal Software Architecture Maintainability (JB, PB), pp. 168–175.
ICSMEICSM-2001-BennettMGLBB #agile #evolution
An Architectural Model for Service-Based Software with Ultra Rapid Evolution (KHB, MM, NG, PJL, DB, PB), pp. 292–300.
ICSMEICSM-2001-ChungS #case study #embedded #evolution #semantics
Architecture-Based Semantic Evolution: A Study of Remotely Controlled Embedded Systems (LC, NS), pp. 663–666.
ICSMEICSM-2001-Furone #re-engineering
An Architecture for Re-engineering of Client/Server Applications (AF), p. 105.
ICSMEICSM-2001-Lemos #evolution #using
Describing Evolving Dependable Systems Using Co-Operative Software Architectures (RdL), p. 320–?.
ICSMEICSM-2001-RotschkeKH #analysis #multi
Multi-View Architecture Trend Analysis for Medical Imaging (TR, RLK, DH), p. 107.
ICSMEICSM-2001-SartipiK #approach #graph #pattern matching
A Graph Pattern Matching Approach to Software Architecture Recovery (KS, KK), p. 408–?.
ICSMEICSM-2001-TuG #perspective
The Build-Time Software Architecture View (QT, MWG), pp. 398–407.
IWPCIWPC-2001-BrilP #incremental #legacy #metric #scalability
An Architectural Connectivity Metric and Its Support for Incremental Re-Architecting of Large Legacy Systems (RJB, AP), pp. 269–280.
IWPCIWPC-2001-Perry #comprehension
Software Architecture: Leverage for System/Program Comprehension (DEP), pp. 123–126.
IWPCIWPC-2001-Sartipi #named
Alborz: A Query-based Tool for Software Architecture Recovery (KS), pp. 115–116.
FMFME-2001-AlagarX #approach #e-commerce #modelling
A Rigorous Approach to Modeling and Analyzing E-Commerce Architectures (VSA, ZX), pp. 173–196.
FLOPSFLOPS-2001-Necula #scalability
A Scalable Architecture for Proof-Carrying Code (GCN), pp. 21–39.
CHICHI-2001-Salvucci #interface #predict #using
Predicting the effects of in-car interfaces on driver bahavior using a cognitive architecture (DDS), pp. 120–127.
CAiSECAiSE-2001-FioriniLL #process #reuse
Process Reuse Architecture (STF, JCSdPL, CJPdL), pp. 284–298.
CAiSECAiSE-2001-Lockemann #case study #database #design
Database Systems Architecture: A Study in Factor-Driven Software System Design (PCL), pp. 13–35.
EDOCEDOC-2001-AnderssonJ #enterprise #integration #scalability
Architectural Integration Styles for Large-Scale Enterprise Software Systems (JA, PJ), pp. 224–236.
EDOCEDOC-2001-AtkinsonPRS #component #modelling
Developing and Applying Component-Based Model-Driven Architectures in KobrA (CA, BP, JR, TS), pp. 212–223.
EDOCEDOC-2001-SenivongseS #corba
A CORBA-Based Architecture for Service Change Notification (TS, PS), pp. 22–33.
ICEISICEIS-v1-2001-AllouiO #component #distributed #process #using
Supporting Decentralised Software-Intensive Processes Using ZETA Component-Based Architecture Description Language (IA, FO), pp. 207–215.
ICEISICEIS-v1-2001-FerreiraS #named #personalisation
MySDI: A Generic Architecture to Develop SDI Personalised Services (JF, ARdS), pp. 262–270.
ICEISICEIS-v1-2001-GuimaraesT #distributed #named
SPINO: A Distributed Architecture for Massive Text Storage (JG, PT), pp. 244–248.
ICEISICEIS-v1-2001-Iordanova
An Agent-Based Architecture of Future Integrated Operational Decision Supporting Systems (BNI), pp. 509–513.
ICEISICEIS-v1-2001-MarirY #database #design #named #object-oriented #process
IODBCON: An Integrated Object-Oriented Database System for Integrating Information about Architecture Design and Construction Processes (FM, YJY), pp. 36–43.
ICEISICEIS-v1-2001-MohanY #object-oriented
An Architecture for Incorporating Business Rules in an Object-Oriented System (PM, SY), pp. 53–60.
ICEISICEIS-v1-2001-SharpED #multi
A Layered Architecture for Multi-Agent Systems to Support a Water Main Rehabilitation Strategy 299 (BS, ERE, AD), pp. 304–310.
ICEISICEIS-v1-2001-SivalankaSA #database #legacy #re-engineering
Architecture for Reengineering Legacy Databases (PNS, SVS, RA), pp. 145–150.
ICEISICEIS-v1-2001-TaitP #information management
Presentation of an Information Systems Architecture Model for Public Sector (TFCT, RCSP), pp. 275–278.
ICEISICEIS-v2-2001-PerkinsD #collaboration #transaction
Collaborative Systems Architecture to Reduce Transaction Costs in E-Business (JP, SD), pp. 1155–1161.
ICEISICEIS-v2-2001-Riedl #corba #distributed #network #tool support #xml
A CORBA/XML-Based Architecture for Distributed Network Planning Tools (AR), pp. 926–933.
ICEISICEIS-v2-2001-ZhangB #documentation #enterprise
An Integrated Architecture for Web-Based Enterprise Document Management Systems (WZ, AB), pp. 978–983.
SEKESEKE-2001-BarberGH #correctness #model checking #simulation #using
Evaluating Dynamic Correctness Properties of Domain Reference Architectures Using a Combination of Simulation and Model Checking (KSB, TJG, JH), pp. 19–28.
SEKESEKE-2001-Lopez #analysis #evaluation #framework #trade-off
Application of an Evaluation Framework for Analyzing the Architecture Tradeoff Analysis Method (ML), pp. 397–404.
UMLUML-2001-AlvarezES #metamodelling
Mapping between Levels in the Metamodel Architecture (JMÁ, AE, PS), pp. 34–46.
UMLUML-2001-GrassiM #analysis #mobile #modelling #performance #uml
UML Modelling and Performance Analysis of Mobile Software Architectures (VG, RM), pp. 209–224.
OOPSLAOOPSLA-2001-RiehleFBO #uml #virtual machine
The Architecture of a UML Virtual Machine (DR, SF, DBL, NO), pp. 327–341.
TOOLSTOOLS-EUROPE-2001-KleppeW #approach #aspect-oriented #case study #integration #metamodelling #modelling #object-oriented #uml
Integration of Static and Dynamic Core for UML: A Study in Dynamic Aspects of the pUML OO Meta Modelling Approach to the Rearchitecting of UML (AK, JW), pp. 66–77.
TOOLSTOOLS-EUROPE-2001-LosavioCP #design #modelling #quality
Quality Models to Design Software Architectures (FL, LC, MAP), pp. 123–135.
TOOLSTOOLS-EUROPE-2001-Menkhaus #independence
Architecture for Client-Independent Web-Based Applications (GM), pp. 32–40.
TOOLSTOOLS-EUROPE-2001-PreeP #embedded #framework #object-oriented
Object-Oriented Framework Architectures for Embedded Systems (WP, AP), pp. 199–200.
TOOLSTOOLS-EUROPE-2001-Reenskaug #design #distributed
Architectural Design of Distributed Business Systems (TR), p. 161.
TOOLSTOOLS-EUROPE-2001-Vollmann #configuration management
Architectures for Configurable Systems (DV), p. 162.
TOOLSTOOLS-USA-2001-Arsanjani #approach #component #design #enterprise
A Domain-Language Approach to Designing Dynamic Enterprise Component-Based Architectures to Support Business Services (AA), pp. 130–142.
TOOLSTOOLS-USA-2001-ArsanjaniP #component #development #enterprise
Component Architectures for Web-Based Enterprise Application Development (AA, GP), p. 406.
TOOLSTOOLS-USA-2001-Behravanfar #generative #internet #using
Separation of Data and Presentation for the Next Generation Internet Using the Four-Tier Architecture (RB), pp. 83–88.
TOOLSTOOLS-USA-2001-Pour01a #mobile
Jini-Based Mobile Agent Architecture for Human Planetary Exploration (GP), pp. 337–346.
TOOLSTOOLS-USA-2001-Tracz
Extreme Architecting: Surviving the Architectural View Zoo (WT), p. 382.
AdaEuropeAdaEurope-2001-KallbergS #evolution
Ship System 2000, a Stable Architecture under Continuous Evolution (BK, RS), pp. 371–379.
GPCEGCSE-2001-BruijnV #evaluation #generative
Scenario-Based Generation and Evaluation of Software Architectures (HdB, HvV), pp. 128–139.
GPCEGCSE-2001-Wijnstra #component #framework #interface #modelling #platform
Components, Interfaces and Information Models within a Platform Architecture (JGW), pp. 25–35.
AdaSIGAda-2001-Edgerton #case study #development #experience
Experience report: architecture-based software development on the Crusader program (SE), pp. 127–128.
AdaSIGAda-2001-KallbergS #evolution
Ship system 2000, a stable architecture under continuous evolution (BK, RS), pp. 47–52.
AdaSIGAda-2001-SherrillAH #ada #implementation #product line
Implementing a product line-based architecture in Ada (JS, JA, GH), pp. 39–46.
RERE-2001-ChungS #adaptation #metric
Process-Oriented Metrics for Software Architecture Adaptability (LC, NS), pp. 310–311.
RERE-2001-Galal
Scenario-Based Systems Architecting (GHG), pp. 318–319.
RERE-2001-GrossY #approach #evolution
Evolving System Architecture to Meet Changing Business Goals: An Agent and Goal-Oriented Approach (DG, ESKY), pp. 316–317.
RERE-2001-GrunbacherEM #approach #requirements
Reconciling Software Requirements and Architectures: The CBSP Approach (PG, AE, NM), pp. 202–211.
RERE-2001-Wile #requirements
Residual Requirements and Architectural Residue (DSW), pp. 194–201.
ASEASE-2001-BarberGH #automation #development #feedback #model checking
Providing Early Feedback in the Development Cycle Through Automated Application of Model Checking to Software Architectures (KSB, TJG, JH), pp. 341–345.
ASEASE-2001-FahmyHC #algebra
Wins and Losses of Algebraic Transformations of Software Architectures (HF, RCH, JRC), pp. 51–62.
ASEASE-2001-GrundyCL #distributed #generative
Generation of Distributed System Test-Beds from High-Level Software Architecture Descriptions (JCG, YC, AL), pp. 193–200.
ASEASE-2001-InverardiMP #automation #consistency #modelling #using
Automated Check of Architectural Models Consistency Using SPIN (PI, HM, PP), pp. 346–349.
ASEASE-2001-InverardiS #component #concurrent #synthesis
Connectors Synthesis for Deadlock-Free Component-Based Architectures (PI, SS), p. 174–?.
FSEESEC-FSE-2001-DavisGPJU #interactive #problem
A notation for problematic architecture interactions (LAD, RFG, JP, GJ, DJU), pp. 132–141.
FSEESEC-FSE-2001-HoekMRM #evolution
Taming architectural evolution (AvdH, MMR, RR, NM), pp. 1–10.
FSEESEC-FSE-2001-MorisawaT #distributed #product line
An architectural style of product lines for distributed processing systems, and practical selection method (YM, KT), pp. 11–20.
FSEESEC-FSE-2001-NordPSS #design
Software architecture in a changing world: developing design strategies that anticipate change (RLN, DJP, RWS, DS), pp. 309–310.
FSEESEC-FSE-2001-Ran #concept
Fundamental concepts for practical software architecture (AR), pp. 328–329.
FSEESEC-FSE-2001-SliskiBCO #evolution #flexibility
An architecture for flexible, evolvable process-driven user-guidance environments (TJS, MPB, LAC, LJO), pp. 33–43.
FSEESEC-FSE-2001-WermelingerLF #graph
A graph based architectural (Re)configuration language (MW, AL, JLF), pp. 21–32.
ICSEICSE-2001-BertolinoIM #execution #testing
An Explorative Journey from Architectural Tests Definition downto Code Tests Execution (AB, PI, HM), pp. 211–220.
ICSEICSE-2001-Bosch01a #design #product line
Software Product Lines and Software Architecture Design (JB), p. 717.
ICSEICSE-2001-CastroK #requirements
From Software Requirements to Architectures (JC, JK), pp. 764–765.
ICSEICSE-2001-EmmerichEF #enterprise #integration #named
TIGRA — An Architectural Style for Enterprise Application Integration (WE, EE, HF), pp. 567–576.
ICSEICSE-2001-HakalaHKPVV #programming #using
Architecture-Oriented Programming Using FRED (MH, JH, KK, JP, AV, JV), pp. 823–824.
ICSEICSE-2001-KazmanAK #cost analysis
Quantifying the Costs and Benefits of Architectural Decisions (RK, JA, MK), pp. 297–306.
ICSEICSE-2001-Korson #case study #java #uml
From UML to Java, Building a 3-Tier Architecture: Case Study (TDK), pp. 735–736.
ICSEICSE-2001-Marsic
An Architecture for Heterogeneous Groupware Applications (IM), pp. 475–484.
ICSEICSE-2001-NordPSH #analysis #design #effectiveness #uml
Effective Software Architecture Design: From Global Analysis to UML Descriptions (RLN, DJP, DS, CH), pp. 741–742.
ICSEICSE-2001-Ran #concept #tutorial
Tutorial on Fundamental Concepts for Practical Software Architecture (AR), pp. 722–723.
ICSEICSE-2001-SelicK #uml
Describing Software Architecture with UML (PK, BS, WK), pp. 715–716.
ICSEICSE-2001-SelicKLB #uml
Describing Software Architecture with UML (PK, BS, WK, GL, AWB), p. 777.
ICSEICSE-2001-Shaw #research
The Coming-of-Age of Software Architecture Research (MMS), pp. 656–664.
ICSEICSE-2001-SmithOB #analysis #component #mining #product line #re-engineering
Mining Components for a Software Architecture and a Product Line: the Options Analysis for Reengineering (OAR) Method (DBS, LO, JB), p. 728.
ICSEICSE-2001-Smolko #consistency #design #distributed #evaluation #mobile
Design and Evaluation of the Mobile Agent Architecture for Distributed Consistency Management (DS), pp. 799–800.
ICSEICSE-2001-SullivanS
A Web-Oriented Architectural Aspect for the Emerging Computational Tapestry (KJS, AS), pp. 485–492.
ICSEICSE-2001-White01a #design #enterprise
Enterprise JavaBean Architecture and Design Issues (JW), pp. 731–732.
SACSAC-2001-Chiang #distributed #re-engineering
A distributed object computing architecture for leveraging software reengineering systems (CCC), pp. 653–657.
SACSAC-2001-CornoRS #effectiveness #evolution
Evolving effective CA/CSTP: BIST architectures for sequential circuits (FC, MSR, GS), pp. 345–350.
SACSAC-2001-CuestaFB #coordination #using
Dynamic coordination architecture through the use of reflection (CECQ, PdlF, MBS), pp. 134–140.
SACSAC-2001-RosaJC #framework #non-functional
A framework for building non-functional software architectures (NSR, GRRJ, PRFC), pp. 141–147.
SACSAC-2001-ThangiahSM #problem
An agent architecture for vehicle routing problems (SRT, OS, WM), pp. 517–521.
DACDAC-2001-BazarganOS #compilation #configuration management #design #physics #scheduling
Integrating Scheduling and Physical Design into a Coherent Compilation Cycle for Reconfigurable Computing Architectures (KB, SO, MS), pp. 635–640.
DACDAC-2001-BeniniMMMP #embedded #layout #memory management #synthesis
From Architecture to Layout: Partitioned Memory Synthesis for Embedded Systems-on-Chip (LB, LM, AM, EM, MP), pp. 784–789.
DACDAC-2001-Bondalapati #configuration management #using
Parallelizing DSP Nested Loops on Reconfigurable Architectures using Data Context Switching (KB), pp. 273–276.
DACDAC-2001-Dervisoglu #data access
A Unified DFT Architecture for Use with IEEE 1149.1 and VSIA/IEEE P1500 Compliant Test Access Controllers (BID), pp. 53–58.
DACDAC-2001-JacomeVP #clustering
Clustered VLIW Architectures with Predicated Switching (MFJ, GdV, SP), pp. 696–701.
DACDAC-2001-KarimNDR #communication #network
On-Chip Communication Architecture for OC-768 Network Processors (FK, AN, SD, RRR), pp. 678–683.
DACDAC-2001-KudlugiHSP #functional #simulation #transaction #verification
A Transaction-Based Unified Simulation/Emulation Architecture for Functional Verification (MK, SH, CS, DP), pp. 623–628.
DACDAC-2001-LahiriRL #communication #design #named
LOTTERYBUS: A New High-Performance Communication Architecture for System-on-Chip Designs (KL, AR, GL), pp. 15–20.
DACDAC-2001-LyonnardYBJ #automation #generative #multi
Automatic Generation of Application-Specific Architectures for Heterogeneous Multiprocessor System-on-Chip (DL, SY, AB, AAJ), pp. 518–523.
DACDAC-2001-PetrovO #embedded
Speeding Up Control-Dominated Applications through Microarchitectural Customizations in Embedded Processors (PP, AO), pp. 512–517.
DACDAC-2001-ZhangRKJ #3d #integration
Exploring SOI Device Structures and Interconnect Architectures for 3-Dimensional Integration (RZ, KR, CKK, DBJ), pp. 846–851.
DATEDATE-2001-Axelsson #tool support
Methods and tools for systems engineering of automotive electronic architectures (JA), p. 112.
DATEDATE-2001-BaghdadiLZJ #design #multi #performance
An efficient architecture model for systematic design of application-specific multiprocessor SoC (AB, DL, NEZ, AAJ), pp. 55–63.
DATEDATE-2001-BertoniBF #encryption #finite #multi #performance
Efficient finite field digital-serial multiplier architecture for cryptography applications (GB, LB, PF), p. 812.
DATEDATE-2001-HettichT #challenge
Vehicle electric/electronic architecture — one of the most important challenges for OEM’s (GH, TT), pp. 112–113.
DATEDATE-2001-IyerM #power management #scalability
Power aware microarchitecture resource scaling (AI, DM), pp. 190–196.
DATEDATE-2001-KuterB #clustering
Architecture driven partitioning (JK, EB), pp. 479–487.
DATEDATE-2001-NogueraB #algorithm #clustering #configuration management
A HW/SW partitioning algorithm for dynamically reconfigurable architectures (JN, RMB), p. 729.
DATEDATE-2001-PandayCM #named
AIL: description of a global electronic architecture at the vehicle scale (AP, DC, SM), p. 112.
DATEDATE-2001-PaulinKB #embedded #network #requirements #tool support
Network processors: a perspective on market requirements, processor architectures and embedded S/W tools (PGP, FK, PB), pp. 420–429.
DATEDATE-2001-TerechkoPE #clustering #named
PRMDL: a machine description language for clustered VLIW architectures (AT, EJDP, JTJvE), p. 821.
DATEDATE-2001-WormLW #design #performance #power management
Design of low-power high-speed maximum a priori decoder architectures (AW, HL, NW), pp. 258–267.
HPCAHPCA-2001-AcacioGGD #multi #scalability
A New Scalable Directory Architecture for Large-Scale Multiprocessors (MEA, JG, JMG, JD), pp. 97–106.
HPCAHPCA-2001-CainRML #evaluation #java
An Architectural Evaluation of Java TPC-W (HWC, RR, MM, MHL), pp. 229–240.
HPCAHPCA-2001-HeathKPN #communication #scalability
Quantifying the Impact of Architectural Scaling on Communication (TH, SK, RPM, TDN), pp. 267–277.
HPCAHPCA-2001-LeeSNT #stack
Stack Value File: Custom Microarchitecture for the Stack (HHSL, MS, CJN, GST), pp. 5–14.
HPCAHPCA-2001-LeeST #automation #memory management
Automatically Mapping Code on an Intelligent Memory Architecture (JL, YS, JT), pp. 121–132.
HPCAHPCA-2001-PehD #pipes and filters
A Delay Model and Speculative Architecture for Pipelined Routers (LSP, WJD), pp. 255–266.
HPCAHPCA-2001-YangPFRV #approach
An Integrated Circuit/Architecture Approach to Reducing Leakage in Deep-Submicron High-Performance I-Caches (SHY, MDP, BF, KR, TNV), pp. 147–157.
HPDCHPDC-2001-DindaGKLMSS
The Architecture of the Remos System (PAD, TRG, RK, BL, NM, PS, DS), pp. 252–265.
HPDCHPDC-2001-RoyoCKF #network #pipes and filters #resource management
Active Yellow Pages: A Pipelined Resource Management Architecture for Wide-Area Network Computing (DR, LDdC, NHK, JABF), pp. 147–157.
HPDCHPDC-2001-WuB #analysis #parallel
Parallel Retrograde Analysis on Different Architecture (RW, DFB), pp. 356–362.
LCTESLCTES-OM-2001-GranstonSZ #pipes and filters
Software Pipelining Irregular Loops on the TMS320C6000 VLIW DSP Architecture (EDG, ES, JZ), pp. 138–144.
LCTESLCTES-OM-2001-HuangCS
Loop Transformations for Architectures with Partitioned Register Banks (XH, SC, PHS), pp. 48–55.
LCTESLCTES-OM-2001-KadayifKVIR
Morphable Cache Architectures: Potential Benefits (IK, MTK, NV, MJI, JR), pp. 128–137.
PDPPDP-2001-BaiardiGMMR #data type #distributed #memory management
DVSA and SHOB: Support to Shared Data Structures on Distributed Memory Architectures (FB, DG, PM, LM, LR), pp. 165–172.
PDPPDP-2001-GoncalvesPPSNS #branch #performance #predict #smt
Evaluating the Effects of Branch Prediction Accuracy on the Performance of SMT Architectures (RG, MLP, GDP, TGSdS, POAN, RS), pp. 355–362.
PDPPDP-2001-GrosspietschB #memory management #performance
A Smart Memory Architecture for the Efficient Support of Artificial Neural Nets (KEG, JB), p. 451–?.
PDPPDP-2001-MooreKW
The SDAARC Architecture (RM, BK, KW), pp. 429–435.
PDPPDP-2001-Ruckert #network
ULSI Architectures for Artificial Neural Networks (UR0), pp. 436–442.
PDPPDP-2001-Tavangarian #tool support
Local-Area and Wide-Area Computing: Architectures and Tools (DT), p. 40–?.
SOSPSOSP-2001-WelshCB #internet #named #scalability
SEDA: An Architecture for Well-Conditioned, Scalable Internet Services (MW, DEC, EAB), pp. 230–243.
FASEFASE-2001-SchroderMTKH #semantics #specification
Semantics of Architectural Specifications in CASL (LS, TM, AT, BK, PH), pp. 253–268.
CAVCAV-2001-JhalaM #composition #model checking #verification
Microarchitecture Verification by Compositional Model Checking (RJ, KLM), pp. 396–410.
ICLPICLP-2001-VillaverdePGG #implementation #named #prolog
PALS: An Or-Parallel Implementation of Prolog on Beowulf Architectures (KV, EP, HFG, GG), pp. 27–42.
CBSECBSE-2000-HallsteinsenDJO #component
A Component Oriented Domain Architecture for Fish Farming (SH, ØD, MJ, JOO), p. 5.
CBSECBSE-2000-ThomasonBL #classification #component
CLARiFi An Architecture for Component Classification and Brokerage (ST, PB, SL), p. 17.
DL-2000-YaoKEN #analysis #using
Asynchronous information space analysis architecture using content and structure-based service brokering (KTY, IYK, RE, RN), pp. 133–142.
TPDLECDL-2000-DzeakouD #documentation #multi #set
An Architecture for a Multi Criteria Exploration of a Documents Set (PD, JCD), pp. 494–497.
TPDLECDL-2000-EstebanGJ #modelling #personalisation
Evaluating a User-Model Based Personalisation Architecture for Digital News Services (ADE, PGGN, AGJ), pp. 259–268.
TPDLECDL-2000-KovacsMPZ #query #user interface
AQUA (Advanced Query User Interface Architecture) (LK, AM, BP, IZ), pp. 372–375.
VLDBVLDB-2000-ChaudhuriW #database #self #towards
Rethinking Database System Architecture: Towards a Self-Tuning RISC-Style Database System (SC, GW), pp. 1–10.
VLDBVLDB-2000-Nori #named
Asera: Extranet Architecture for B2B Solutions (AN), p. 681.
WCREWCRE-J-1997-JerdingR00 #locality #using #visualisation
Using visualization for architectural localization and extraction (DFJ, SR), pp. 267–284.
CSMRCSMR-2000-BojicV #comprehension #re-engineering #reuse
A Use-Case Driven Method of Architecture Recovery for Program Understanding and Reuse Reengineering (DB, DMV), pp. 23–32.
CSMRCSMR-2000-SartipiKM #data mining #design #mining #using
Architectural Design Recovery using Data Mining Techniques (KS, KK, FM), pp. 129–140.
CSMRCSMR-2000-Zhao #approach #reuse
A Slicing-based Approach to Extracting Reusable Software Architectures (JZ), pp. 215–223.
ICSMEICSM-2000-FahmyH
Software Architecture Transformations (HF, RCH), pp. 88–96.
IWPCIWPC-2000-BratthallW #aspect-oriented #comprehension #design #modelling #quality
Understanding Some Software Quality Aspects from Architecture and Design Models (LB, CW), pp. 27–34.
IWPCIWPC-2000-CounsellNM #object-oriented #reverse engineering #testing
Architectural Level Hypothesis Testing through Reverse Engineering of Object-Oriented Software (SC, PN, EM), pp. 60–66.
IWPCIWPC-2000-SartipiKM #framework #pattern matching
A Pattern Matching Framework for Software Architecture Recovery and Restructuring (KS, KK, FM), pp. 37–47.
IWPCIWPC-2000-TranGLH #open source
Architectural Repair of Open Source Software (JBT, MWG, EHSL, RCH), pp. 48–59.
WCREWCRE-2000-GannodML #adaptation #approach #legacy
An Architectural-based Approach for Synthesizing and Integrating Adapters for Legacy Software (GCG, SVM, TEL), p. 128–?.
WCREWCRE-2000-HassanH #web
A Reference Architecture for Web Servers (AEH, RCH), p. 150–?.
WCREWCRE-2000-Riva #case study #experience #industrial
Reverse Architecting: An Industrial Experience Report (CR), p. 42–?.
CSCWCSCW-2000-FagrellFS #information management #mobile #named
FieldWise: a mobile knowledge management architecture (HF, KF, JS), pp. 211–220.
CSCWCSCW-2000-McDonaldA #flexibility #recommendation
Expertise recommender: a flexible recommendation system and architecture (DWM, MSA), pp. 231–240.
EDOCEDOC-2000-GinbayashiYH #component #framework #modelling
Business Component Framework and Modeling Method for Component-Based Application Architecture (JG, RY, KH), pp. 184–193.
EDOCEDOC-2000-Northrop
Architecture-based Systems (LMN), p. 262.
EDOCEDOC-2000-SchulzM #interactive
Architecting Cross-Organisational B2B Interactions (KS, ZM), pp. 92–101.
ICEISICEIS-2000-ArribiC #distributed #network #self
A Distributed Agents Architecture for Self-Fault Management in Corporative Networks (JA, VC), pp. 385–389.
ICEISICEIS-2000-NixonWTOD #e-commerce #framework
The Virtues Architecture: A Software Infrastructure for Business-to-Business E-Commerce (PN, VPW, ST, MO, SAD), pp. 461–466.
ICEISICEIS-2000-ReisM #multi #scheduling
An Agent Architecture for Multi-Agent Dynamic Scheduling (JR, NJM), pp. 203–208.
ICEISICEIS-2000-SheeTT
Keys to the Supply-Demand Architecture in Electronic Commerce (DYS, TIT, JtET), pp. 494–498.
ICEISICEIS-2000-TuyaDHBNAO #online
An Architecture for On-Line Intelligent Control of Blast Furnaces (JT, ED, MH, JALB, ÁN, AA, FO), pp. 222–226.
ICEISICEIS-2000-WangHB #distributed
Architectural Considerations with Distributed Computing (YW, RMH, BRB), pp. 535–536.
CIKMCIKM-2000-SmithWSP #database #named #parallel
Polar: An Architecture for a Parallel ODMG Compliant Object Database (JS, PW, SdFMS, NWP), pp. 352–359.
ICPRICPR-v2-2000-StainvasI #hybrid #network #recognition
Blurred Face Recognition via a Hybrid Network Architecture (IS, NI), pp. 2805–2808.
ICPRICPR-v3-2000-Ruiz-del-SolarK #segmentation
Neural-Based Architectures for the Segmentation of Textures (JRdS, DK), pp. 7092–7095.
ICPRICPR-v4-2000-TrivediBG #database
Database Architecture for Autonomous Transportation Agents for On-Scene Networked Incident Management (ATON) (MMT, SKB, AG), pp. 4664–4667.
SPLCSPLC-2000-AmericaOOL #component #framework #named #platform #product line
CoPAM: A component-oriented platform architecting method family for product family engineering (PA, JHO, RCvO, FvdL), pp. 167–180.
SPLCSPLC-2000-Dager #embedded #experience #product line #realtime
Cummins’s experience in developing a software product line architecture for real-time embedded diesel engine controls (JCD), pp. 23–46.
SPLCSPLC-2000-DoerrS #dependence #execution #product line
Freeing product line architectures from execution dependencies (BSD, DS), pp. 313–330.
SPLCSPLC-2000-KishiN #analysis #aspect-oriented #product line
Aspect-oriented analysis for product line architecture (TK, NN), pp. 135–146.
UMLUML-2000-BarrosDLMRW #concept #enterprise #process #uml
Processes, Roles, and Events: UML Concepts for Enterprise Architecture (APB, KD, ML, ZM, KR, AW), pp. 62–77.
UMLUML-2000-GarlanK
Reconciling the Needs of Architectural Description with Object-Modeling Notations (DG, AK), pp. 498–512.
UMLUML-2000-HussmannDF #composition #ocl #tool support
Modular Architecture for a Toolset Supporting OCL (HH, BD, FF), pp. 278–293.
UMLUML-2000-KandeS #towards #uml
Towards a UML Profile for Software Architecture Descriptions (MMK, AS), pp. 513–527.
TOOLSTOOLS-ASIA-2000-LiCC #modelling #uml #web
Modeling Web Application Architecture with UML (JL, PC, JC), pp. 265–274.
TOOLSTOOLS-ASIA-2000-SunLJZ #framework #testing
Architecture Framework for Software Test Tool (CaS, CL, MJ, MZ), pp. 40–49.
TOOLSTOOLS-EUROPE-2000-Bosch
Software Architecture (JB), p. 464.
TOOLSTOOLS-EUROPE-2000-RakotonirainyBIL #component #framework #named
SCAF: A Simple Component Architecture Framework (AR, AB, JI, DL), pp. 359–370.
TOOLSTOOLS-EUROPE-2000-SnoeckPD #modelling #object-oriented #process
An Architecture for Bridging OO and Business Process Modeling (MS, SP, GD), p. 132–?.
TOOLSTOOLS-PACIFIC-2000-BarberG #identification #object-oriented #tool support
Tool Support for Systematic Class Identification in Object-Oriented Software Architectures (KSB, TJG), pp. 82–93.
TOOLSTOOLS-PACIFIC-2000-Silva-LepeCNF #component #java #message passing
Container-Managed Messaging: An Architecture for Integrating Java Components and Message-Oriented Applications (ISL, CFC, PN, DFF), pp. 228–241.
TOOLSTOOLS-PACIFIC-2000-Taylor #object-oriented #problem
Problem Frames and Object-Oriented Software Architecture (PRT), pp. 70–81.
TOOLSTOOLS-USA-2000-MegaacheKJ #security
A Role-Based Security Architecture for Business Intelligence (SM, TK, GRRJ), pp. 295–306.
TOOLSTOOLS-USA-2000-Moore
Creating The Information-Anywhere Architecture (DM), pp. 391–392.
GPCEGCSE-2000-GoedickeNZ #component #design #development #flexibility #implementation
Design and Implementation Constructs for the Development of Flexible, Component-Oriented Software Architectures (MG, GN, UZ), pp. 114–128.
GPCESAIG-2000-Batory #product line
Refinements and Product Line Architectures (DSB), pp. 3–4.
ASEASE-2000-ChaudetO #algebra #evolution #named #process
pi-SPACE: A Formal Architecture Description Language Based on Process Algebra for Evolving Software Systems (CC, FO), pp. 245–248.
ASEASE-2000-FahmyH #graph grammar #using
Using Graph Rewriting to Specify Software Architectural Transformations (HF, RCH), pp. 187–196.
ASEASE-2000-KyaruziK #design #representation #towards
Towards Explicit Representation of Architectural Design Assumptions (JJK, JvK), pp. 249–252.
ICSEFoSE-2000-Garlan #roadmap
Software architecture: a roadmap (DG), pp. 91–101.
FSEFSE-2000-BernardoCD #algebra #formal method #on the #process
On the formalization of architectural types with process algebras (MB, PC, LD), pp. 140–148.
FSEFSE-2000-JacksonS #framework #modelling
COM revisited: tool-assisted modelling of an architectural framework (DJ, KJS), pp. 149–158.
ICSEICSE-2000-AndersonGW #concept #implementation #interactive #multi #named
Dragonfly: linking conceptual and implementation architectures of multiuser interactive systems (GEA, TCNG, TNW), pp. 252–261.
ICSEICSE-2000-Batory #aspect-oriented #product line #reuse
Product-line architectures, aspects, and reuse (DSB), p. 832.
ICSEICSE-2000-BertolinoCIM #testing
Deriving test plans from architectural descriptions (AB, FC, PI, HM), pp. 220–229.
ICSEICSE-2000-BirbilisKKTV #named #programming
E-Slate: a software architectural style for end-user programming (GB, MK, KK, GT, GV), pp. 684–687.
ICSEICSE-2000-FieldingT #design #web
Principled design of the modern Web architecture (RTF, RNT), pp. 407–416.
ICSEICSE-2000-GannodL #analysis #approach #product line
An approach to architectural analysis of product lines (GCG, RRL), pp. 548–557.
ICSEICSE-2000-KazmanK #design #using
Designing and analyzing software architectures using ABASs (RK, MK), p. 820.
ICSEICSE-2000-NordPS #using
Planning realistic schedules using software architecture (RLN, DJP, DS), p. 824.
ICSEICSE-2000-VieiraDR
Analyzing software architectures with Argus-I (MERV, MSD, DJR), pp. 758–761.
ICSEICSE-2000-Wijnstra #component #framework
Supporting diversity with component frameworks as architectural elements (JGW), pp. 51–60.
SACSAC-2000-BlooHJ #aspect-oriented #distributed #embedded #semantics
Semantical Aspects of an Architecture for Distributed Embedded Systems (RB, JH, EDdJ), pp. 149–155.
SACSAC-2000-BougeMNP #case study #distributed #parallel #runtime #thread #using
Using the VI Architecture to Build Distributed, Multithreaded Runtime Systems: A Case Study (LB, JFM, RN, LP), pp. 704–709.
SACSAC-2000-Debenham #multi #performance #process
A Multi-Agent Architecture for Process Management Accommodates Unexpected Performance (JKD), pp. 15–19.
SACSAC-2000-LauffG #ubiquitous
Adapation in a Ubiquitous Computing Management Architecture (ML, HWG), pp. 566–567.
SACSAC-2000-LichtnerAC
An Extensible Model of Architecture Description (KL, PSCA, DDC), pp. 156–165.
SACSAC-2000-Narendra #adaptation #approach #workflow
Adaptive Workflow Management — An Integrated Approach and System Architecture (NCN), pp. 858–865.
SACSAC-2000-Tellioglu #using #web
Cooperative Planning: Using the Web for Cooperation in Architectural Planning (HT), pp. 1001–1005.
ASPLOSASPLOS-2000-BurkeMA #encryption #performance #symmetry
Architectural Support for Fast Symmetric-Key Cryptography (JB, JM, TMA), pp. 178–189.
ASPLOSASPLOS-2000-GharachorlooSSD #design
Architecture and design of AlphaServer GS320 (KG, MS, SS, SVD), pp. 13–24.
ASPLOSASPLOS-2000-HillSWHCP
System Architecture Directions for Networked Sensors (JLH, RS, AW, SH, DEC, KSJP), pp. 93–104.
ASPLOSASPLOS-2000-KubiatowiczBCCEGGRWWWZ #named #persistent
OceanStore: An Architecture for Global-Scale Persistent Storage (JK, DB, YC, SEC, PRE, DG, RG, SCR, HW, WW, CW, BYZ), pp. 190–201.
ASPLOSASPLOS-2000-LieTMLBMH
Architectural Support for Copy and Tamper Resistant Software (DL, CAT, MM, PL, DB, JCM, MH), pp. 168–177.
ASPLOSASPLOS-2000-RedstoneEL #analysis #behaviour #operating system #parallel #thread
An Analysis of Operating System Behavior on a Simultaneous Multithreaded Architecture (JR, SJE, HML), pp. 245–256.
ASPLOSASPLOS-2000-ZahirRMH #compilation #design
OS and Compiler Considerations in the Design of the IA-64 Architecture (RZ, JR, DM, DH), pp. 212–221.
DACDAC-2000-Chakrabarty #constraints #design
Design of system-on-a-chip test access architectures under place-and-route and power constraints (KC), pp. 432–437.
DACDAC-2000-ChangC #metric
An architecture-driven metric for simultaneous placement and global routing for FPGAs (YWC, YTC), pp. 567–572.
DACDAC-2000-FenstermakerGKMT #design #metric #named #optimisation #process
METRICS: a system architecture for design process optimization (SF, DG, ABK, SM, BT), pp. 705–710.
DACDAC-2000-GebotysGW #power management
Power minimization derived from architectural-usage of VLIW processors (CHG, RJG, SW), pp. 308–311.
DACDAC-2000-HarrisT #clustering #testing
Interconnect testing in cluster-based FPGA architectures (IGH, RT), pp. 49–54.
DACDAC-2000-LahiriRLD #communication #design
Communication architecture tuners: a methodology for the design of high-performance communication architectures for systems-on-chips (KL, AR, GL, SD), pp. 513–518.
DACDAC-2000-LiCDHKS #co-evolution #configuration management #design #embedded
Hardware-software co-design of embedded reconfigurable architectures (YL, TC, ED, REH, UK, JS), pp. 507–512.
DATEDATE-2000-BringmannRM #multi #synthesis
Target Architecture Oriented High-Level Synthesis for Multi-FPGA Based Emulation (OB, WR, CM), pp. 326–332.
DATEDATE-2000-CatthoorDK #compilation #data transfer #how #memory management #question
How to Solve the Current Memory Access and Data Transfer Bottlenecks: At the Processor Architecture or at the Compiler Level? (FC, NDD, CEK), pp. 426–433.
DATEDATE-2000-ChangKK #memory management #video
A Memory Architecture with 4-Address Configurations for Video Signal Processing (SC, JSK, LSK), p. 746.
DATEDATE-2000-GuerrierG
A Generic Architecture for On-Chip Packet-Switched Interconnections (PG, AG), pp. 250–256.
DATEDATE-2000-HalambiCGDN
Architecture Exploration of Parameterizable EPIC SOC Architectures (AH, RC, PG, NDD, AN), p. 748.
DATEDATE-2000-HergenhanR #analysis #embedded
Static Timing Analysis of Embedded Software on Advanced Processor Architectures (AH, WR), pp. 552–559.
DATEDATE-2000-HsiehP #optimisation
Architectural Power Optimization by Bus Splitting (CTH, MP), pp. 612–616.
DATEDATE-2000-ZivkovicTK #design
Design and Test Space Exploration of Transport-Triggered Architectures (VAZ, RJWTT, HGK), pp. 146–151.
HPCAHPCA-2000-BehrPS #named #parallel
PowerMANNA: A Parallel Architecture Based on the PowerPC MPC620 (PMB, SP, ACS), pp. 277–286.
HPCAHPCA-2000-HosomiKNH #parallel
A DSM Architecture for a Parallel Computer Cenju-4 (TH, YK, MN, TH), pp. 287–298.
HPCAHPCA-2000-RadhakrishnanVJS #java #runtime
Architectural Issues in Java Runtime Systems (RR, NV, LKJ, AS), pp. 387–398.
HPDCHPDC-2000-BramleyCDGGMTY #component #distributed
A Component based Services Architecture for Building Distributed Applications (RB, KC, SD, DG, MG, NM, BT, MY), pp. 51–59.
HPDCHPDC-2000-ShenC #distributed #multi #performance #predict
A Distributed Multi-Storage Resource Architecture and I/O Performance Prediction for Scientific Computing (XS, ANC), pp. 21–30.
PDPPDP-2000-BaragliaFLPP #library #parallel
PQE HPF-a library for exploiting the capabilities of a PQE-1 heterogeneous parallel architecture (RB, RF, DL, PP, RP), pp. 166–173.
PDPPDP-2000-KozirisRTP #algorithm #clustering #graph #multi #performance #physics
An efficient algorithm for the physical mapping of clustered task graphs onto multiprocessor architectures (NK, MR, PT, GKP), pp. 406–413.
PDPPDP-2000-MasoodianL
Heterogeneous client-server architecture for a virtual meeting environment (MM, SL), pp. 67–74.
PDPPDP-2000-MooreKW #clustering #self
Tailoring a self-distributing architecture to a cluster computer environment (RM, BK, KW), pp. 150–157.
PDPPDP-2000-SandkuhlM #distributed #towards
Towards reference architectures for distributed groupware applications (KS, BM), pp. 135–141.
TACASTACAS-2000-MeyerP #interactive #proving
An Architecture for Interactive Program Provers (JM, APH), pp. 63–77.
CAVCAV-2000-ColbyLN #java
A Proof-Carrying Code Architecture for Java (CC, PL, GCN), pp. 557–560.
CAVCAV-2000-HosabettuGS #exception #verification
Verifying Advanced Microarchitectures that Support Speculation and Exceptions (RH, GG, MKS), pp. 521–537.
WICSAWICSA-1999-AndradeGXC #automation #code generation
Architectural Concerns in Automating Code Generation (LFA, JG, PJX, JAC), pp. 495–510.
WICSAWICSA-1999-BartthallR #design #embedded #product line
Architecture Design Recovery of a Family of Embedded Software Systems (LB, PR), pp. 3–14.
WICSAWICSA-1999-BatorySC #adaptation
Architectural Styles as Adaptors (DSB, YS, LC), pp. 203–224.
WICSAWICSA-1999-BoehmPEA #lifecycle
The MBASE Life Cycle Architecture Milestone Package (BWB, DP, AE, MAA), pp. 511–528.
WICSAWICSA-1999-BorrmannP #challenge #roadmap
Software Architecture at Siemens: The challenges, our approaches, and some open issues (LB, FNP), pp. 529–544.
WICSAWICSA-1999-Bosch #case study #composition #evolution #product line #reuse
Evolution and Composition of Reusable Assets in Product-Line Architectures: A Case Study (JB), pp. 321–340.
WICSAWICSA-1999-CanalPT #refinement #specification
Specification and Refinement of Dynamic Software Architectures (CC, EP, JMT), pp. 107–126.
WICSAWICSA-1999-ChungGY #design #requirements
Architectural Design to Meet Stakeholder Requirements (LC, DG, ESKY), pp. 545–564.
WICSAWICSA-1999-Gentleman #developer
Architecture for Software Construction by Unrelated Developers (WMG), pp. 423–436.
WICSAWICSA-1999-GruhnW #case study #experience #integration
Integration of Heterogeneous Software Architectures — An Experience Report (VG, UW), pp. 437–454.
WICSAWICSA-1999-GuoAK #re-engineering
A Software Architecture Reconstruction Method (GYG, JMA, RK), pp. 15–34.
WICSAWICSA-1999-HofmeisterNS #uml
Describing Software Architecture with UML (CH, RLN, DS), pp. 145–160.
WICSAWICSA-1999-JaktmanLL #analysis #assessment #case study #maintenance
Structural Analysis of the Software Architecture — A Maintenance Assessment Case Study (CBJ, JL, ML), pp. 455–470.
WICSAWICSA-1999-KleinKBCBL
Attribute-Based Architecture Styles (MHK, RK, LJB, SJC, MB, HFL), pp. 225–244.
WICSAWICSA-1999-Kruchten
The Software Architect (PK), pp. 565–584.
WICSAWICSA-1999-Kuusela #evolution
Architectural Evolution (JK), pp. 471–478.
WICSAWICSA-1999-LassingRV #flexibility
Flexibility of the ComBAD Architectures (NHL, DBBR, HvV), pp. 341–356.
WICSAWICSA-1999-MageeKG #analysis #behaviour
Behaviour Analysis of Software Architectures (JM, JK, DG), pp. 35–50.
WICSAWICSA-1999-MedvidovicR #design #modelling #standard
Assessing the Suitability of a Standard Design Method for Modeling Software Architectures (NM, DSR), pp. 161–182.
WICSAWICSA-1999-MugisaM #framework #reuse
A Framework for Describing Software Architectures for Reuse (EKM, TSEM), pp. 245–272.
WICSAWICSA-1999-PreeK #case study #concept #legacy
Rearchitecting Legacy System — Concepts and Case Study (WP, KK), pp. 51–64.
WICSAWICSA-1999-Pronk #product line
Domain-Specific Architectures and Product Families (BJP), pp. 357–367.
WICSAWICSA-1999-RiegelKS #modelling #using
Modeling Software Architecture Using Domain-Specific Patterns (JPR, CK, MS), pp. 273–292.
WICSAWICSA-1999-Riemenschneider #correctness
Checking the Correctness of Architectural Transformation Steps via Proof-Carrying Architectures (RAR), pp. 65–82.
WICSAWICSA-1999-SaridakisI #using
Developing Dependable Systems Using Software Architecture (TS, VI), pp. 83–104.
WICSAWICSA-1999-SavigniT #monitoring #named
Kaleidoscope: A Reference Architecture for Monitoring and Control Systems (AS, FT), pp. 369–388.
WICSAWICSA-1999-Stuurman #java
Software Architecture, Java Beans (SS), pp. 183–200.
WICSAWICSA-1999-VeraPL #execution
Event-Based Execution Architectures for Dynamic Software Systems (JV, LP, DCL), pp. 303–318.
ICDARICDAR-1999-KellandW #comparison #research
A Comparison of Research and Production Architectures for Check Reading Systems (SK, SW), pp. 99–102.
ICDARICDAR-1999-ValvenyM #recognition
Application of Deformable Template Matching to Symbol Recognition in Hand-written Architectural Drawings (EV, EM), pp. 483–486.
SIGMODSIGMOD-1999-DavulcuFKR #query #web
A Layered Architecture for Querying Dynamic Web Content (HD, JF, MK, IVR), pp. 491–502.
VLDBVLDB-1999-BamfordAP #database #scalability
A Scalable and Highly Available Networked Database Architecture (RB, RA, AP), pp. 199–201.
VLDBVLDB-1999-BonczMK #database #memory management
Database Architecture Optimized for the New Bottleneck: Memory Access (PAB, SM, MLK), pp. 54–65.
VLDBVLDB-1999-Campbell #sql
The New Locking, Logging, and Recovery Architecture of Microsoft SQL Server 7.0 (DC), p. 249.
VLDBVLDB-1999-VorugantiOU #adaptation #hybrid
An Adaptive Hybrid Server Architecture for Client Caching ODBMSs (KV, MTÖ, RCU), pp. 150–161.
VLDBVLDB-1999-VriesDBA
The Mirror MMDBMS Architecture (APdV, MGLMvD, HMB, PMGA), pp. 758–761.
ITiCSEITiCSE-1999-FuenteCC #education
Teaching computer architecture with a new superscalar processor emulator (SRdlF, MIGC, RMC), pp. 99–102.
ITiCSEITiCSE-1999-Impagliazzo #education #scalability #word
Teaching very large instruction word architectures (JI), p. 208.
CSMRCSMR-1999-BengtssonB #maintenance #predict
Architecture Level Prediction of Software Maintenance (PB, JB), pp. 139–147.
CSMRCSMR-1999-CarriereKW #maintenance #quality
Assessing and Maintaining Architectural Quality (SJC, RK, SGW), pp. 22–30.
CSMRCSMR-1999-KrikhaarFJM #comprehension #tool support
Architecture Comprehension Tools for a PBX System (RLK, LMGF, RdJ, JM), pp. 31–41.
ICSMEICSM-1999-KrikhaarPSSV #process
A Two-Phase Process for Software Architecture Improvement (RLK, AP, MPAS, MS, CV), pp. 371–380.
ICSMEICSM-1999-MayrhauserWL #approach #comprehension #experience #reverse engineering
Experience Paper: Experience with a Reverse Engineering Architecture Approach to Increase Understanding (AvM, JW, QL), pp. 131–138.
ICSMEICSM-1999-SimCHC
Browsing and Searching Software Architectures (SES, CLAC, RCH, AC), pp. 381–390.
ICSMEICSM-1999-WoodsCK #re-engineering #semantics
A Semantic Foundation for Architectural Reengineering and Interchange (SW, SJC, RK), p. 391–?.
IWPCIWPC-1999-BowmanH
Reconstructing Ownership Architectures To Help Understand Software Systems (ITB, RCH), pp. 28–37.
IWPCIWPC-1999-SellinkV #automation #maintenance
An Architecture for Automated Software Maintenance (MPAS, CV), pp. 38–48.
WCREWCRE-1999-CarriereWK
Software Architectural Transformation (SJC, SGW, RK), pp. 13–23.
WCREWCRE-1999-WatersA #multi #synthesis
Architectural Synthesis: Integrating Multiple Architectural Perspectives (RW, GDA), pp. 2–12.
FMFM-v1-1999-HerbertDRS #formal method
A Formalization of Software Architecture (JH, BD, RAR, VS), pp. 116–133.
FMFM-v1-1999-PhilippsR #refinement
Refinement of Pipe-and-Filter Architectures (JP, BR), pp. 96–115.
FMFM-v2-1999-ButhS #communication #design #model checking
Model-Checking the Architectural Design of a Fail-Safe Communication System for Railway Interlocking Systems (BB, MS), p. 1869.
ICFPICFP-1999-LaunchburyLC #design #haskell #on the
On Embedding a Microarchitectural Design Language within Haskell (JL, JRL, BC), pp. 60–69.
AGTIVEAGTIVE-1999-PetriuW #modelling #performance #uml
From UML Descriptions of High-Level Software Architectures to LQN Performance Models (DCP, XW), pp. 47–62.
CHICHI-1999-Oviatt #ambiguity #fault #multi #recognition
Mutual Disambiguation of Recognition Errors in a Multimodel Architecture (SLO), pp. 576–583.
HCIHCI-CCAD-1999-BarnekowSZS #bottom-up
An architecture for recovering business events bottom-up (TB, SS, JZ, RS), pp. 614–618.
HCIHCI-CCAD-1999-PapandroouA #framework #towards
Towards a teleworking framework based on advanced telematic service architectures (CAP, DXA), pp. 497–501.
HCIHCI-CCAD-1999-WagnerBMS #collaboration #design
Spaces for creating context & awareness — designing a collaborative virtual work space for (landscape) architects (IW, MB, PM, DS), pp. 283–287.
HCIHCI-EI-1999-Breedvelt-Schouten #multi #user interface
Architecture for Multi-User Interfaces (IMBS), pp. 1093–1097.
HCIHCI-EI-1999-FarencP #performance
An Extensible Architecture to Support the Structuring and the efficient Exploitation of Ergonomic Rules (CF, PAP), pp. 1038–1042.
HCIHCI-EI-1999-KohlerSM #interactive
The ARGUS-Architecture for Global Computer Vision-Based Interaction and its Application in Domestic Enviroments (MK, SS, HM), pp. 296–300.
HCIHCI-EI-1999-Stelovsky #eye tracking #integration #interactive #java #source code #user interface
An Extendible Architecture for the Integration of Eye Tracking and User Interaction Events of Java Programs with Complex User Interfaces (JS), pp. 861–865.
HCIHCI-EI-1999-WallachP #human-computer
Cognitive Architectures — A Theoretical Foundation for HCI (DW, MP), pp. 491–495.
CAiSECAiSE-1999-Oh #design #transaction #using
The Design of Cooperative Transaction Model by Using Client-Server Architecture (AsO), p. 269.
EDOCEDOC-1999-KochM #corba
Service architecture integrates mainframes in a CORBA environment (TK, SM), pp. 194–203.
EDOCEDOC-1999-MoserMNTK #enterprise
The Eternal system: an architecture for enterprise applications (LEM, PMMS, PN, LAT, VK), pp. 214–222.
EDOCEDOC-1999-OldevikA #enterprise
ODP-modelling of virtual enterprises with supporting engineering architecture (JO, JØA), pp. 172–182.
EDOCEDOC-1999-SeacordWRCH #off the shelf
Custom vs. off-the-shelf architecture (RCS, KCW, JER, SCD, SAH), pp. 270–278.
ICEISICEIS-1999-ArribiC #automation #distributed #java
A Java Distributed Architecture for Remote and Automatic Management of Telephonic Communications (JA, VC), pp. 572–576.
ICEISICEIS-1999-GomesF #concept #modelling
Business Objects in Conceptual Information Architecture Modelling (RG, ADdF), pp. 419–426.
ICEISICEIS-1999-JuricRH #distributed #legacy
A Method for Integrating Legacy Systems within Distributed Object Architecture (MBJ, IR, MH), pp. 461–468.
ICEISICEIS-1999-PeixotoD #development #network
Base Architecture for Network Application Development (CSAP, BMD), p. 789.
ICEISICEIS-1999-RossiterNH #database #query
Three-Level Architecture for Query Closure in Database Systems (BNR, DAN, MAH), p. 760.
ICEISICEIS-1999-SilvaR #scheduling
Holonic Dynamic Scheduling Architecture and Services (NS, CR), pp. 300–307.
CIKMCIKM-1999-GloverLBG
Architecture of a Metasearch Engine That Supports User Information Needs (EJG, SL, WPB, CLG), pp. 210–216.
CIKMCIKM-1999-WeberBGS #image #retrieval
Architecture of a Networked Image Search and Retrieval System (RW, JB, TRG, HJS), pp. 430–441.
UMLUML-1999-Abi-AntounM #design #refinement
Enabling the Refinement of a Software Architecture into a Design (MAA, NM), pp. 17–31.
UMLUML-1999-EgyedM #integration #representation #uml
Extending Architectural Representation in UML with View Integration (AE, NM), pp. 2–16.
UMLUML-1999-Hilliard #uml #using
Using the UML for Architectural Description (RH), pp. 32–48.
TOOLSTOOLS-ASIA-1999-HansenT #domain model #interactive #nondeterminism
The “Domain Model Concealer” and “Application Moderator” Patterns: Addressing Architectural Uncertainty in Interactive Systems (KMH, MT), pp. 177–190.
TOOLSTOOLS-ASIA-1999-JiaoS #multi
A Dynamic Architecture for Multi-Agent Systems (WJ, ZS), pp. 253–260.
TOOLSTOOLS-ASIA-1999-ShenLSZ #algorithm #graph #object-oriented #using
An Algorithm for Describing Object-Oriented Software Architecture Using Graph (HHS, CL, MMS, WMZ), pp. 225–231.
TOOLSTOOLS-ASIA-1999-TanLTP #evolution #multi #orthogonal #research #reuse #using
Research in Evolution and Reuse of Multi-Leveled Orthogonal Software System Architecture (KT, ZyL, SqT, DcP), pp. 204–211.
TOOLSTOOLS-ASIA-1999-TsaiTW #object-oriented
A New Architecture of Object-Oriented Rule Base Management System (CJT, SST, YCW), pp. 200–203.
TOOLSTOOLS-ASIA-1999-WangCW #adaptation #component #multi #named #reuse
MAC: A Component Reuse Architecture Based on Multi-Agent Adapter (ZW, ZC, CW), pp. 267–272.
TOOLSTOOLS-EUROPE-1999-Bosch #design #industrial #using
Design and Use of Industrial Software Architectures (JB), p. 404.
TOOLSTOOLS-EUROPE-1999-CabriLRZ #coordination #design #implementation #mobile #programmable
Design and Implementation of a Programmable Coordination Architecture for Mobile Agents (GC, LL, GR, FZ), pp. 10–19.
TOOLSTOOLS-EUROPE-1999-DuvalC #concurrent #distributed
Developing Safe Concurrent and Distributed Applications with an Architectural Environment (GD, TC), pp. 20–32.
TOOLSTOOLS-EUROPE-1999-Korson #component #framework #using
Using Components, Patterns and Frameworks to Realize Architecture (TDK), p. 415.
TOOLSTOOLS-EUROPE-1999-KoskimiesBPH #challenge #design #object-oriented #reuse #tool support
Designing Reusable Object-Oriented Architectures — Challenges, Methods & Tools (KK, JB, WP, GH), p. 421.
TOOLSTOOLS-EUROPE-1999-MensWD #classification #using
Declaratively Codifying Software Architectures Using Virtual Software Classifications (KM, RW, TD), pp. 33–45.
TOOLSTOOLS-EUROPE-1999-SosioT #design #object-oriented
A Design Model for Object Oriented Systems with Explicit Architecture (AS, FT), pp. 46–55.
TOOLSTOOLS-EUROPE-1999-Watkins #corba #distributed
CORBA and DCOM: Architectures for Distributed Computing (DW), p. 401.
TOOLSTOOLS-PACIFIC-1999-ChristensenDHST #design #evolution
Design and Evolution of Software Architecture in Practice (MC, CHD, KMH, ES, MT), pp. 2–15.
TOOLSTOOLS-PACIFIC-1999-JacobsenKN #abstraction
Architecture = Abstractions over Software (EEJ, BBK, PN), p. 89–?.
TOOLSTOOLS-PACIFIC-1999-JorgensenJ #component #interactive #product line
Classifying Component Interaction in Product-Line Architectures (BNJ, WJ), pp. 66–77.
TOOLSTOOLS-USA-1999-GriffithsWHMR #education #user interface
Separable UI Architectures in Teaching Object Technology (RG, MW, SH, MM, HR), pp. 290–299.
TOOLSTOOLS-USA-1999-Mellor #design
System Design: Architectures and Archetypes (SJM), p. 450.
AdaEuropeAdaEurope-1999-Emery #framework
Architectural Frameworks: Defining the Contents of Architectural Descriptions (DEE), pp. 64–75.
AdaEuropeAdaEurope-1999-Locke #ada #realtime
An Architectual Perspective of Real-Time Ada Applications (CDL), pp. 1–11.
AdaEuropeAdaEurope-1999-Rosskopf #ada #design #development
Development of Flight Control Software in Ada: Architecture and Design Issues and Approaches (AR), pp. 437–449.
PADLPADL-1999-SilvaDBC #logic programming #parallel #parametricity #performance
The Influence of Architectural Parameters on the Performance of Parallel Logic Programming Systems (MGS, IdCD, RB, VSC), pp. 122–136.
PLDIPLDI-1999-SchlanskerMJ #branch #optimisation #reduction
Control CPR: A Branch Height Reduction Optimization for EPIC Architectures (MSS, SAM, RJ), pp. 155–168.
AdaSIGAda-1999-HulseEUV #maintenance
Reducing maintenance costs through the application of modern software architecture principles (CH, SE, MU, LV), pp. 101–110.
ASEASE-1999-Bose #automation #modelling #simulation #uml #using #verification
Automated Translation of UML Models of Architectures for Verification and Simulation Using SPIN (PKB), pp. 102–109.
ASEASE-1999-CazzolaSST #behaviour #rule-based
Rule-Based Strategic Reflection: Observing and Modifying Behavior at the Architectural Level (WC, AS, AS, FT), pp. 263–266.
ASEASE-1999-KyaruziK #component #constraints
Beyond Components-Connections-Constraints: Dealing with Software Architecture Difficulties (JJK, JvK), pp. 235–242.
ASEASE-1999-Penix99a #deduction #synthesis
Deductive Synthesis of Event-Based Software Architectures (JP), pp. 311–314.
ASEASE-1999-TaentzerGM #automation #distributed
Dynamic Accommodation of Change: Automated Architecture Configuration of Distributed Systems (GT, MG, TM), pp. 287–290.
ASEASE-1999-WatersRA #concept analysis #using
Architectural Element Matching Using Concept Analysis (RW, SR, GDA), pp. 291–294.
ASEASE-1999-WeltyF #documentation #ontology #using
A Formal Ontology for Re-Use of Software Architecture Documents (CAW, DAF), pp. 259–262.
ASEASE-1999-Wile #metalanguage #named
AML: An Architecture Meta-Language (DSW), pp. 183–190.
ESECESEC-FSE-1999-BayerGWDA #legacy #product line
Transitioning Legacy Assets to a Product Line Architecture (JB, JFG, MW, JMD, MA), pp. 446–463.
ESECESEC-FSE-1999-FradetMP #consistency #multi
Consistency Checking for Multiple View Software Architectures (PF, DLM, MP), pp. 410–428.
ESECESEC-FSE-1999-Gafni #named #realtime
Robots: A Real-Time Systems Architectural Style (VG), pp. 57–74.
ESECESEC-FSE-1999-WermelingerF #algebra #configuration management
Algebraic Software Architecture Reconfiguration (MW, JLF), pp. 393–409.
ICSEICSE-1999-BengtssonB #case study #design #experience
Haemo Dialysis Software Architecture Design Experiences (PB, JB), pp. 516–525.
ICSEICSE-1999-Bosch #case study #industrial #product line
Product-Line Architectures in Industry: A Case Study (JB), pp. 544–554.
ICSEICSE-1999-BowmanHB #case study #linux
Linux as a Case Study: Its Extracted Software Architecture (ITB, RCH, NVB), pp. 555–563.
ICSEICSE-1999-DashofyMT #distributed #middleware #off the shelf #using
Using Off-the-Shelf Middleware to Implement Connectors in Distributed Software Architectures (EMD, NM, RNT), pp. 3–12.
ICSEICSE-1999-FregoneseZC #framework #modelling
Architectural Framework Modeling in Telecommunication Domain (GF, AZ, GC), pp. 526–534.
ICSEICSE-1999-Griss #component #reuse #scalability
Architecting for Large-Scale Systematic Component Reuse (MLG), pp. 615–616.
ICSEICSE-1999-Hoek #configuration management #deployment
Configurable Software Architecture in Support of Configuration Management and Software Deployment (AvdH), pp. 732–733.
ICSEICSE-1999-KazmanBKCW #analysis #experience #trade-off
Experience with Performing Architecture Tradeoff Analysis (RK, MB, MK, SJC, SGW), pp. 54–63.
ICSEICSE-1999-Magee #analysis #behaviour #using
Behavioral Analysis of Software Architectures Using LTSA (JM), pp. 634–637.
ICSEICSE-1999-MedvidovicRT #development #evolution
A Language and Environment for Architecture-Based Software Development and Evolution (NM, DSR, RNT), pp. 44–53.
ICSEICSE-1999-Monroe #modelling
Modeling and Analyzing software Architectures (RTM), pp. 690–691.
ICSEICSE-1999-NittoR #middleware
Exploiting ADLs to Specify Architectural Styles Induced by Middleware Infrastructures (EDN, DSR), pp. 13–22.
ICSEICSE-1999-Rechtin
The Extension of Systems Architecting to the Architecting of Organizations (ER), p. 622.
ICSEICSE-1999-RoodynE #multi #realtime
An Architectural Style for Multiple Real-Time Data Feeds (NR, WE), pp. 564–572.
ICSEICSE-1999-YakimovichBB #classification #cost analysis #integration #off the shelf
Software Architecture Classification for Estimating the Cost of COTS Integration (DY, JMB, VRB), pp. 296–302.
SACSAC-1999-DamianiK
A Human Centered Agent-Based Architecture for Electronic brokerage (ED, RK), pp. 243–249.
SACSAC-1999-GollaL #functional #logic #parallel #scheduling #thread
A Dynamic Scheduling Logic for Exploiting Multiple Functional Units in Single Ship Multithreaded Architectures (PNG, ECL), pp. 466–473.
SACSAC-1999-MottR #community #multi
A Multi-Broker Architecture for Sharing Information Amongst Diverse User Communities (PLM, SAR), pp. 194–196.
DACDAC-1999-AdarioRB #configuration management #image
Dynamically Reconfigurable Architecture for Image Processor Applications (AMSA, ELR, SB), pp. 623–628.
DACDAC-1999-Arnold #flexibility #network
The Jini Architecture: Dynamic Services in a Flexible Network (KA), pp. 157–162.
DACDAC-1999-FournierKL #validation
Developing an Architecture Validation Suite: Applicaiton to the PowerPC Architecture (LF, AK, ML), pp. 189–194.
DACDAC-1999-HadjiyiannisRD #evaluation #performance
A Methodology for Accurate Performance Evaluation in Architecture Exploration (GH, PR, SD), pp. 927–932.
DACDAC-1999-PeesHZM #modelling #named #programmable
LISA — Machine Description Language for Cycle-Accurate Models of Programmable DSP Architectures (SP, AH, VZ, HM), pp. 933–938.
DACDAC-1999-UrY #generative #source code
Micro Architecture Coverage Directed Generation of Test Programs (SU, YY), pp. 175–180.
DATEDATE-1999-DoboliV #behaviour #compilation #generative #synthesis
A VHDL-AMS Compiler and Architecture Generator for Behavioral Synthesis of Analog Systems (AD, RV), pp. 338–345.
DATEDATE-1999-HalambiGGKDN #compilation #named
EXPRESSION: A Language for Architecture Exploration through Compiler/Simulator Retargetability (AH, PG, VG, AK, NDD, AN), pp. 485–490.
DATEDATE-1999-IkedaKNSYMNO #scalability #video
An MPEG-2 Video Encoder LSI with Scalability for HDTV based on Three-layer Cooperative Architecture (MI, TK, KN, KS, TY, TM, JN, TO), p. 44–?.
DATEDATE-1999-PaschalisKPGZ #effectiveness #multi #performance
An Effective BIST Architecture for Fast Multiplier Cores (AMP, NK, MP, DG, YZ), pp. 117–121.
DATEDATE-1999-ZarrinehU #memory management #on the #programmable
On Programmable Memory Built-In Self Test Architectures (KZ, SJU), pp. 708–713.
HPCAHPCA-1999-DuatoYCLQ #design #multi #named #trade-off
MMR: A High-Performance Multimedia Router — Architecture and Design Trade-Offs (JD, SY, BC, DSL, FJQ), pp. 300–309.
HPCAHPCA-1999-TullsenG #compilation #execution #parallel #thread
Multithreaded Execution Architecture and Compilation (DMT, GRG), p. 321.
HPDCHPDC-1999-ArmstrongGGKKMPS #component #towards
Toward a Common Component Architecture for High-Performance Scientific Computing (RCA, DG, AG, KK, SRK, LCM, SRP, BAS), pp. 115–124.
HPDCHPDC-1999-Romberg #distributed
The UNICORE Architecture Seamless Access to Distributed Resources (MR), pp. 287–293.
HPDCHPDC-1999-VillacisGSWBDTGB #component #distributed #grid #named #performance #tool support
CAT: A High Performance Distributed Component Architecture Toolkit for the Grid (JEV, MG, DS, AW, FB, PD, BT, DG, RB), pp. 125–132.
LCTESLCTES-1999-StotzerL #scheduling
Modulo Scheduling for the TMS320C6x VLIW DSP Architecture (ES, ELL), pp. 28–34.
PDPPDP-1999-AllisonBS #network #performance
A replicated resource architecture for high performance network service (CA, MB, JS), pp. 105–111.
PDPPDP-1999-CaselliR #distributed #parallel #random
Randomized motion planning on parallel and distributed architectures (SC, MR), pp. 297–304.
PDPPDP-1999-FraboulM #analysis #composition #modelling #performance #realtime
Modeling advanced modular avionics architectures for early real-time performance analysis (CF, FM), pp. 181–188.
PDPPDP-1999-NicastroV #hybrid
An atmosphere-ocean forecast system on a hybrid architecture (SN, FV), pp. 309–314.
PDPPDP-1999-OdorKVR #effectiveness #monte carlo #parallel #simulation #string
Effective Monte Carlo simulation on System-V massively parallel associative string processing architecture (, AK, GV, FR), pp. 281–288.
PDPPDP-1999-PriceHLT #adaptation #array #parallel #using
Adaptive microphone array beamforming for teleconferencing using VHDL and parallel architectures (TPWP, DMH, AVL, AMT), pp. 13–18.
PDPPDP-1999-Vanneschi
Matching architecture and software technology for HPC systems (MV), pp. 2–9.
PDPPDP-1999-WeperZE #modelling #named #parallel
ρ: hierarchical modeling of parallel architectures (RW, EZ, WE), pp. 233–240.
PPoPPPPoPP-1999-McCurdyM #distributed #evaluation #memory management #paradigm #simulation
An Evaluation of Computing Paradigms for N-Body Simulations on Distributed Memory Architectures (CM, JMMC), pp. 25–36.
SOSPSOSP-1999-SchmidtLN #interactive #performance
The interactive performance of SLIM: a stateless, thin-client architecture (BKS, MSL, JDN), pp. 32–47.
CAVCAV-1999-MatthewsL #algebra
Elementary Microarchitecture Algebra (JM, JL), pp. 288–300.
ICTSSIWTCS-1999-UlrichK #distributed #testing
Architectures for Testing Distributed Systems (AU, HK), pp. 93–108.
ADLADL-1998-DanielLP #library #metadata
A Metadata Architecture for Digital Libraries (RDJ, CL, SP), pp. 276–288.
ADLADL-1998-McNabWB #distributed #library
Distributed Digital Library Architecture Incorporating Different Index Styles (RJM, IHW, SJB), pp. 36–45.
TPDLECDL-1998-Constantopoulos
Architectures and Services for Cultural Heritage Information (PC), p. 739.
TPDLECDL-1998-FernandezDA #adaptation #named
WAY: An Architecture for User Adapted Access to Z39.50 Servers Based on Intelligent Agents (CF, PD, IA), pp. 665–666.
TPDLECDL-1998-FrewFFHJLNSZ #library
The Alexandria Digital Library Architecture (JF, MF, NF, LLH, GJ, KL, RN, TRS, QZ), pp. 61–73.
TPDLECDL-1998-KapidakisTS #behaviour #library #monitoring
A Management Architecture for Measuring and Monitoring the Behavior of Digital Libraries (SK, ST, JS), pp. 95–114.
TPDLECDL-1998-KlaprothL #component #documentation #library
The Document Management System Saros Mezzanine and the New Product AGORA as Key Component in a Digital Library Architecture at Göttingen University Library (FK, NL), pp. 685–687.
TPDLECDL-1998-MariaGGFS #library #named
ARIADNE — Digital Library Architecture (NM, PG, NG, AF, MJS), pp. 667–668.
TPDLECDL-1998-PayetteL #flexibility #repository
Flexible and Extensible Digital Object and Repository Architecture (FEDORA) (SP, CL), pp. 41–59.
VLDBVLDB-1998-ArunJ #independence #interface #kernel #named
KODA — The Architecture And Interface of a Data Model Independent Kernel (GA, AJ), pp. 671–674.
VLDBVLDB-1998-BamfordBKM #parallel
Architecture of Oracle Parallel Server (RB, DB, BK, NM), pp. 669–670.
CSMRCSMR-1998-DuenasOP #evolution
Architecture Recovery for Software Evolution (JCD, WLdO, JAdlP), pp. 113–120.
CSMRCSMR-1998-KazmanB #complexity
Assessing Architectural Complexity (RK, MB), pp. 104–112.
CSMRCSMR-1998-Sneed #re-engineering
Architecture and Functions of a Commercial Software Reengineering Workbench (HMS), pp. 2–10.
IWPCIWPC-1998-LagueLBMD #analysis #comprehension #framework
An Analysis Framework for Understanding Layered Software Architectures (BL, CL, ALB, EM, MD), pp. 37–44.
IWPCIWPC-1998-MendoncaK #approach #distributed
Developing an Approach for the Recovery of Distributed Software Architectures (NCM, JK), pp. 28–36.
IWPCIWPC-1998-WoodsOLGQ #comprehension #tool support
An Architecture for Interoperable Program Understanding Tools (SW, LO, TL, KG, AQ), pp. 54–63.
PASTEPASTE-1998-ChaseCHY #analysis #multi #source code
Recovering Software Architecture from Multiple Source Code Analyses (MPC, SMC, DRH, ASY), pp. 43–50.
PASTEPASTE-1998-JefferyZTB #execution #lightweight #monitoring
A Lightweight Architecture for Program Execution Monitoring (CLJ, WZ, KT, MB), pp. 67–74.
WCREWCRE-1998-ArmstrongT #tool support
Evaluating Architectural Extraction Tools (MNA, CT), pp. 30–39.
WCREWCRE-1998-Holt #algebra #relational #using
Structural Manipulations of Software Architecture using Tarski Relational Algebra (RCH), pp. 210–219.
WCREWCRE-1998-KazmanWC #modelling #re-engineering #requirements
Requirements for Integrating Software Architecture and Reengineering Models: CORUM II (RK, SSW, SJC), pp. 154–163.
ICALPICALP-1998-Valiant
A Neuroidal Architecture for Cognitive Computation (LGV), pp. 642–669.
FMFM-1998-Castillo #state machine #tool support #towards
Towards Comprehensive Tool Support for Abstract State Machines: The ASM Workbench Tool Environment and Architecture (GDC), pp. 311–325.
CSCWCSCW-1998-LiM #collaboration #coordination #named
COCA: Collaborative Objects Coordination Architecture (DL, RRM), pp. 179–188.
CSCWCSCW-1998-Streitz #information management
Cooperative Buildings — Integrating Information, Organization and Architecture (NAS), pp. 411–413.
CSCWCSCW-1998-TrevorRS
Out of this World: An Extensible Session Architecture for Heterogeneous Electronic Landscapes (JT, TR, GS), pp. 119–128.
CAiSECAiSE-1998-JarkeJQV #quality
Architecture and Quality in Data Warehouses (MJ, MAJ, CQ, PV), pp. 93–113.
EDOCEDOC-1998-BakkerB #communication #component #design #distributed #evaluation #framework
Design and evaluation of the distributed software component framework for distributed communication architectures (JLB, HJB), pp. 282–288.
EDOCEDOC-1998-Ben-ShaulGR #component #distributed #framework #implementation #multi
Implementing a component architecture on multiple distributed object frameworks (IBS, JWG, WNR), pp. 319–329.
EDOCEDOC-1998-Iyengar #repository #uml #using
A universal repository architecture using the OMG UML and MOF (SSI), pp. 35–44.
EDOCEDOC-1998-Kobryn #enterprise #modelling #uml #using
Modeling enterprise software architectures using UML (CK), pp. 25–34.
EDOCEDOC-1998-SacksPWKBMA
TRUMPET service management architecture (LS, OP, MW, MMK, BB, SM, CA), pp. 289–295.
EDOCEDOC-1998-UeharaHKOM #component #enterprise #integration #modelling
Enterprise model-based software architecture with server component integration (SU, HH, NK, TO, TM), pp. 356–363.
ECIRACIR-1998-SmeatonBCQ #clustering #documentation #performance #retrieval
An Architecture for Efficient Document Clustering and Retrieval on a Dynamic Collection of Newspaper Texts (AFS, MB, FC, GQ).
ICMLICML-1998-BollackerG #classification #reuse #scalability
A Supra-Classifier Architecture for Scalable Knowledge Reuse (KDB, JG), pp. 64–72.
ICMLICML-1998-RyanP #composition #learning #named
RL-TOPS: An Architecture for Modularity and Re-Use in Reinforcement Learning (MRKR, MDP), pp. 481–487.
ICPRICPR-1998-ArandaCGS #distance #low cost
Low cost architecture for structure measure distance computation (JA, JC, AG, AS), pp. 1592–1594.
ICPRICPR-1998-El-YacoubiSGS #recognition #word
Improved model architecture and training phase in an off-line HMM-based word recognition system (AEY, RS, MG, CYS), pp. 1521–1525.
ICPRICPR-1998-KangTH #analysis #image #pattern matching #pattern recognition #recognition
An IRAM architecture for image analysis and pattern recognition (YK, JT, TSH), pp. 1561–1564.
ICPRICPR-1998-ShahA #classification #hybrid #performance #reasoning
A hybrid architecture for performance reasoning in classification systems (SS, JKA), pp. 326–330.
ICPRICPR-1998-YanaiD #image #multi #recognition
An architecture of object recognition system for various images based on multi-agents (KY, KD), pp. 278–281.
KDDKDD-1998-SinghCHSA #mining #robust
A Robust System Architecture for Mining Semi-Structured Data (LS, BC, RH, PS, KA), pp. 329–333.
ECOOPECOOP-1998-VijaykrishnanRG #java #object-oriented
Object-Oriented Architectural Support for a Java Processor (NV, NR, RG), pp. 330–354.
TOOLSTOOLS-PACIFIC-1998-GrundyAMH #collaboration #component #integration #interactive #tool support
Tool Integration, Collaboration and User Interaction Issues in Component-Based Software Architectures (JCG, MDA, RM, JGH), pp. 299–312.
TOOLSTOOLS-PACIFIC-1998-RakotonirainyB
A Simple Architecture Description Model (AR, AB), pp. 278–286.
TOOLSTOOLS-PACIFIC-1998-Taylor98a #design #object-oriented #persistent
Designing Persistent Object-Oriented Software Architectures (PT), pp. 14–26.
TOOLSTOOLS-USA-1998-Doshi #database #multi
Object Databases and Multi-Tier Architectures (ND), pp. 408–411.
TOOLSTOOLS-USA-1998-FosterMN #case study #data-driven #distributed #experience #implementation #named
AutoPilot: Experiences Implementing a Distributed Data-Driven Agent Architecture (SSF, DM, BAN), pp. 259–268.
TOOLSTOOLS-USA-1998-Griss #component #reuse #scalability
Architecting for Large-Scale Systematic Component Reuse (MLG), p. 7.
TOOLSTOOLS-USA-1998-Griss98a #process #reuse
Software Reuse: Architecture, Process and Organization for Business Success (MLG), p. 465.
TOOLSTOOLS-USA-1998-LarsenH #distributed #object-oriented
An Architecture for Unified Dialogue in Distributed Object Systems (AL, PDH), pp. 244–258.
TOOLSTOOLS-USA-1998-Perkins #component #development #enterprise
Enterprise Architecture and Component Development (AP), p. 396–?.
AdaEuropeAdaEurope-1998-FernandezAGPP #case study #evaluation #realtime
A Case Study in Quantitative Evaluation of Real-Time Software Architectures (JLF, , FJGI, ÁP, JAdlP), pp. 213–224.
PPDPALP-PLILP-1998-ConselM #development #using
Architecture Software Using: A Methodology for Language Development (CC, RM), pp. 170–194.
AdaSIGAda-1998-PinhoV #ada #fault tolerance #multi #named #realtime
Multi-μ: An Ada 95 Based Architecture for Fault Tolerance Support of Real-Time Systems (LMP, FV), pp. 52–60.
ASEASE-1998-GoedickeMP #consistency #design #detection #evaluation #nondeterminism #on the #performance
On Detecting and Handling Inconsistencies in Integrating Software Architecture Design and Performance Evaluation (MG, TM, CP), pp. 188–191.
ASEASE-1998-HaebererM #concept #development #idea #paradigm
The Very Idea of Software Development Environments: A Conceptual Architecture for the ARTS Environment Paradigm (AMH, TSEM), pp. 260–271.
ASEASE-1998-LeueMR #sequence chart #specification
Synthesizing Software Architecture Descriptions from Message Sequence Chart Specifications (SL, LM, MR), pp. 192–195.
FSEFSE-1998-FongC #composition #mobile #proving #verification
Proof Linking: An Architecture for Modular Verification of Dynamically-Linked Mobile Code (PWLF, RDC), pp. 222–230.
FSEFSE-1998-Karasick #c++ #incremental #programming
The Architecture of Montana: An Open and Extensible Programming Environment with an Incremental C++ (MK), pp. 131–142.
ICSEICSE-1998-ChuangKW #c++
Non-Intrusive Object Introspection in C++: Architecture and Application (TRC, YSK, CMW), pp. 312–321.
ICSEICSE-1998-ClarkDB
Calibrating the COCOMO II Post-Architecture Model (BC, SDC, BWB), pp. 477–480.
ICSEICSE-1998-EixelsbergerOGB #product line
Software Architecture Recovery of a Program Family (WE, MO, HG, BB), pp. 508–511.
ICSEICSE-1998-KuuselaMX #case study #experience #industrial #modelling
Architectural Modeling in Industry — An Experience Report (JK, AM, JX), pp. 512–515.
ICSEICSE-1998-OreizyMT #evolution #runtime
Architecture-Based Runtime Software Evolution (PO, NM, RNT), pp. 177–186.
ICSEICSE-1998-RobbinsMR #design #standard
Integrating Architecture Description Languages with a Standard Design Method (JER, NM, DFR), pp. 209–218.
SACSAC-1998-BonsangueKBJ #distributed #semantics
A software architecture for distributed control systems and its transition system semantics (MMB, JNK, MB, EDdJ), pp. 159–168.
SACSAC-1998-BusettaR #mobile
An architecture for mobile BDI agents (PB, KR), pp. 445–452.
SACSAC-1998-KappelRR #database #implementation
A tour on the TriGS active database system — architectue and implementation (GK, SRS, WR), pp. 211–219.
ASPLOSASPLOS-1998-GibsonNABCGHRRZ #effectiveness
A Cost-Effective, High-Bandwidth Storage Architecture (GAG, DN, KA, JB, FWC, HG, CH, ER, DR, JZ), pp. 92–103.
CCCC-1998-StumpelTK #compilation
VLIW Compilation Techniques for Superscalar Architectures (ES, MT, UK), pp. 234–248.
DACDAC-1998-ChenOIB #analysis #validation
Validation of an Architectural Level Power Analysis Technique (RYC, RMO, MJI, RSB), pp. 242–245.
DACDAC-1998-LeeKPM #multi #programmable
Media Architecture: General Purpose vs. Multiple Application-Specific Programmable Processor (CL, JK, MP, WHMS), pp. 321–326.
DATEDATE-1998-Catthoor #design #energy #performance
Energy-Delay Efficient Data Storage and Transfer Architectures: Circuit Technology versus Design Methodology Solutions (FC), pp. 709–714.
DATEDATE-1998-DaveJ #concurrent #embedded #named #realtime #specification
CASPER: Concurrent Hardware-Software Co-Synthesis of Hard Real-Time Aperiodic and Periodic Specifications of Embedded System Architectures (BPD, NKJ), pp. 118–124.
DATEDATE-1998-GongCK #synthesis
Architectural Rule Checking for High-level Synthesis (JG, CTC, KK), pp. 949–950.
DATEDATE-1998-JemaiKJ #behaviour #simulation #synthesis
Architectural Simulation in the Context of Behavioral Synthesis (AJ, PK, AAJ), pp. 590–595.
DATEDATE-1998-KaulV #clustering #configuration management #synthesis
Optimal Temporal Partitioning and Synthesis for Reconfigurable Architectures (MK, RV), pp. 389–396.
DATEDATE-1998-MrvaBK #concurrent #java #multi #scalability #thread
A Scalable Architecture for Multi-threaded JAVA Applications (MM, KB, RK), pp. 868–874.
DATEDATE-1998-PyttelSV #named #parallel #scalability
PSCP: A Scalable Parallel ASIP Architecture for Reactive Systems (AP, AS, CV), pp. 370–376.
DATEDATE-1998-SchneiderKHD #algorithm #comparison #hardware
From Algorithms to Hardware Architectures: A Comparison of Regular and Irregular Structured IDCT Algorithms (CS, MK, TH, JD), pp. 186–190.
DATEDATE-1998-UrrizaAGBN #image #using
VLSI Architecture for Lossless Compression of Medical Images Using the Discrete Wavelet Transform (IU, JIA, JIGN, LAB, DN), pp. 196–201.
DATEDATE-1998-WehnH #embedded #trade-off
Embedded DRAM Architectural Trade-Offs (NW, SH), pp. 704–708.
DATEDATE-1998-XuK #synthesis
Layout-Driven High Level Synthesis for FPGA Based Architectures (MX, FJK), pp. 446–450.
HPCAHPCA-1998-Arpaci-DusseauACHP #clustering #comparison #streaming
The Architectural Costs of Streaming I/O: A Comparison of Workstations, Clusters, and SMPs (RHAD, ACAD, DEC, JMH, DAP), pp. 90–101.
HPCAHPCA-1998-EkanadhamLPS #memory management #named #scalability
PRISM: An Integrated Architecture for Scalable Shared Memory (KE, BHL, PP, MS), pp. 140–151.
HPCAHPCA-1998-HallaronSG #product line
Architectural Implications of a Family of Irregular Applications (DRO, JRS, TRG), pp. 80–89.
HPCAHPCA-1998-MillerJL
Non-Stalling CounterFlow Architecture (MFM, KJJ, SLL), pp. 334–341.
HPDCHPDC-1998-GianniniC #clustering #communication #performance
A Software Architecture for Global Address Space Communication on Clusters: Put/Get on Fast Messages (LAG, AAC), pp. 330–337.
HPDCHPDC-1998-ParkD #clustering
The Effect of Clustering in Client-Caching Architectures (JHP, AD), pp. 354–355.
HPDCHPDC-1998-YoussefAM #distributed #quality
The Software Architecture of a Distributed Quality of Session Control Layer (AY, HMAW, KM), pp. 21–28.
LCTESLCTES-1998-Campbell #embedded
Evaluating ASIC, DSP, and RISC Architectures for Embedded Applications (MC), p. 261.
PDPPDP-1998-BeckZU #clustering #dependence #graph
Architecture-dependent partitioning of dependence graphs (MB, EZ, TU), pp. 70–76.
PDPPDP-1998-FernandezA #memory management #multi #named #network
COMA-BC: a cache only memory architecture multicomputer for non-hierarchical common bus networks (BSF, JAIA), pp. 502–508.
PDPPDP-1998-HillJSV #independence #parallel #performance #profiling #using
Portable and architecture independent parallel performance tuning using a call-graph profiling tool (JMDH, SAJ, CJS, VPV), pp. 286–294.
PDPPDP-1998-StiemerlingC #component
Tailorable component architectures for CSCW-systems (OS, ABC), pp. 302–308.
PDPPDP-1998-VesztergombiORV #algorithm #matrix #multi #scalability
Scalable matrix multiplication algorithm for IRAM architecture machine (GV, , FR, GV), pp. 367–372.
PDPPDP-1998-VillaEV #effectiveness
Effective usage of vector registers in decoupled vector architectures (LV, RE, MV), pp. 495–501.
FASEFASE-1998-AllenDG #specification
Specifying and Analyzing Dynamic Software Architectures (RA, RD, DG), pp. 21–37.
TACASTACAS-1998-Garavel #named #simulation #testing #verification
OPEN/CÆSAR: An OPen Software Architecture for Verification, Simulation, and Testing (HG), pp. 68–84.
ICTSSIWTCS-1998-WalterSG #distributed #state of the art
Test Architectures for Distributed Systems: State of the Art and Beyond (TW, IS, JG), pp. 149–174.
DL-1997-BaldonadoCGP #design #library #metadata
Metadata for Digital Libraries: Architecture and Design Rationale (MQWB, KCCC, LG, AP), pp. 47–56.
DL-1997-KetchpelGP #flexibility #modelling
Shopping Models: A Flexible Architecture for Information Commerce (SPK, HGM, AP), pp. 65–74.
HTHT-1997-GooseDHR #distributed #hypermedia #reflexive
Microcosm TNG: A Distributed Architecture to Support Reflexive Hypermedia Applications (SG, JD, WH, DDR), pp. 226–227.
HTHT-1997-Whitehead #hypermedia #integration
An Architectural Model for Application Integration in Open Hypermedia Environments (EJWJ), pp. 1–12.
ICDARICDAR-1997-Ah-SoonT #analysis
Variations on the Analysis of Architectural Drawing (CAS, KT), pp. 347–351.
ICDARICDAR-1997-CesariniFGMSS #recognition
A Neural-Based Architecture for Spot-Noisy Logo Recognition (FC, EF, MG, SM, JS, GS), pp. 175–179.
ICDARICDAR-1997-HennigMSW #distributed #named #recognition
DART — A Software Architecture for the Creation of a Distributed Asynchronous Recognition Toolbox (AH, EM, NS, RJW), p. 439–?.
ICDARICDAR-1997-StuckelbergPH #recognition #using
An Architecture for Musical Score Recognition using High-Level Domain Knowledge (MVS, CP, MH), pp. 813–818.
SIGMODSIGMOD-1997-Kramer #case study #database #web
Databases on the Web: Technologies for Federation Architectures and Case Studies (RK), pp. 503–506.
VLDBVLDB-1997-RothS #data flow #exclamation #legacy
Don’t Scrap It, Wrap It! A Wrapper Architecture for Legacy Data Sources (MTR, PMS), pp. 266–275.
ITiCSEITiCSE-1997-Knox #design #simulation
Integrating design and simulation into a computer architecture course (DK), pp. 42–44.
CSMRCSMR-1997-MendoncaK #analysis
A Quality-Based Analysis of Architecture Recovery Environments (NCM, JK), pp. 54–59.
ICSMEICSM-1997-AbowdGJMMMPRW #evolution #legacy
MORALE. Mission ORiented Architectural Legacy Evolution (GDA, AKG, DFJ, WMM, MMM, JWM, CP, SR, LMW), pp. 150–159.
ICSMEICSM-1997-GirardK #component #comprehension #towards
Finding Components in a Hierarchy of Modules: a Step towards Architectural Understanding (JFG, RK), pp. 58–65.
ICSMEICSM-1997-Krikhaar #approach
Reverse Architecting Approach for Complex Systems (RLK), pp. 4–11.
ICSMEICSM-1997-TryggesethN #traceability
Dynamic Traceability Links Supported by a System Architecture Description (ET, ØN), pp. 180–187.
WCREWCRE-1997-GirardKS #comparison #comprehension #data type #detection #encapsulation
Comparison of Abstract Data Type and Abstract State Encapsulation Detection Techniques for Architectural Understanding (JFG, RK, GS), pp. 66–75.
WCREWCRE-1997-JerdingR #locality #using #visualisation
Using Visualization for Architectural Localization and Extraction (DFJ, SR), pp. 56–65.
WCREWCRE-1997-TzerposH #maintenance #problem
The Orphan Adoption Problem in Architecture Maintenance (VT, RCH), p. 76–?.
CHICHI-1997-CalvaryCN #design
From Single-User Architectural Design to PAC*: a Generic Software Architecture Model for CSCW (GC, JC, LN), pp. 242–249.
HCIHCI-CC-1997-SavidisAS #adaptation #implementation #interactive #interface
Software Architecture for Transformable Interface Implementations: Building User-Adapted Interactions (AS, DA, CS), pp. 453–456.
HCIHCI-CC-1997-Vanderheiden97a #interface #using
Use of a Common Table Architecture for Creating Hands Free, Eyes Free, Noisy Environment (Flex-Modal, Flex-Input) Interfaces (GCV), pp. 449–452.
HCIHCI-SEC-1997-EnglmeierM
From Informative Patterns to Information Architecture (KE, EM), pp. 35–38.
HCIHCI-SEC-1997-Perez-QuinonesS #composition #human-computer #programming
Modular Dialogue Units: A Software Architecture for Programming Human-Computer Dialogues (MAPQ, JLS), pp. 355–358.
HCIHCI-SEC-1997-SawaY #modelling #simulation
New Modeling/Analyzing Architecture and Simulation Mechanism for Complex Structure (TS, MY), pp. 363–366.
HCIHCI-SEC-1997-TanoNSTA #concept #design #user interface
Design Concept Based on Real-Virtual-Intelligent User Interface and its Software Architecture (ST, YN, HS, TT, HA), pp. 901–904.
EDOCEDOC-1997-ChanL #distributed
Creating a distributed factory object architecture (SMC, TLL), pp. 282–290.
EDOCEDOC-1997-HamadaHRLKH #network #quality
Service quality in TINA-quality of service trading in open network architecture (TH, SH, JR, CL, LK, PFH), pp. 322–333.
EDOCEDOC-1997-Murray #distributed
The Subsumption Architecture: Distributed Control for Business Objects (DM), pp. 23–27.
EDOCEDOC-1997-WaddingtonC #component #distributed #multi
A Distributed Multimedia Component Architecture (DGW, GC), p. 334–?.
CIKMCIKM-1997-DolinAAD #distributed #named #scalability
Pharos: A Scalable Distributed Architecture for Locating Heterogeneous Information Sources (RD, DA, AEA, LKD), pp. 348–355.
KDDKDD-1997-KarguptaHS #data mining #distributed #mining #scalability
Scalable, Distributed Data Mining — An Agent Architecture (HK, IH, BS), pp. 211–214.
UMLUML-1997-Holz #uml
Application of UML Within the Scope of New Telecommunication Architectures (EH), pp. 207–219.
ECOOPECOOP-1997-EvansD #distributed #evolution #named #persistent #runtime
DRASTIC: A Run-Time Architecture for Evolving, Distributed, Persistent Systems (HE, PD), pp. 243–275.
ECOOPECOOP-1997-Lunau #process
A Reflective Architecture for Process Control Applications (CPL), pp. 170–189.
TOOLSTOOLS-ASIA-1997-ThompsonW #corba #distributed
Comparisons between CORBA and DCOM: Architectures for Distributed Computing (DT, DW), pp. 278–283.
TOOLSTOOLS-ASIA-1997-WangZ #distributed #reliability
An Architecture for Building Reliable Distributed Object-Based Systems (LW, WZ), pp. 260–265.
TOOLSTOOLS-PACIFIC-1997-FrohlichS #analysis #interactive
Interactive Analysis of Object Architectures (JHF, TS), pp. 252–266.
TOOLSTOOLS-PACIFIC-1997-PiresM #corba
A CORBA Based Architecture for Heterogeneous Information Source Interoperability (PdFP, MLQM), pp. 33–51.
TOOLSTOOLS-USA-1997-Doshi #database #effectiveness #multi
Effective Object Databases and Multi-Tier Architectures (ND), p. 374.
AdaEuropeAdaEurope-1997-Ogren #ada
Ada 95 as a Base to Architect Systems in O4STM (), pp. 76–87.
ASEASE-1997-ChenC #approach #automation #reuse
Facilitating an Automated Approach to Architecture-based Software Reuse (YC, BHCC), pp. 238–245.
ASEASE-1997-FenselS #knowledge-based #using #verification
Using KIV to Specify and Verify Architectures of Knowledge-Based Systems (DF, AS), p. 71–?.
ASEASE-1997-GomaaF #automation #distributed #reuse
Automated Configuration of Distributed Applications from Reusable Software Architectures (HG, GAF), pp. 193–200.
ASEASE-1997-MarletTC #implementation #partial evaluation #performance
Mapping Software Architectures to Efficient Implementations via Partial Evaluation (RM, ST, CC), pp. 183–192.
ASEASE-1997-PenixAH #declarative #specification
Declarative Specification of Software Architectures (JP, PA, KH), p. 201–?.
ESECESEC-FSE-1997-DiniBM #experience #formal method #industrial
Formalizing Software Architectures: An Industrial Experience (PD, AB, WLM), pp. 527–529.
ESECESEC-FSE-1997-MedvidovicT #framework
A Framework for Classifying and Comparing Architecture Description Languages (NM, RNT), pp. 60–76.
ESECESEC-FSE-1997-NaumovichACO #static analysis
Applying Static Analysis to Software Architectures (GN, GSA, LAC, LJO), pp. 77–93.
ESECESEC-FSE-1997-Obbink #analysis #case study #experience #industrial
Analysis of Software Architectures in High and Low Volume Electronic Systems, Industrial Experience Report (JHO), pp. 523–524.
ICSEICSE-1997-EixelsbergerWKG #embedded
Software Architecture Recovery of Embedded Software (WE, LW, RK, HG), pp. 558–559.
ICSEICSE-1997-GrahamU #user interface #visual notation
Integrating Support for Temporal Media into an Architecture for Graphical User Interfaces (TCNG, TU), pp. 172–182.
ICSEICSE-1997-KaiserDJY
An Architecture for WWW-based Hypercode Environments (GEK, SED, WJ, JJY), pp. 3–13.
ICSEICSE-1997-KramerM #distributed
Distributed Software Architectures (JK, JM), pp. 633–634.
ICSEICSE-1997-MedvidovicOT #component #off the shelf #reuse #using
Reuse of Off-the-Shelf Components in C2-Style Architectures (NM, PO, RNT), pp. 692–700.
ICSEICSE-1997-Ning #component #design #named #re-engineering
ADE — An Architecture Design Environment for Component-Based Software Engineering (JQN), pp. 614–615.
ICSEICSE-1997-Perry #overview #state of the art
An Overview of the State of the Art in Software Architecture (DEP), pp. 590–591.
ICSEICSE-1997-RamKH #product line
Architecting Families of Software-Intensive Products (AR, HK, PH), p. 580.
ICSEICSE-1997-RobbinsHR #design #evolution #named
Argo: A Design Environment for Evolving Software Architectures (JER, DMH, DFR), pp. 600–601.
ICSEICSE-1997-SullivanSM #formal method #standard #using
Using Formal Methods to Reason about Architectural Standards (KJS, JS, MM), pp. 503–513.
ICSEICSE-1997-YehHC
Manipulating Recovered Software Architecture Views (ASY, DRH, MPC), pp. 184–194.
SACSAC-1997-KnightKC
An architecture for an integrated active help system (GK, DK, PC), pp. 58–64.
ASF+SDFASF+SDF-1997-Moonen #analysis #data flow #reverse engineering
A generic architecture for data flow analysis to support reverse engineering (LM), p. 10.
DACDAC-1997-SambandamH #behaviour #design #embedded #predict #realtime
Predicting Timing Behavior in Architectural Design Exploration of Real-Time Embedded Systems (RSS, XH), pp. 157–160.
DACDAC-1997-SudarsanamLD #analysis #evaluation
Analysis and Evaluation of Address Arithmetic Capabilities in Custom DSP Architectures (AS, SYL, SD), pp. 287–292.
DACDAC-1997-XanthopoulosYC #case study #estimation #using
Architectural Exploration Using Verilog-Based Power Estimation: A Case Study of the IDCT (TX, YY, AC), pp. 415–420.
DATEEDTC-1997-CmarV #parallel #scalability
Highly scalable parallel parametrizable architecture of the motion estimator (RC, SV), pp. 208–212.
DATEEDTC-1997-KarthikeyanN
An asynchronous architecture for digital signal processors (MRK, SKN), p. 615.
DATEEDTC-1997-Kristof #bound #effectiveness #idea #self #testing
Extension of the boundary-scan architecture and new idea of BIST for more effective testing and self-testing of interconnections (AK), p. 630.
DATEEDTC-1997-LeijtenMTJ #data-driven #multi #named
PROPHID: a data-driven multi-processor architecture for high-performance DSP (JAJL, JLvM, AHT, JAGJ), p. 611.
DATEEDTC-1997-MirandaKCM #generative #hardware #optimisation
Architectural exploration and optimization for counter based hardware address generation (MM, MK, FC, HDM), pp. 293–298.
DATEEDTC-1997-Schneider #abstraction #hardware #trade-off
A methodology for hardware architecture trade-off at different levels of abstraction (CS), pp. 537–541.
HPCAHPCA-1997-AugustCGH #branch #predict
Architectural Support for Compiler-Synthesized Dynamic Branch Prediction Strategies: Rationale and Initial Results (DIA, DAC, JCG, WmWH), pp. 84–93.
HPCAHPCA-1997-DaoYD #communication #multi #network
Architectural Support for Reducing Communication Overhead in Multiprocessor Interconnection Networks (BVD, SY, JD), pp. 343–352.
HPCAHPCA-1997-EspasaV #parallel #thread
Multithreaded Vector Architectures (RE, MV), pp. 237–248.
HPCAHPCA-1997-JanikLM #pipes and filters
Advances of the Counterflow Pipeline Microarchitecture (KJJ, SLL, MFM), pp. 230–236.
HPDCHPDC-1997-SinghalNRNF #design #interactive #named #scalability
InVerse: Designing an Interactive Universe Architecture for Scalability and Extensibility (SKS, BQN, RR, JN, MF), pp. 61–70.
HPDCHPDC-1997-TopcuogluHFVRKKBY #distributed
The Software Architecture of a Virtual Distributed Computing Environment (HT, SH, WF, JV, IR, DK, YK, XB, BY), pp. 40–49.
PDPPDP-1997-BukhresMZVCPM #database #distributed #mobile
A proposed mobile architecture for a distributed database environment (OAB, SM, PZ, EV, CJC, JP, MM), pp. 413–418.
PDPPDP-1997-CorradiLZ #approach #parallel #tuple
Multiple tuple spaces onto massively parallel architectures: A hierarchical approach (AC, LL, FZ), pp. 259–264.
PDPPDP-1997-Eckhardt #array
I/O management for hierarchically structured array architectures (UE), pp. 205–210.
PDPPDP-1997-GiloiS #distributed #memory management #programming
A high-level programming environment for distributed memory architectures (WKG, AS), pp. 369–375.
PDPPDP-1997-Sampels #communication #parallel
Massively parallel architectures and systolic communication (MS), pp. 322–334.
PDPPDP-1997-VesztergombiORVT #matrix #parallel #scalability #string
Boolean matrix exponentiation: A case story for a scalable massively parallel matrix machine with string architecture (GV, , FR, GV, FT), pp. 461–467.
PPoPPPPoPP-1997-SodanGMST #case study #experience #parallel #thread
Experiences with Non-numeric Applications on Multithreaded Architectures (AS, GRG, OM, JUS, XT), pp. 124–135.
SOSPSOSP-1997-WallachBDF #java #security
Extensible Security Architecture for Java (DSW, DB, DD, EWF), pp. 116–128.
TAPSOFTTAPSOFT-1997-FiadeiroL #semantics
Semantics of Architectural Connectors (JLF, AL), pp. 505–519.
TAPSOFTTAPSOFT-1997-HeiselL #using
Using LOTOS Patterns to Characterize Architectural Styles (MH, NL), pp. 818–832.
DL-1996-PhelpsW #documentation #multi #towards
Toward Active, Extensible, Networked Documents: Multivalent Architecture and Applications (TAP, RW), pp. 100–108.
SIGMODSIGMOD-1996-ChooOLCGBPS #named #parallel
Prospector: A Content-Based Multimedia Server for Massively Parallel Architectures (SC, WO, GL, HC, KG, AB, EP, DS), p. 551.
SIGMODSIGMOD-1996-OConnellISWABCCLPWW #parallel
A Content-Based Multimedia Server for Massively Parallel Architectures (WO, ITI, DS, CW, GA, AB, SC, PC, GL, EP, JW, TW), pp. 68–78.
SIGMODSIGMOD-1996-OzdenRSS #fault tolerance
Fault-tolerant Architectures for Continuous Media Servers (, RR, PJS, AS), pp. 79–90.
VLDBVLDB-1996-Raghavan #parallel
Loading the Data Warehouse Across Various Parallel Architectures (VR0), p. 597.
CSEETCSEE-1996-BrownWC #maintenance #process
A Software Maintenance Process Architecture (SMB, NW, JDC), pp. 130–143.
ITiCSEITiCSE-1996-CoeWI #education #interactive
An interactive environment for the teaching of computer architecture (PSC, LMW, RNI), pp. 33–35.
ICSMEICSM-1996-FiutemTAM #reverse engineering
A Cliche-Based Environment to Support Architectural Reverse Engineering (RF, PT, GA, EM), pp. 319–328.
ICSMEICSM-1996-McCrickardA #case study #impact analysis #visual notation
Assessing the impact of changes at the architectural level: a case study on graphical debuggers (DSM, GDA), p. 59–?.
WCREWCRE-1996-ChaseHRY #analysis
Analysis and Presentation of Recovered Software Architectures (MPC, DRH, SNR, ASY), pp. 153–162.
WCREWCRE-1996-FiutemTAM #reverse engineering
A Cliche-Based Environment to Support Architectural Reverse Engineering (RF, PT, GA, EM), pp. 277–286.
WCREWCRE-1996-TonellaFAM #analysis #case study
Augmenting Pattern-Based Architectural Recovery with Flow Analysis: Mosaic — A Case Study (PT, RF, GA, EM), pp. 198–207.
WPCWPC-1996-FiutemMAT #comprehension
Understanding the architecture of software systems (RF, EM, GA, PT), p. 187–?.
WPCWPC-1996-WallnauCMK #approach #comprehension
The Gadfly: An Approach to Architectural-Level System Comprehension (KCW, PCC, EJM, RK), pp. 178–186.
CHICHI-1996-ComstockD #usability
Embed User Values in System Architecture: The Declaration of System Usability (EMC, WMD), pp. 420–427.
CSCWCSCW-1996-Ramduny #interface
Temporal Interface Issues and Software Architecture for Remote Cooperative Work (doctoral colloquium) (DR), p. 447.
ICPRICPR-1996-AruruRN #image
A VLSI system architecture for lossless image compression (SBA, NR, KRN), pp. 594–598.
ICPRICPR-1996-BalaDHVW #detection #hybrid #search-based #using #visual notation
Visual routine for eye detection using hybrid genetic architectures (JWB, KD, JH, HV, HW), pp. 606–610.
ICPRICPR-1996-HeidemannR #3d #recognition #using
A neural 3-D object recognition architecture using optimized Gabor filters (GH, HJR), pp. 70–74.
ICPRICPR-1996-MozefWJT #analysis #component #parallel
Parallel architecture dedicated to connected component analysis (EM, SW, JJ, ET), pp. 699–703.
ICPRICPR-1996-NairA #composition #recognition
Hierarchical, modular architectures for object recognition by parts (DN, JKA), pp. 601–606.
ICPRICPR-1996-SongKL #network #pattern matching #pattern recognition #recognition
A new recurrent neural network architecture for pattern recognition (HHS, SMK, SWL), pp. 718–722.
ICPRICPR-1996-Yoshii #classification
Pyramid architecture classification tree (HY), pp. 310–314.
SEKESEKE-1996-DengDAE #distributed #modelling #realtime
A Formalism for Architectural Modeling of Distributed Real-Time Systems (YD, WD, PCA, ME), pp. 408–417.
SEKESEKE-1996-MittermeirW #component #reuse #usability
Greedy Reuse: Architectural Considerations for Extending the Reusability of Components (RM, LGW), pp. 434–441.
SEKESEKE-1996-Starks #analysis #development
Development of a Software Architecture for the Analysis of Geographic and Image-Based Data (SAS), pp. 116–120.
SIGIRSIGIR-1996-CahoonM #distributed #evaluation #information retrieval #performance
Performance Evaluation of a Distributed Architecture for Information Retrieval (BC, KSM), pp. 110–118.
SIGIRSIGIR-1996-HendryH #implementation
An Architecture for Implementing Extensible Information-Seeking Environments (DGH, DJH), pp. 94–100.
OOPSLAOOPSLA-1996-SefikaSC #visualisation
Architecture-Oriented Visualization (MS, AS, RHC), pp. 389–405.
OOPSLAOOPSLA-1996-WallaceW #evaluation
A Situated Evaluation of the Object Management Group’s (OMG) Object Management Architecture (OMA) (EW, KCW), pp. 168–178.
AdaEuropeAdaEurope-1996-EmeryHR #case study #experience
Experiences Applying a Practical Architectural Method (DEE, RFHI, TBR), pp. 471–484.
AdaEuropeAdaEurope-1996-Madhav #ada #consistency #source code #testing
Testing Ada 95 Programs for Conformance to Rapide Architectures (NM), pp. 123–134.
AdaTRI-Ada-1996-Gramp #communication #comparison #mobile
A Comparison of Different Tasking Architectures Used in Mobile Satellite Communication Ground Station Software (KJG), pp. 23–28.
AdaTRI-Ada-1996-KlinglerS #implementation #named #process
DAGAR: A Process for Domain Architecture Definition and Asset Implementation (CDK, JS), pp. 231–245.
AdaTRI-Ada-1996-Moody #ada #case study #experience #migration #reuse
Migrating Well Engineered Ada 83 Applications into Newer Architecture and Reuse Based Ada 95 Systems: Experiences from Boeing’s Reuse Initiative Project (SAM), pp. 219–229.
FSEFSE-1996-MageeK
Dynamic Structure in Software Architectures (JM, JK), pp. 3–14.
FSEFSE-1996-MedvidovicORT #design #object-oriented #type system #using
Using Object-Oriented Typing to Support Architectural Design in the C2 Style (NM, PO, JER, RNT), pp. 24–32.
FSEFSE-1996-Metayer #graph grammar
Software Architecture Styles as Graph Grammars (DLM), pp. 15–23.
FSEFSE-1996-Rechtin
Software Systems Architecting (ER), p. 1.
ICSEICSE-1996-DwyerC #data flow #flexibility
A Flexible Architecture for Building Data Flow Analyzers (MBD, LAC), pp. 554–564.
ICSEICSE-1996-EickelmannR #evaluation #testing
An Evaluation of Software Test Environment Architectures (NSE, DJR), pp. 353–364.
ICSEICSE-1996-GodartCCMS #design #implementation #lessons learnt #process
Designing and Implementing COO: Design Process, Architectural Style, Lessons Learned (CG, GC, FC, PM, HS), pp. 342–352.
ICSEICSE-1996-GrahamU #design
Linguistic Support for the Evolutionary Design of Software Architectures (TCNG, TU), pp. 418–427.
ICSEICSE-1996-PaoliS #interactive #multi #requirements
Requirements for a Layered Software Architecture Supporting Cooperative Multi-User Interaction (FDP, AS), pp. 408–417.
ICSEICSE-1996-SullivanK #approach #experience #reuse #scalability
Experience Assessing an Architectural Approach to Large-Scale Systematic Reuse (KJS, JCK), pp. 220–229.
ASEKBSE-1996-RobbinsHR #design
Extending Design Environments to Software Architecture Design (JER, DMH, DFR), p. 12.
SACSAC-1996-RineAC #adaptation #fuzzy #reuse
A reusable software adaptative fuzzy controller architecture (DCR, MA, JC), pp. 633–637.
ASPLOSASPLOS-1996-KrishnamurthySSWCY #communication #evaluation #parallel #scalability
Evaluation of Architectural Support for Global Address-Based Communication in Large-Scale Parallel Machines (AK, KES, CJS, RYW, DEC, KAY), pp. 37–48.
DACDAC-1996-AraujoML #code generation #using
Using Register-Transfer Paths in Code Generation for Heterogeneous Memory-Register Architectures (GA, SM, MTCL), pp. 591–596.
DACDAC-1996-HassounE #pipes and filters
Architectural Retiming: Pipelining Latency-Constrained Circuts (SH, CE), pp. 708–713.
DACDAC-1996-HuiskenW #design #named #synthesis
FADIC: Architectural Synthesis applied in IC Design (JH, FW), pp. 579–584.
DACDAC-1996-IyerK #named #self #synthesis
Introspection: A Low Overhead Binding Technique During Self-Diagnosing Microarchitecture Synthesis (BI, RK), pp. 137–142.
DACDAC-1996-LiemPJ #compilation
Address Calculation for Retargetable Compilation and Exploration of Instruction-Set Architectures (CL, PGP, AAJ), pp. 597–600.
DACDAC-1996-VercauterenLM #embedded
Constructing Application-Specific Heterogeneous Embedded Architectures from Custom HW/SW Applications (SV, BL, HDM), pp. 521–526.
DACDAC-1996-VercauterenLM96a #embedded #kernel #realtime
A Strategy for Real-Time Kernel Support in Application-Specific HW/SW Embedded Architectures (SV, BL, HDM), pp. 678–683.
HPCAHPCA-1996-EspasaV
Decoupled Vector Architectures (RE, MV), pp. 281–290.
HPDCHPDC-1996-ChanPS #network #prototype
Prototyping Network Architectures on a Supercomputer (MCC, GP, RS), pp. 374–382.
HPDCHPDC-1996-DharanikotaM #named #network #quality
QUANTA: Quality of Service Architecture for Native TCP/IP over ATM Networks (SD, KM), pp. 585–594.
PDPPDP-1996-BekkerD #message passing
Delay-Insensitive Synchronization on a Message-Passing Architecture with an Open Collector Bus (HB, EJD), pp. 75–79.
PDPPDP-1996-BenkahlaAR #clustering #parallel
System-Diagnosis of Cluster-Based Parallel Architectures (OEKB, CA, CR), pp. 305–309.
PDPPDP-1996-ChenPK #parallel #performance #thread
Performance of Shared Cache on Multithreaded Architectures (YYC, JKP, CTK), pp. 541–548.
PDPPDP-1996-GiloiBS #distributed #memory management #named #performance #prototype
MANNA: Prototype of a Distributed Memory Architecture with Maximized Sustained Performance (WKG, UB, WSP), pp. 297–304.
PDPPDP-1996-HoggHL #novel #parallel
A Novel Asynchronous ALU for Massively Parallel Architectures (RSH, WIH, DWL), pp. 282–289.
PDPPDP-1996-MullerSW #comparison #memory management
The Role of Associative Memory in Virtual Shared Memory Architectures: A Price-Performance Comparison (HLM, PWAS, DHDW), pp. 41–49.
PDPPDP-1996-TheodoropoulosW #network #simulation
Simulating Asynchronous Architectures on Transputer Networks (GKT, JVW), pp. 274–281.
PDPPDP-1996-WaiteRI #graph #parallel #reduction
Parallel Graph Reduction with the PACE Architecture (MEW, TJR, FZI), pp. 448–454.
ISSTAISSTA-1996-Tracz #analysis
Test and Analysis of Software Architectures (WT), pp. 1–3.
DL-1995-NurnbergFLMS #library
Digital Libraries: Issues and Architectures (PJN, RF, JJL, CCM, FMSI).
DL-1995-YuanRS #library #modelling
Service Models, Operational Decisions and Architecture of Digital Libraries (YY, SFR, MAS).
ICDARICDAR-v2-1995-KimLK #array #hardware #implementation #parallel #recognition
Parallel hardware implementation of handwritten character recognition system on wavefront array processor architecture (YJK, SWL, MWK), pp. 715–718.
ICDARICDAR-v2-1995-ParmentierB #bibliography #using #validation
Bibliography references validation using emergent architecture (FP, AB), pp. 532–535.
SIGMODSIGMOD-1995-Atkinson #component #relational #using
Use of a Component Architecture in Integrating Relational and Non-relational Storage Systems (RGA), p. 454.
SIGMODSIGMOD-1995-French #database
“One Size Fits All” Database Architectures Do Not Work for DDS (CDF), pp. 449–450.
SIGMODSIGMOD-1995-Team95c
Upsizing from File Server to Clent Server Architectures (TAT), pp. 425–426.
VLDBVLDB-1995-MillinerBP #database #interactive #scalability #semistructured data
A Scalable Architecture for Autonomous Heterogeneous Database Interactions (SM, AB, MPP), pp. 515–526.
TFPIEFPLE-1995-ODonnell #education #functional #specification
From Transistors to Computer Architecture: Teaching Functional Circuit Specification in Hydra (JJO), pp. 195–214.
ICSMEICSM-1995-CarmichaelTH #design #interactive #maintenance
Design maintenance: unexpected architectural interactions (IC, VT, RCH), p. 134–?.
ICSMEICSM-1995-HsiaGKPL #case study #maintenance #object-oriented
A study on the effect of architecture on maintainability of object-oriented systems (PH, AG, DCK, JP, SL), pp. 4–11.
WCREWCRE-1995-HarrisYR #source code
Recognizers for Extracting Architectural Features from Source Code (DRH, ASY, HBR).
FPCAFPCA-1995-WallaceR #embedded #functional #programming
λs in the Liftshaft — Functional Programming and an Embedded Architecture (MW, CR), pp. 249–258.
CHICHI-1995-KierasWM #modelling #predict #using
Predictive Engineering Models Using the EPIC Architecture for a High-Performance Task (DEK, SDW, DEM), pp. 11–18.
CAiSECAiSE-1995-Bracchi #industrial
The Impact of New Information Architectures on Industry and Government Transformation (GB), pp. 311–312.
KDDKDD-1995-AndersonSZ #named
STAR: A General Architecture for the Support of Distortion Oriented Displays (PA, RS, ZZ), pp. 15–20.
SEKESEKE-1995-BernardeschiFP #correctness #interactive #specification #user interface
Application of Correctness Preserving Transformations for Deriving Architectural Descriptions of Interactive Systems from User Interface Specifications (CB, AF, FP), pp. 234–243.
SEKESEKE-1995-KhoslaD #integration
Integration of Task Level Architecture with O-O Technology (RK, TSD), pp. 95–97.
AdaTRI-Ada-1995-Kruchten #development #process
Software Architecture and Iterative Development Process (PK), pp. 491–539.
AdaTRI-Ada-1995-Kruchten95a
Architecture Blueprints — the “4+1” View Model of Software Architecture (PK), pp. 540–555.
ESECESEC-1995-GallKM #object-oriented
Object-Oriented Re-Architecturing (HG, RK, RM), pp. 499–519.
ESECESEC-1995-HeiselSZ #development #formal method #tool support
Tool Support for Formal Software Development: A Generic Architecture (MH, TS, DZ), pp. 272–293.
ESECESEC-1995-MageeDEK #distributed #specification
Specifying Distributed Software Architectures (JM, ND, SE, JK), pp. 137–153.
ICSEICSE-1995-GarlanAO #why
Architectural Mismatch or Why It’s Hard to Build Systems Out Of Existing Parts (DG, RA, JO), pp. 179–185.
ICSEICSE-1995-HarrisRY #reverse engineering
Reverse Engineering to the Architectural Level (DRH, HBR, ASY), pp. 186–195.
ICSEICSE-1995-HeinemanK #concurrent #framework
An Architecture for Integrating Concurrency Control into Environment Frameworks (GTH, GEK), pp. 305–313.
ICSEICSE-1995-SoniNH #industrial
Software Architecture in Industrial Applications (DS, RLN, CH), pp. 196–207.
ICSEICSE-1995-TaylorMAWR #component #message passing #user interface
A Component- and Message-Based Architectural Style for GUI Software (RNT, NM, KMA, EJWJ, JER), pp. 295–304.
SACSAC-1995-AlfantookhGA #implementation
Implementation of 2-4 finger trees in the hypercube architecture (AA, KMG, HMAH), pp. 198–205.
DACDAC-1995-DeCastelo-Vide-e-SouzaPP #algorithm #approach #optimisation #throughput #using
Optimal ILP-Based Approach for Throughput Optimization Using Simultaneous Algorithm/Architecture Matching and Retiming (YGDVeS, MP, ACP), pp. 113–118.
DACDAC-1995-FrankRS
Constrained Register Allocation in Bus Architectures (EF, SR, MS), pp. 170–175.
DACDAC-1995-KassabMRT #fault #functional #simulation
Software Accelerated Functional Fault Simulation for Data-Path Architectures (MK, NM, JR, JT), pp. 333–338.
DACDAC-1995-TremblayMIK #analysis #flexibility #performance #trade-off
A Fast and Flexible Performance Simulator for Micro-Architecture Trade-off Analysis on UltraSPARC-I (MT, GM, AI, LK), pp. 2–6.
DACDAC-1995-Trimberger
Effects of FPGA Architecture on FPGA Routing (ST), pp. 574–578.
HPCAHPCA-1995-GargS #communication
Architectural Support for Inter-Stream Communication in a MSIMD System (VG, DES), pp. 348–357.
HPCAHPCA-1995-GovindarajanNL #design #evaluation #parallel #performance #thread
Design and Performance Evaluation of a Multithreaded Architecture (RG, SSN, PL), pp. 298–307.
HPCAHPCA-1995-JohnRHC #performance
Program Balance and Its Impact on High Performance RISC Architectures (LKJ, VR, PTH, LDC), pp. 370–379.
HPCAHPCA-1995-KawanoKTA #parallel #thread
Fine-Grain Multi-Thread Processor Architecture for Massively Parallel Processing (TK, SK, RiT, MA), pp. 308–317.
HPCAHPCA-1995-SastryR #distance
A VLSI Architecture for Computer the Tree-to-Tree Distance (RS, NR), pp. 330–339.
HPDCHPDC-1995-OguchiAS #distributed #evaluation
A Proposal for a DSM Architecture Suitable for a Widely Distributed Environment and its Evaluation (MO, HA, TS), pp. 32–39.
ISMMIWMM-1995-PhalkeG
A Miss History-based Architecture for Cache Prefetching (VP, BG), pp. 381–398.
PDPPDP-1995-CantoniL
Hierarchical architectures for computer vision (VC, LL), pp. 392–399.
PDPPDP-1995-CremonesiS #parallel
A control architecture for managing instructions among partitions of a data parallel structure (PC, DGS), pp. 262–271.
PDPPDP-1995-GregorettiP #parallel #testing #using
Using a massively parallel architecture for integrated circuits testing (FG, CP), pp. 332–338.
PDPPDP-1995-GuarracinoP #algorithm #distributed #memory management #parallel
A parallel modified block Lanczos’ algorithm for distributed memory architectures (MRG, FP), pp. 424–431.
PDPPDP-1995-KimOP #design #parallel
Design issues and the system architecture of TICOM-IV, a highly parallel commercial computer (YWK, SWO, JWP), pp. 219–226.
PDPPDP-1995-PlatznerRW #distributed #multi #simulation
A distributed computer architecture for qualitative simulation based on a multi-DSP and FPGAs (MP, BR, RW), pp. 311–318.
SOSPSOSP-1995-EnglerKO #kernel #named #operating system #resource management
Exokernel: An Operating System Architecture for Application-Level Resource Management (DRE, MFK, JO), pp. 251–266.
SOSPSOSP-1995-RosenblumBHWG #operating system #performance #roadmap
The Impact of Architectural Trends on Operating System Performance (MR, EB, SAH, EW, AG), pp. 285–298.
SIGMODSIGMOD-1994-Melling #enterprise
Enterprise Information Architectures — They’re Finally Changing (WPM), pp. 493–504.
SIGMODSIGMOD-1994-MohanN #database #named
ARIES/CSA: A Method for Database Recovery in Client-Server Architectures (CM, IN), pp. 55–66.
CHICHI-1994-RiemanLYP94a #consistency #interface #reasoning #why
Why is a raven like a writing desk?: lessons in interface consistency and analogical reasoning from two cognitive architectures (JR, CHL, RMY, PGP), pp. 438–444.
CSCWCSCW-1994-ResnickISBR #collaboration #named
GroupLens: An Open Architecture for Collaborative Filtering of Netnews (PR, NI, MS, PB, JR), pp. 175–186.
CIKMCIKM-1994-KirscheLS #database
Functionality and Architecture of a Cooperative Database System: A Vision (TK, RL, HS), pp. 384–391.
CIKMCIKM-1994-WoodsMFK #configuration management #information retrieval #parallel
A Case for Reconfigurable Parallel Architectures for Information Retrieval (WAW, HDM, OF, PBK), pp. 57–63.
ICMLICML-1994-Maza
The Generate, Test, and Explain Discovery System Architecture (MdlM), pp. 46–52.
ICMLICML-1994-ThamP #composition
A Modular Q-Learning Architecture for Manipulator Task Decomposition (CKT, RWP), pp. 309–317.
KDDKDD-1994-AnandBH #database #mining #optimisation #preprocessor #query #semantics
Database Mining in the Architecture of a Semantic Preprocessor for State Aware Query Optimization (SSA, DAB, JGH), pp. 287–298.
KDDKDD-1994-HolsheimerK #data mining #mining
Architectural Support for Data Mining (MH, MLK), pp. 217–228.
SEKESEKE-1994-AbbattistaLV #development
Open architecture for a process-centered development environment (FA, FL, GV), pp. 405–413.
ECOOPECOOP-1994-BeckJ
Patterns Generate Architectures (KB, REJ), pp. 139–149.
AdaEuropeAdaEurope-1994-KayloeL #ada #c++
Merging Ada 9X and C++ in a Graphics System Software Architecture (JRK, PKL), pp. 33–42.
LOPSTRLOPSTR-1994-Dunin-Keplicz #development #multi #source code
An Architecture with Multiple Meta-Levels for the Development of Correct Programs (BDK), pp. 293–310.
LOPSTRLOPSTR-1994-Treur #reasoning #semantics
Temporal Semantics of Meta-Level Architectures for Dynamic Control of Reasoning (JT), pp. 353–376.
PLDIPLDI-1994-SrivastavaW #optimisation
Link-Time Optimization of Address Calculation on a 64-bit Architecture (AS, DWW), pp. 49–60.
AdaTRI-Ada-1994-Aldrich #ada
Secured Systems and Ada: A Trusted System Software Architecture (MA), pp. 282–292.
AdaTRI-Ada-1994-Arya #reuse
The RCAS Software Architecture and Its Relation to Reuse (PA), pp. 388–395.
AdaTRI-Ada-1994-CrispenS #design
Structural Model: Architecture for Software Designers (RGC, LDSJ), pp. 272–281.
AdaTRI-Ada-1994-KayloeL #ada #named #using
Easy-Sim: Using Ada 9X in a Graphics System Software Architecture (JRK, PKL), pp. 164–169.
AdaTRI-Ada-1994-KruchtenT #ada #distributed #object-oriented #scalability
An Object-Oriented, Distributed Architecture for Large-Scale Ada Systems (PK, CJT), pp. 262–271.
AdaTRI-Ada-1994-Moody #execution #multi #process
The STARS Process Engine: Language and Architecture to Support Process Capture and Multi-User Execution (SAM), pp. 4–15.
REICRE-1994-ShekaranGJMPR #requirements
The role of software architecture in requirements engineering (MCS, DG, MJ, NRM, CP, HBR), pp. 239–245.
FSEFSE-1994-GarlanAO #design
Exploiting Style in Architectural Design Environments (DG, RA, JO), pp. 175–188.
FSEFSE-1994-MoriconiQ #composition #correctness
Correctness and Composition of Software Architectures (MM, XQ), pp. 164–174.
ICSEICSE-1994-AllenG #formal method
Formalizing Architectural Connection (RJA, DG), pp. 71–80.
ICSEICSE-1994-Boehm
Software Architectures: Critical Success Factors and Cost Drivers (BWB), p. 365.
ICSEICSE-1994-GarlanA
Software Architecture: Practice, Potential, and Pitfalls (DG, DEP), pp. 363–364.
ICSEICSE-1994-KazmanBWA #named
SAAM: A Method for Analyzing the Properties of Software Architectures (RK, LJB, MW, GDA), pp. 81–90.
SACSAC-1994-Rodriguez
A minimal TTL processor for architecture exploration (BJR), pp. 338–340.
ASPLOSASPLOS-1994-HayashiDHKSISIS #compilation #interface
AP1000+: Architectural Support of PUT/GET Interface for Parallelizing Compiler (KH, TD, TH, YK, OS, NI, TS, HI, TS), pp. 196–207.
DACDAC-1994-HarrisO #concurrent #design #synthesis
Microarchitectural Synthesis of VLSI Designs with High Test Concurrency (IGH, AO), pp. 206–211.
DACDAC-1994-KarriO #detection #fault #self #synthesis
Area-Efficient Fault Detection During Self-Recovering Microarchitecture Synthesis (RK, AO), pp. 552–556.
DACDAC-1994-SunL #2d
Routing in a New 2-Dimensional FPGA/FPIC Routing Architecture (YS, CLL), pp. 171–176.
DATEEDAC-1994-BalboniCFS #array #behaviour
From Behavioral Description to Systolic Array Based Architectures (AB, CC, FF, DS), p. 657.
DATEEDAC-1994-IllmanT
A Fragmented Register Architecture and Test Advisor for BIST (RI, DJT), pp. 124–129.
DATEEDAC-1994-SchoofsGM #design #multi #optimisation
Signal Type Optimisation in the Design of Time-Multiplexed DSP Architectures (KS, GG, HDM), pp. 502–506.
PDPPDP-1994-AdamsGS #compilation #multi #named
Harp: A Statically Scheduled Multiple-instruction Issue Architecture And Its Compiler (RA, SMG, GBS), pp. 76–81.
PDPPDP-1994-BagliettoMM #configuration management #parallel
A Simulator For Reconfigurable Massively Parallel Architectures (PB, MM, MM), pp. 185–189.
PDPPDP-1994-CabodiGRR #parallel
A BDD Package For A Massively Parallel SIMD Architecture (GC, SG, MR, MSR), pp. 212–219.
PDPPDP-1994-CiampoliniLMS #parallel #unification
Multi-level Copying For Unification In Parallel Architectures (AC, EL, PM, CS), pp. 518–525.
PDPPDP-1994-CiccarellaP #distributed #embedded
A Distributed System Architecture For Embedded Control Systems (GC, FP), pp. 392–399.
PDPPDP-1994-Jennings #functional #on the
On The Properties Of A Polar Functional Language For A Tagged Token Architecture (GJ), pp. 162–166.
PDPPDP-1994-MohammadiDM #parallel
A New Massively Parallel Architecture Relying On Asynchronous Communications (SM, DD, AM), pp. 58–63.
PDPPDP-1994-SmitH #multi #realtime
A Switch Architecture For Real-time Multimedia Communications (GJMS, PJMH), pp. 438–444.
PDPPDP-1994-StephensonT #parallel
Creatures And Spirals A Data Parallel Object Architecture (IS, RWT), pp. 64–69.
HTHT-1993-ShackelfordSS #distributed #hypermedia #implementation
The Architecture and Implementation of a Distributed Hypermedia Storage System (DES, JBS, FDS), pp. 1–13.
ICDARICDAR-1993-YuTS #approach #documentation
Document architecture language (DAL) approach to document processing (CLY, YYT, CYS), pp. 103–106.
SIGMODSIGMOD-1993-Shan #design
Pegasus Architecture and Design Principles (MCS), pp. 422–425.
SIGMODSIGMOD-1993-Sherman #distributed #product line #transaction
Architecture of the Encina Distributed Transaction Processing Family (MS), pp. 460–463.
VLDBVLDB-1993-KemperMP #optimisation #query
A Blackboard Architecture for Query Optimization in Object Bases (AK, GM, KP), pp. 543–554.
ICSMECSM-1993-Stockenberg #integration #realtime
A Dynamic Integration Architecture for High Availability Real-Time Systems (JES), pp. 51–60.
FMFME-1993-OwreRSH #fault tolerance #lessons learnt #verification
Formal Verification for Fault-Tolerant Architectures: Some Lessons Learned (SO, JMR, NS, FWvH), pp. 482–500.
HCIHCI-ACS-1993-NtuenPK #human-computer #interface #mining
A Blackboard Architecture for Human-Machine Interface in a Mining Teleoperation (CAN, EHP, SMK), pp. 50–55.
HCIHCI-SHI-1993-Bell #design #modelling
Contingency Models for Architectural Design (DAB), pp. 470–475.
HCIHCI-SHI-1993-BruinB
The Software Architecture of DIGIS (HdB, PB), pp. 244–249.
HCIHCI-SHI-1993-DielUW #user interface
An Information-Based User Interface Architecture (HD, JU, HMW), pp. 110–115.
HCIHCI-SHI-1993-Gautsch #analysis #difference #hypermedia
An Analysis of Hypermedia Program Architecture with Individual Differences of Learners (SG), pp. 482–487.
HCIHCI-SHI-1993-KacemSF #knowledge base
A Software Architecture for Cooperative Knowledge Based Systems (AHK, JLS, JF), pp. 303–308.
HCIHCI-SHI-1993-Pearce #modelling
The System is the Expert: Architecture for a Model-Based Tutor (MP), pp. 332–337.
CHIINTERCHI-1993-SukaviriyaFG #design #generative #runtime #user interface
A second generation user interface design environment: the model and the runtime architecture (PNS, JDF, TG), pp. 375–382.
CAiSECAiSE-1993-BreuLSBPU #approach #development #distributed #information management
The BOS-Method Architecture: An Improved Structured Approach for the Development of Distributed Information Systems (MB, GL, BPdS, LB, MP, JCU), pp. 221–233.
CIKMCIKM-1993-RusS #component #multi #retrieval
Multi-media RISSC Informatics: Retrieval of Information with Simple Structural Components (Part I: The Architecture) (DR, DS), pp. 283–294.
SEKESEKE-1993-Bhansali #reuse #using
Architecture-driven Reuse of Code in KASE (SB), pp. 483–490.
SEKESEKE-1993-Deng #execution #specification
Intermediate Executable Architectural Specifications for KBSA, Part II (YD), p. 586.
SEKESEKE-1993-Evangelist #execution #specification
Intermediate Executable Architectural Specifications for KBSA, Part I (ME), p. 584.
SEKESEKE-1993-MacMillanFLLS #natural language #reuse #tool support #using
A Common Architecture To Encourage Reuse Of Natural Language/Text Processing Tools (TRM, MLF, SJL, EPL, LJS), pp. 266–270.
ECOOPECOOP-1993-ChibaM #design #distributed
Designing an Extensible Distributed Language with a Meta-Level Architecture (SC, TM), pp. 482–501.
TOOLSTOOLS-EUROPE-1993-Bennett #object-oriented #scalability
OO Architectures for Large Business Applications (DB), p. 341.
TOOLSTOOLS-PACIFIC-1993-Parekh #object-oriented
Object-Oriented Languages in the i960® Extended Architecture (PP), pp. 83–95.
TOOLSTOOLS-USA-1993-Deogirikar #distributed
Distributed Object Architecture (AD), p. 7.
TOOLSTOOLS-USA-1993-Wang93a #overview #perspective
Bedrock Architecture Overview (GW), pp. 577–581.
AdaEuropeAdaEurope-1993-MancusiTRSPB
Real and Virtual Interrupt Support: The Mapping of a CARTS Feature to Two Different Architectures (RM, JLT, MR, ENS, MP, CLB), pp. 314–329.
POPLPOPL-1993-Snir #modelling #parallel #programming #scalability
Computer Architectures and Programming Models for Scalable Parallel Computing (MS), p. 1.
AdaTRI-Ada-1993-CommonsG #reuse
A Model for Analyzing Megaprogramming, Reuse and Domain Specific Software Architectures (JCC, MG), pp. 58–64.
AdaTRI-Ada-1993-MayrhauserJ #automation #knowledge-based #testing
CASE Tool Architecture for Knowledge-Based Regression Testing (AvM, TJ), pp. 368–378.
SASWSA-1993-MaffeisG #dependence
Combining Dependability with Architectural Adatability by Means of the SIGNAL Language (OM, PLG), pp. 99–110.
FSEFSE-1993-AbowdAG #using
Using Style to Understand Descriptions of Software Architecture (GDA, RA, DG), pp. 9–20.
ASEKBSE-1993-MacMillanFLLS #natural language #reuse #tool support #using
A Common Architecture to Encourage Reuse of Natural Language/Text Processing Tools (TRM, MF, SL, EPL, LJS), p. 20.
SACSAC-1993-SutherlandPR #hybrid #integration #object-oriented #relational
The Hybrid Object-Relational Architecture (HORA): An Integration of Object-Oriented and Relational Technology (JS, MP, KR), pp. 326–333.
DACDAC-1993-ChatterjeeR #composition #multi #optimisation
An Architectural Transformation Program for Optimization of Digital Systems by Multi-Level Decomposition (AC, RKR), pp. 343–348.
DACDAC-1993-GhoshNSP #multi #synthesis
Architectural Synthesis of Performance-Driven Multipliers with Accumulator Interleaving (DG, SKN, PS, KP), pp. 303–307.
DACDAC-1993-KarriO #synthesis
High-Level Synthesis of Fault-Secure Microarchitectures (RK, AO), pp. 429–433.
DACDAC-1993-SharmaJ93a #performance #synthesis
Estimating Architectural Resources and Performance for High-Level Synthesis Applications (AS, RJ), pp. 355–360.
DACDAC-1993-WangDNS #multi #scalability #synthesis #using
High-Level Synthesis of Scalable Architectures for IIR Filters using Multichip Modules (HW, NDD, AN, KYS), pp. 336–342.
HPDCHPDC-1993-BraunS #implementation #parallel
Implementation of a Parallel Transport Subsystem on a Multiprocessor Architecture (TB, CS), pp. 76–83.
HPDCHPDC-1993-DowdBAP #analysis #design #scalability
Design and Analysis of a Hierarchical Scalable Photonic Architecture (PWD, KB, KAA, JAP), pp. 289–296.
HPDCHPDC-1993-MindenEPF
An ATM WAN/LAN Gateway Architecture (GJM, JBE, DWP, VF), pp. 136–143.
PDPPDP-1993-CorradiLS #distributed #integration #parallel #programming
Programming heterogeneous distributed architectures: the integration of massive parallel architectures and UNIX distributed systems (AC, LL, CS), pp. 35–42.
PDPPDP-1993-CorradiLZ #how #locality #migration #parallel
How to apply locality to achieve load balancing: migration in massively parallel architecture (AC, LL, FZ), pp. 43–50.
PDPPDP-1993-LawsonS #distributed #parallel
An architecture for time-critical distributed/parallel processing (HWL, BS), pp. 65–70.
PDPPDP-1993-LibsSDBJH #3d #multi
Experimental multiprocessor architecture dedicated for solving 3D PDEs (JML, BS, JD, BB, GJ, MLH), pp. 71–77.
PDPPDP-1993-PaganelliWW #implementation #monitoring
Implementation of a generic monitoring architecture in a ring message router (FP, SCW, DRW), pp. 330–337.
SOSPSOSP-1993-OkiPSS #distributed
The Information Bus — An Architecture for Extensible Distributed Systems (BMO, MP, AS, DS), pp. 58–68.
SIGMODSIGMOD-1992-Orenstein #data transformation
Architectures for Object Data Management (JAO), p. 8.
SIGMODSIGMOD-1992-Rahm #evaluation #performance #transaction
Performance Evaluation of Extended Storage Architectures for Transaction Processing (ER), pp. 308–317.
VLDBVLDB-1992-DelisR #database #performance #scalability
Performance and Scalability of Client-Server Database Architectures (AD, NR), pp. 610–623.
VLDBVLDB-1992-FranklinCL #database #memory management
Global Memory Management in Client-Server Database Architectures (MJF, MJC, ML), pp. 596–609.
CSEETSEI-1992-SwongerSOSG #experience
Experience with a Course on Architectures for Software Systems (RFS, CMS, CO, MS, DG), pp. 23–43.
CHICHI-1992-OlsenMM #editing #named
Workspaces: An Architecture for Editing Collections of Objects (DRO, TGM, DCM), pp. 267–272.
CSCWCSCW-1992-BentleyRSS #multi
An Architecture for Tailoring Cooperative Multi-User Displays (RB, TR, PS, IS), pp. 187–194.
CSCWCSCW-1992-JeffayLMSS #collaboration #matrix
Architecture of the Artifact-Based Collaboration System Matrix (KJ, JKL, JM, FDS, JBS), pp. 195–202.
CAiSECAiSE-1992-HainautCDM #automation #database #design #flexibility
Database CASE Tool Architecture: Principles for Flexible Design Strategies (JLH, MC, BD, OM), pp. 187–207.
KRKR-1992-RaoG
An Abstract Architecture for Rational Agents (ASR, MPG), pp. 439–449.
KRKR-1992-SkinnerL #paradigm #reasoning
An Architecture for Integrating Reasoning Paradigms (JMS, GFL), pp. 753–761.
SEKESEKE-1992-KarssenT #information management
A Kemel Architecture for a Computer Aided Knowledge Engineering Workbench (ZK, CT), pp. 55–62.
SEKESEKE-1992-LiKL #design #reuse #using
The Reuse of Software Design and Software Architecture (HL, JvK, AML), pp. 170–177.
SEKESEKE-1992-WeissS #distributed
A Hierarchical Blackboard Architecture for Distributed AI Systems (MW, FS), pp. 349–355.
OOPSLAOOPSLA-1992-BuhrC
Architectures with Pictures (RJAB, RSC), pp. 466–483.
TOOLSTOOLS-PACIFIC-1992-Miller #analysis #finite #interactive
A Class Architecture for Interactive Finite Element Analysis (GRM), pp. 101–108.
AdaTRI-Ada-C-1992-Carmichael #design #object-oriented #using
Defining Software Architectures Using the Hierarchical Object-Oriented Design Nethod (HOOD) (ARC), pp. 211–219.
AdaTRI-Ada-C-1992-Frankel #analysis #modelling
Analysis/Architecture Models to ASG Models: Enabling the Transition (MIF), pp. 220–231.
ASEKBSE-1992-BhansaliN #design #reuse
Software Design by Reusing Architectures (SB, HPN), p. 18.
SOSPSOSP-WIP-1991-ChaseBLL92 #named
Opal: A Single Address Space System for 64-Bit Architectures (JSC, MBH, HML, EDL), p. 9.
SOSPSOSP-WIP-1991-Druschel92 #composition #kernel #orthogonal #why
Modularity and Protection are Orthogonal, or “Why µ-kernel Architectures are Flawed” (PD), p. 22.
SOSPSOSP-WIP-1991-LaRowe92 #operating system #research
Operating Systems Research Related to the Galactica Net Architecture (RPLJ), p. 13.
ASPLOSASPLOS-1992-KoldingerCE #operating system
Architectural Support for Single Address Space Operating Systems (EJK, JSC, SJE), pp. 175–186.
CCCC-1992-HoogerbruggeC #pipes and filters
Comparing Software Pipelining for an Operation-Triggered and a Tarnsport-Triggered Architecture (JH, HC), pp. 219–228.
DACDAC-1992-ChungR #named
TEMPT: Technology Mapping for the Exploration of FPGA Architectures with Hard-Wired Connections (KC, JR), pp. 361–367.
HPDCHPDC-1992-SchmidtBS #adaptation #flexibility #lightweight #multi #named #network #performance #protocol
ADAPTIVE: A Flexible and Adaptive Transport System Architecture to Support Lightweight Protocols for Multimedia Applications on High-Speed Networks (DCS, DFB, TS), pp. 174–186.
ISMMIWMM-1992-SergentB #concurrent #garbage collection #incremental #memory management #multi #thread
Incremental Multi-threaded Garbage Collection on Virtual Shared Memory Architectures (TLS, BB), pp. 179–199.
ISMMIWMM-1992-Yuasa #garbage collection #lisp #memory management #parallel
Memory Management and Garbage Collection of an Extended Common Lisp System for Massively Parallel SIMD Architecture (TY), pp. 490–506.
ICLPJICSLP-1992-Mitchell #logic #physics #programming
The Logic of Architecture: Programming the Invention of Physical Artifacts (WJM), pp. 831–846.
HTHT-1991-BernsteinBJM #hypermedia
Architectures for Volatile Hypertext (MB, JDB, MJ, EM), pp. 243–260.
HTHT-1991-LongG #collaboration
The Virtual Notebook System: An Architecture for Collaborative Work (KBL, GAG), pp. 417–418.
SIGMODSIGMOD-1991-CareyFLS #trade-off
Data Caching Tradeoffs in Client-Server DBMS Architectures (MJC, MJF, ML, EJS), pp. 357–366.
SIGMODSIGMOD-1991-WangR #concurrent #consistency
Cache Consistency and Concurrency Control in a Client/Server DBMS Architecture (YW, LAR), pp. 367–376.
FPCAFPCA-1991-Chiueh #garbage collection
An Architectural Technique for Cache-level Garbage Collection (TcC), pp. 520–537.
FPCAFPCA-1991-Traub #code generation #data flow #multi #source code #strict #thread
Multi-thread Code Generation for Dataflow Architectures from Non-Strict Programs (KRT), pp. 73–101.
KRKR-1991-RaoG #modelling
Modeling Rational Agents within a BDI-Architecture (ASR, MPG), pp. 473–484.
ICMLML-1991-Kwok #adaptation #learning #query #using
Query Learning Using an ANN with Adaptive Architecture (KLK), pp. 260–264.
ICMLML-1991-MahadevanC #learning #scalability
Scaling Reinforcement Learning to Robotics by Exploiting the Subsumption Architecture (SM, JC), pp. 328–332.
SIGIRSIGIR-1991-Kwok #adaptation #network #query
Query Modification and Expansion in a Network with Adaptive Architecture (KLK), pp. 192–201.
SIGIRSIGIR-1991-Tissen
A Case-Based Architecture for A Dialogue Manager for Information Seeking (AT), pp. 152–161.
ECOOPECOOP-1991-MatsuokaWY #concurrent #hybrid #object-oriented #programming
Hybrid Group Reflective Architecture for Object-Oriented Concurrent Reflective Programming (SM, TW, AY), pp. 231–250.
PLDIPLDI-1991-ProebstingF #linear #scheduling
Linear-Time, Optimal Code Scheduling for Delayed-Load Architectures (TAP, CNF), pp. 256–267.
PPDPPLILP-1991-BaiardiB #distributed #memory management
An Architectural Model for OR-Parallelism on Distributed Memory Systems (FB, DMB), pp. 87–98.
ESECESEC-1991-Coutaz #design #user interface
Architectural Design for User Interfaces (JC), pp. 7–22.
ASPLOSASPLOS-1991-AndersonLBL #design #interactive #operating system
The Interaction of Architecture and Operating System Design (TEA, HML, BNB, EDL), pp. 108–120.
ASPLOSASPLOS-1991-BhandarkarC #hardware #performance
Performance From Architecture: Comparing a RISC and CISC with Similar Hardware Organization (DB, DWC), pp. 310–319.
ASPLOSASPLOS-1991-BoloskySFFC #memory management #policy
NUMA Policies and Their Relation to Memory Architecture (WJB, MLS, RPF, RJF, ALC), pp. 212–221.
ASPLOSASPLOS-1991-HallO #performance
Performance Characteristics of Architectural Features of the IBM RISC System/6000 (CBH, KO), pp. 303–309.
ASPLOSASPLOS-1991-WolfeS
A Variable Instruction Stream Extension to the VLIW Architecture (AW, JPS), pp. 2–14.
DACDAC-1991-GebotysE #scheduling #synthesis
Simultaneous Scheduling and Allocation for Cost Constrained Optimal Architectural Synthesis (CHG, MIE), pp. 2–7.
DACDAC-1991-NoteGCM #named #synthesis #throughput
Cathedral-III: Architecture-Driven High-level Synthesis for High Throughput DSP Applications (SN, WG, FC, HDM), pp. 597–602.
DACDAC-1991-PrakashP #multi #synthesis
Synthesis of Application-Specific Multiprocessor Architectures (SP, ACP), pp. 8–13.
SIGMODSIGMOD-1990-KoganJ #concurrent #database #multi
Concurrency Control in Multilevel-Secure Databases Based on Replicated Architecture (BK, SJ), pp. 153–162.
VLDBVLDB-1990-DeWittFMV #case study #database #object-oriented
A Study of Three Alternative Workstation-Server Architectures for Object Oriented Database Systems (DJD, PF, DM, FV), pp. 107–121.
LISPLFP-1990-Johnson #lisp
Trap Architectures for Lisp Systems (DJ), pp. 79–86.
CSCWCSCW-1990-PattersonHRM #multi #named
Rendezvous: An Architecture for Synchronous Multi-User Applications (JFP, RDH, SLR, SWM), pp. 317–328.
ICMLML-1990-Sutton #approximate #learning #programming
Integrated Architectures for Learning, Planning, and Reacting Based on Approximating Dynamic Programming (RSS), pp. 216–224.
SIGIRSIGIR-1990-FungCAT #concept #information retrieval #probability
An Architecture for Probabilistic Concept-Based Information Retrieval (RMF, SLC, LAA, RMT), pp. 455–467.
ICSEICSE-1990-GantiGP #object-oriented
An Object-Oriented Software Application Architecture (MG, PG, SP), pp. 212–220.
DACDAC-1990-BreternitzS #synthesis
Architecture Synthesis of High-Performance Application-Specific Processors (MBJ, JPS), pp. 542–548.
DACDAC-1990-McNallC #automation #pipes and filters #synthesis
Automatic Operator Configuration in the Synthesis of Pipelined Architectures (KNM, AEC), pp. 174–179.
PPoPPPPoPP-1990-Factor #monitoring #process #realtime
The Process Trellis Architectur for Real-Time Monitors (MF), pp. 147–155.
PPoPPPPoPP-1990-KoelbelMR #data type #distributed #memory management
Supporting Shared Data Structures on Distributed Memory Architectures (CK, PM, JVR), pp. 177–186.
ICLPCLP-1990-BoscoCMPS90 #distributed #functional #logic #memory management
Logic and Functional Programmin on Distributed Memory Architectures (PGB, CC, CM, MP, GS), pp. 325–339.
ICLPCLP-1990-KorslootM90 #comparison #modelling #performance #prolog
Sequential Architecture Models for Prolog: A Performance Comparison (MK, HMM), pp. 49–67.
ICLPCLP-1990-MeyerC90 #logic programming #source code
Architected Failure Handling for AND-Parallel Logic Programs (DMM, JSC), pp. 271–290.
ICLPCLP-1990-NishidaKMG90 #evaluation #garbage collection #logic programming #parallel
Evaluation of MRB Garbage Collection on Parallel Logic Programming Architectures (KN, YK, AM, AG), pp. 83–95.
PODSPODS-1989-Spector #composition #database #distributed
Modular Architectures for Distributed and Database Systems (AZS), pp. 217–224.
SIGMODSIGMOD-1989-McCarthyD #database
The Architecture Of An Active Data Base Management System (DRM, UD), pp. 215–224.
VLDBVLDB-1989-ChimentiGK #towards
Towards on Open Architecture for LDL (DC, RG, RK), pp. 195–203.
VLDBVLDB-1989-Hulin #distributed #parallel #query #recursion
Parallel Processing of Recursive Queries in Distributed Architectures (GH), pp. 87–96.
FPCAFPCA-1989-Lester #compilation #distributed #named #recursion
Stacklessness: Compiling Recursion for a Distributed Architecture (DRL), pp. 116–128.
FPCAFPCA-1989-WeissSS #array #data-driven
Architectural Improvements for Data-Driven VLSI Processing Arrays (SW, IYS, GMS), pp. 243–259.
CHICHI-1989-IwaiDYFT #automation #documentation #layout #using
A document layout system using automatic document architecture extraction (II, MD, KY, MF, YT), pp. 369–374.
CAiSECAiSE-1989-Swende #data-driven #named #resource management
IRMA — Information Resource Management Architecture: A Data-driven Method Used in Planning the Overall System Architecture (ES).
SEKESEKE-1989-Newcomb #development #integration #knowledge base
Architecture of the Knowledge Integration Tocl: A Knowledge Based System Development Environment (PN), pp. 31–37.
ECOOPECOOP-1989-Coutaz #interactive #modelling
Architecture Models for Interactive Software (JC), pp. 383–399.
ECOOPECOOP-1989-YokoteTT #distributed #object-oriented #operating system
A Reflective Architecture for an Object-Oriented Distributed Operating System (YY, FT, MT), pp. 89–106.
ASPLOSASPLOS-1989-BurkowskiCD #communication
Architectural Support for Synchronous Task Communication (FJB, GVC, GDPD), pp. 40–53.
ASPLOSASPLOS-1989-CohnGLT #compilation #trade-off #word
Architecture and Compiler Tradeoffs for a Long Instruction Word Microprocessor (RC, TRG, MSL, PST), pp. 2–14.
ASPLOSASPLOS-1989-Holliday #migration
Reference History, Page Size, and Migration Daemons in Local/Remote Architectures (MAH), pp. 104–112.
ASPLOSASPLOS-1989-JouppiBW #float
A Unified Vector/Scalar Floating-Point Architecture (NPJ, JB, DWW), pp. 134–143.
ASPLOSASPLOS-1989-SohiV #design #trade-off
Tradeoffs in Instruction Format Design for Horizontal Architectures (GSS, SV), pp. 15–25.
ASPLOSASPLOS-1989-Staknis #memory management
Sheaved Memory: Architectural Support for State Saving and Restoration in Paged Systems (MES), pp. 96–102.
DACDAC-1989-BhatN
Special Purpose Architecture for Accelerating Bitmap DRC (NBB, SKN), pp. 674–677.
DACDAC-1989-BusetE #interface #named #synthesis #visual notation
ACE: A Hierarchical Graphical Interface for Architectual Synthesis (OAB, MIE), pp. 537–542.
DACDAC-1989-HwangWF #configuration management #evaluation #using
Evaluation of a Reconfigurable Architecture for Digital Beamforming Using the OODRA Workbench (DLH, TLW, WKF), pp. 614–617.
DACDAC-1989-Keutzer #design #generative #logic #synthesis
Three Competing Design Methodologies for ASIC’s: Architectual Synthesis, Logic Synthesis, Logic Synthesis and Module Generation (KK), pp. 308–313.
DACDAC-1989-LagneseT #clustering #design
Architectural Partitioning for System Level Design (EDL, DET), pp. 62–67.
DACDAC-1989-VanHornR #automation #design #experience #framework
Experience with D-BUS Architecture for a Design Automation Framework (ECV, RRR), pp. 209–214.
VLDBVLDB-1988-Bhide #analysis #transaction
An Analysis of Three Transaction Processing Architectures (AB), pp. 339–350.
ICALPICALP-1988-Gruska
Systolic Architectures, Systems and Computations (JG), pp. 254–270.
FMVDME-1988-BorzyszkowskiS #comprehension #documentation #standard
Understanding an informal Description: Office Documents Architecture, an ISO Standard (AMB, SS), pp. 48–63.
ECOOPECOOP-1988-Graube #lisp #reflexive
Reflexive Architecture: From ObjVLisp to CLOS (NG), pp. 110–127.
DACDAC-1988-BergstraesserGHW #named #synthesis #tool support
SMART: Tools and Methods for Synthesis of VLSI Chips with Processor Architecture (TB, JG, KH, SW), pp. 654–657.
DACDAC-1988-HeydemannPD #simulation
The Architecture of a Highly Integrated Simulation System (MH, AP, DD), pp. 617–621.
DACDAC-1988-KumarS #array #parallel
Parallel Placement on Reduced Array Architecture (CPR, SS), pp. 121–127.
DACDAC-1988-ThomasDWRNB
The System Architect’s Workbench (DET, EMD, RAW, JVR, JAN, RLB), pp. 337–343.
DACDAC-1988-ZandenG #logic #named
MILO: A Microarchitecture and Logic Optimizer (NVZ, DG), pp. 403–408.
ESOPESOP-1988-WeemeeuwBH #data flow #implementation #logic programming #on the #programming language
On Implementing Logic Programming Languages on a Dataflow Architecture (PW, MB, MDH), pp. 359–372.
STOCSTOC-1988-PapadimitriouY88b #algorithm #analysis #independence #parallel #towards
Towards an Architecture-Independent Analysis of Parallel Algorithms (CHP, MY), pp. 510–513.
ICLPJICSCP-1988-AlkalajS88 #concurrent #prolog
An Architectural Model for a Flat Concurrent Prolog Processor (LA, EYS), pp. 1277–1297.
ICLPJICSCP-1988-KurosawaYAB88 #performance #prolog
Instruction Architecture for a High Performance Integrated Prolog Processor IPP (KiK, SY, SA, TB), pp. 1506–1530.
ICLPJICSCP-1988-Shankar88 #logic programming #memory management #unification
A Hierarchical Associative Memory Architecture for Logic Programming Unification (SS), pp. 1428–1447.
ICLPJICSCP-1988-StormonBOR88 #agile #execution #memory management #prolog
An Architecture Based on Content-Addressable Memory for the Rapid Execution of Prolog (CDS, MRB, JVO, DFR), pp. 1448–1473.
HTHT-1987-Oren
The Architecture of Static Hypertexts (TO), pp. 291–306.
SIGMODSIGMOD-1987-CroftS #documentation
Supporting Offics Document Architectures with Constrained Types (WBC, DWS), pp. 504–509.
SIGMODSIGMOD-1987-HermanGLW #database #throughput
The Datacycle Architecture for Very High Throughput Database Systems (GEH, GG, KCL, AW), pp. 97–103.
SIGMODSIGMOD-1987-LindsayMP #data transformation
A Data Management Extension Architecture (BGL, JM, HP), pp. 220–226.
SIGMODSIGMOD-1987-Mohan #transaction
Directions in System Architectures for High Transaction Rates (CM), p. 6.
SIGMODSIGMOD-1987-PaulSSWD #database #implementation #kernel
Architecture and Implementation of the Darmstadt Database Kernel System (HBP, HJS, MHS, GW, UD), pp. 196–207.
VLDBVLDB-1987-BeinK #database #distributed #named
MOBY: An Architecture for Distributed Expert Database Systems (JB, RK), pp. 13–20.
FPCAFPCA-1987-Clarke #multi
The D-RISC: An architecture for use in multiprocessors (TJWC), pp. 16–33.
FPCAFPCA-1987-JonesCSH #graph #named #parallel #reduction
GRIP — A high-performance architecture for parallel graph reduction (SLPJ, CDC, JS, MH), pp. 98–112.
HCIHCI-CE-1987-Balzert #adaptation #human-computer #interface
A Blackboard Architecture to Realize Adaptive Human-Computer Interfaces and Application Systems (HB), pp. 89–96.
HCIHCI-SES-1987-Watanabe #automation #interface
Human-Interface Architecture: Its Significance for Office Automation System (HW), pp. 279–294.
SIGIRSIGIR-1987-OzkarahanSS #information retrieval #parallel
Parallel Architecture in IR (EAO, CS, GS), p. 282.
PLDIPLDI-1987-HuguetLT #generative #metric
A block-and-actions generator as an alternative to a simulator for collecting architecture measurements (MH, TL, YT), pp. 14–25.
ICSEICSE-1987-KaiserF #development
An Architecture for Intelligent Assistance in Software Development (GEK, PHF), pp. 180–188.
ASPLOSASPLOS-1987-BisianiF #parallel #programming
Architectural Support for Multilanguage Parallel Programming on Heterogeneous Systems (RB, AF), pp. 21–30.
ASPLOSASPLOS-1987-ColwellNOPR #compilation #scheduling
A VLIW Architecture for a Trace Scheduling Compiler (RPC, RPN, JJO, DBP, PKR), pp. 180–192.
ASPLOSASPLOS-1987-HayesFWZ #execution #programming language
An Architecture for the Direct Execution of the Forth Programming Language (JRH, MEF, RLW, TZ), pp. 42–49.
ASPLOSASPLOS-1987-Kieburtz #symbolic computation
A RISC Architecture for Symbolic Computation (RBK), pp. 146–155.
ASPLOSASPLOS-1987-MagenheimerPPZ #integer #multi #precise
Integer Multiplication and Division on the HP Precision Architecture (DJM, LP, KP, DZ), pp. 90–99.
ASPLOSASPLOS-1987-RashidTYGBBBC #independence #memory management #multi
Machine-Independent Virtual Memory Management for Paged Uniprocessor and Multiprocessor Architectures (RFR, AT, MY, DBG, RVB, DLB, WJB, JC), pp. 31–39.
ASPLOSASPLOS-1987-TakiNNI #evaluation #performance
Performance and Architectural Evaluation of the PSI Machine (KT, KN, HN, MI), pp. 128–135.
ASPLOSASPLOS-1987-Wirth #hardware #programming language
Hardware Architectures for Programming Languages and Programming Languages for Hardware Architectures (NW), pp. 2–8.
DACDAC-1987-AgrawalDEFJK #design #hardware
Architecture and Design of the MARS Hardware Accelerator (PA, WJD, AKE, WCF, HVJ, ASK), pp. 101–107.
DACDAC-1987-BrewerG #design #knowledge base
Knowledge Based Control in Micro-Architecture Design (FB, DG), pp. 203–209.
DACDAC-1987-Bulterman #automation #design #named
CASE: An Integrated Design Environment for Algorithm-Driven Architectures (DCAB), pp. 596–599.
DACDAC-1987-SmithSS #parallel #performance #simulation
Faster Architectural Simulation Through Parallelism (JWS, KSS, RJSI), pp. 189–194.
SOSPSOSP-1987-ChangM #programming
801 Storage: Architecture and Programming (AC, MFM), pp. 109–110.
TAPSOFTCFLP-1987-PerceboisFDSB #distributed #graph #multi #prolog #simulation
Simulation Results of a Multiprocessor Prolog Architecture Based on a Distributed and/or Graph (CP, IF, ID, CS, BB), pp. 126–139.
ICLPSLP-1987-NakashimaN87 #hardware
Hardware Architecture of the Sequential Inference Machine: PSI-II (HN, KN), pp. 104–113.
VLDBVLDB-1986-RoussopoulosK #database #design
Preliminary Design of ADMS±: A Workstation-Mainframe Integrated Architecture for Database Management Systems (NR, HK), pp. 355–364.
LISPLFP-1986-Knight #functional
An Architecture for Mostly Functional Languages (TFK), pp. 105–112.
SIGIRSIGIR-1986-Thurmair #information retrieval
A Common Architecture for Different Text Processing Techniques in an Information Retrieval Environment (GT), pp. 138–143.
OOPSLAOOPSLA-1986-BonarCS #object-oriented
An Object-Oriented Architecture for Intelligent Tutoring Systems (JB, RKC, JS), pp. 269–276.
OOPSLAOOPSLA-1986-GarrettS #editing #object-oriented #timeline
Building a Timeline Editor from Prefab Parts: The Architecture of an Object-Oriented Application (LNG, KES), pp. 202–213.
OOPSLAOOPSLA-1986-Meyrowitz #framework #hypermedia #named #object-oriented
Intermedia: The Architecture and Construction of an Object-Oriented Hypermedia System and Applications Framework (NKM), pp. 186–201.
PLDIBest-of-PLDI-1986-MuchnickG #performance #pipes and filters #scheduling
Efficient instruction scheduling for a pipelined architecture (with retrospective) (SSM, PBG), pp. 167–174.
ICLPICLP-1986-Chikayama86 #implementation #programming #prolog
Prolog Programming Environments: Architecture and Implementation (TC), pp. 552–553.
SIGMODSIGMOD-1985-AgrawalD #database #multi
Recovery Architectures for Multiprocessor Database Machines (RA, DJD), pp. 131–145.
SIGMODSIGMOD-1985-Christodoulakis #documentation #using
Issues in the Architecture of a Document Archiver using Optical Disk Technology (SC), pp. 34–50.
VLDBVLDB-1985-Buchmann #database
An Architecture and Data Model for CAD Databases (APB, CPdC), pp. 105–114.
FPCAFPCA-1985-HankinOS85 #combinator #named #reduction
COBWEB — A Combinator Reduction Architecture (CH, PO, MJS), pp. 99–112.
FPCAFPCA-1985-ODonnell85
An Architecture that Efficiently Updates Associative Aggregates in Applicative Programing Languages (JTO), pp. 164–189.
FPCAFPCA-1985-Plaisted85 #data flow #performance
An Architecture for fast Data Movement in the FFP Machine (DAP), pp. 147–163.
FPCAFPCA-1985-Sheeran85 #array #design #higher-order #using
Designing Regular Array Architectures using Higher Order Functions (MS), pp. 220–237.
FPCAFPCA-1985-Young85 #composition #functional
A Functional Language and Modular Architecture for Scientific Computing (MFY), pp. 305–318.
SIGIRSIGIR-1985-Hollaar #information retrieval #research
A Testbed for Information Retrieval Research: The Utah Retrieval System Architecture (LAH), pp. 227–232.
ICSEICSE-1985-BarthGB #data flow #realtime
The Stream Machine: A Data Flow Architecture for Real-Time Applications (PB, SBG, DRB), pp. 103–110.
DACDAC-1985-IachponiVBI #array #design
A hierarchical gate array architecture and design methodology (MI, DV, SB, AI), pp. 439–442.
DACDAC-1985-Smith #design #nondeterminism
A data architecture for an uncertain design and manufacturing environment (TRS), pp. 312–318.
DACDAC-1985-SmithFC #assessment #design #hardware
An architecture design and assessment system for software/hardware codesign (CUS, GAF, JLC), pp. 417–424.
SOSPSOSP-1985-GiffordBBL #information management #scalability
An Architecture for Large Scale Information Systems (DKG, RWB, STB, JML), pp. 161–170.
VLDBVLDB-1984-WeikumS #multi #transaction
Architectural Issues of Transaction Management in Multi-Layered Systems (GW, HJS), pp. 454–465.
SIGIRSIGIR-1984-Defude #design #knowledge base #problem
Knowledge Based Systems Versus Thesaurus: An Architecture Problem About Expert Systems Design (BD), pp. 267–280.
SIGIRSIGIR-1984-Schek #transaction
Nested Transactions in a Combined IRS-DBMS Architecture (HJS), pp. 55–70.
PLDISCC-1984-Kessler #named
Peep: an architectural description driven peephole optimizer (RRK), pp. 106–110.
DACDAC-1984-DixonSC #design
An architecture for application of artificial intelligence to design (JRD, MKS, PRC), pp. 634–640.
ICLPILPC-1984-CrammondM84 #logic #parallel
An Architecture for Parallel Logic Languages (JAC, CDFM), pp. 183–194.
ICLPILPC-1984-KaleW84 #prolog
A Class of Architectures for a Prolog Machine (LVK, DSW), pp. 171–182.
ICLPILPC-1984-Lindstrom84
Or-Parallelism on Applicative Architectures (GL), pp. 159–170.
DACDAC-1983-BoardM #evaluation #interactive #parallel #simulation
An interactive simulation facility for the evaluation of shared-resource architectures (Parallel ARchitecture SIMulator — PARSIM) (JABJ, PNM), pp. 83–92.
DACDAC-1983-LeathO #implementation
Software architecture for the implementation of a Computer-Aided Engineering system (CLL, SJO), pp. 137–142.
SIGMODSIGMOD-1982-RosenthalR #optimisation #query
An Architecture for Query Optimization (AR, DSR), pp. 246–255.
SIGIRSIGIR-1982-Biller #database #information retrieval #on the
On the Architecture of a System Integrating Data Base Management and Information Retrieval (HB), pp. 80–97.
POPLPOPL-1982-Wand #semantics
Semantics-Directed Machine Architecture (MW), pp. 234–241.
ASPLOSASPLOS-1982-AhujaA #communication #hardware #multi #scheduling
A Multi-Microprocessor Architecture with Hardware Support for Communication and Scheduling (SA, AA), pp. 205–209.
ASPLOSASPLOS-1982-Harbison #compilation #optimisation
An Architectural Alternative to Optimizing Compilers (SPH), pp. 57–65.
ASPLOSASPLOS-1982-Johnson #debugging #requirements
Some Requirements for Architectural Support of Software Debugging (MSJ), pp. 140–148.
ASPLOSASPLOS-1982-JohnssonW #overview
An Overview of the Mesa Processor Architecture (RKJ, JDW), pp. 20–29.
ASPLOSASPLOS-1982-MaekawaSI #migration #monitoring
Firmware Structure and Architectural Support for Monitors, Vertical Migration and User Microprogramming (MM, KS, CI), pp. 185–194.
ASPLOSASPLOS-1982-Middleburg #code generation
The Effect of the PDP-11 Architecture on Code Generation for Chill (CAM), pp. 149–157.
ASPLOSASPLOS-1982-RauGG #generative #performance
Architectural Support for the Efficient Generation of Code for Horizontal Architectures (BRR, CDG, EMG), pp. 96–99.
ASPLOSASPLOS-1982-SansonnetCPBP #execution #lisp
Direct Execution of Lisp on a List-Directed Architecture (JPS, MC, CP, DB, JP), pp. 132–139.
DACDAC-1982-Glass #case study #design #user interface
A user interface for architectural design, a case study (GJG), pp. 508–513.
DACDAC-1982-Seiler #design #hardware
A hardware assisted design rule check architecture (LS), pp. 232–238.
CADECADE-1982-LuskMO #kernel #logic
Logic Machine Architecture: Kernel Funtions (ELL, WM, RAO), pp. 70–84.
CADECADE-1982-LuskMO82a #logic
Logic Machine Architecture: Inference Mechanisms (ELL, WM, RAO), pp. 85–108.
VLDBVLDB-1981-DeWittH #database #evaluation #performance
A Performance Evaluation of Data Base Machine Architectures (DJD, PBH), pp. 199–214.
ICSEICSE-1981-SandewallSS #communication
Software Architecture Based on Communicating Residential Environments (ES, CS, HS), pp. 144–152.
DACDAC-1981-BlankSC #algorithm #parallel
A parallel bit map processor architecture for DA algorithms (TB, MS, WMvC), pp. 837–845.
DACDAC-1981-EllenbergerN #design #named
AIDE — a tool for computer architecture design (DJE, YWN), pp. 796–803.
SOSPSOSP-1981-BabaogluJ
Converting a Swap-Based System to do Paging in an Architecture Lacking Page-Reference Bits (ÖB, WNJ), pp. 78–86.
SOSPSOSP-1981-LazowskaLAFFV
The Architecture of the Eden System (EDL, HML, GTA, MJF, RJF, SCV), pp. 148–159.
SIGIRSIGIR-1980-Mukhopadhyay #information retrieval
A Backend Machine Architecture for Information Retrieval (AM), pp. 296–309.
DACDAC-1980-ChelottiB #automation #design #scalability
Design automation at a large architect-engineer (EFC, DPB), pp. 40–49.
DACDAC-1980-David
An integrated CAD system for architecture (BTD), pp. 218–225.
DACDAC-1980-Frew #algorithm #design #overview
A survey of space allocation algorithms in use in architectural design in the past twenty years (RSF), pp. 165–174.
CADECADE-1980-OverbeekL #data type #implementation #source code
Data Structures and Control Architectures for Implementation of Theorem-Proving Programs (RAO, ELL), pp. 232–249.
ICSEICSE-1979-Elovitz #case study #empirical #re-engineering #research
An Experiment in Software Engineering: The Architecture Research Facility as a Case Study (HSE), pp. 145–152.
VLDBVLDB-1978-Mason #analysis
A DBMS Architecture to Support Information Analysis (PJM), p. 332.
VLDBVLDB-1978-TothMRS #database #distributed
The ADD System: An Architecture for Distributed Databases (KCT, SAM, JSR, OS), pp. 462–471.
ICSEICSE-1978-Scott #functional
An Engineering Methodology for Presenting Software Functional Architecture (LRS), pp. 222–229.
DACDAC-1978-AllisonG #3d #visual notation
The three-dimensional graphical input method for architecture (HCA, DPG), pp. 133–137.
DACDAC-1978-Dvorak #empirical
An experiment in architectural instruction (RWD), pp. 164–166.
DACDAC-1978-Roder
Phoenix architecture (JR), p. 232.
VLDBVLDB-1977-HsiaoM #database #evolution
Database Machine Architecture in the Context of Information Technology Evolution (DKH, SEM), pp. 63–84.
VLDBVLDB-1977-LangNKF #database #scalability
An Architectural Extension for a Large Database System Incorporating a Processor for Disk Search (TL, EN, KK, EBF), pp. 204–210.
VLDBVLDB-1977-RamamoorthyHKW #database #distributed
Architectural Issues in Distributed Data Base Systems (CVR, GSH, TK, BWW), pp. 121–126.
VLDBVLDB-1977-Scheber #database
Architecture of the SOFIS Data Base Management System (AS), pp. 283–290.
VLDBVLDB-1977-SpathS #database #relational
A Generalized End-User Facility Architecture for Relational Database Systems (CRS, LSS), pp. 359–369.
DACDAC-1977-BergesonB #approach
An affordable approach to an architectural computer system (DEB, RB), pp. 254–264.
DACDAC-1977-Frew #design
Computer aided design in North American Schools of Architecture (RSF), pp. 275–276.
DACDAC-1977-Frew77a
Yale school of architecture (RSF), p. 283.
DACDAC-1977-Gebert #design
Computer-aided design and practice in city college school of architecture (GAG), pp. 277–278.
DACDAC-1977-Kennedy #education #experience
Symbols, graphics and architectural education: The pagan experience (MK), pp. 244–253.
DACDAC-1977-Kennedy77a #design
Computer aided design college of architecture University of Kentucky (MK), p. 281.
DACDAC-1977-Smith #education
THE SITE MACHINE Computer-aided instruction in architectural education (EFS), pp. 266–274.
DACDAC-1977-TanakaB
Department of architecture university of illinois (KET, DEB), p. 280.
DACDAC-1977-Teicholz #design
Computer-aided architectural design (ET), p. 279.
SIGMODSIGMOD-1976-Date #database
An Architecture for High-Level Language Database Extensions (CJD), pp. 101–122.
VLDBVLDB-1975-HealeyH #database #hardware #scalability
Hardware and System Architecture for a Very Large Database (RH, BH), pp. 520–522.
VLDBVLDB-1975-PeeblesM #database #distributed #scalability
A Computer Architecture for Large (Distributed) Data Bases (RP, EGM), pp. 405–427.
VLDBVLDB-1975-SchmidB #database #multi #relational
A Multi-Level Architecture for Relational Data Base Systems (HAS, PAB), pp. 202–226.
DACDAC-1975-MatsukaKU #design #process
Integrated Designer’s Activity Support System for Architecture (HM, TK, SU), pp. 345–354.
SOSPSOSP-1975-ArdenB #multi
A Multi-Microprocessor Computer System Architecture (BWA, ADB), pp. 114–121.
SOSPSOSP-1975-BelpaireN #recursion #virtual machine
Formal Properties of Recursive Virtual Machine Architectures (GB, NTH), pp. 89–96.
SOSPSOSP-1975-PopekK #case study #virtual machine
The PDP-11 Virtual Machine Architecture: A Case Study (GJP, CSK), pp. 97–105.
SOSPSOSP-1975-PruittC #operating system #realtime
Architecture of a Real-Time Operating System (JLP, WWC), pp. 51–59.
SIGMODSIGFIDET-1974-HouselLS #interactive #migration
Architecture to An Interactive Migration System (AIMS) (BCH, VYL, NCS), pp. 157–169.
DACDAC-1973-FinrowH #automation #design #development #towards
Towards a user based automated architectural design system: Theory, system operation and future development (JF, RH), pp. 97–108.
DACDAC-1973-FullenwiderR #implementation
Implementation of a space planning system in a small scale architecture office (DRF, CER), p. 120.
SOSPSOSP-1973-PopekG #generative #requirements
Formal Requirements for Virtualizable Third Generation Architectures (GJP, RPG), p. 121.
SOSPSOSP-1973-SpierHC #implementation #kernel
An Experimental Implementation on the Kernel/Domain Architecture (MJS, TNH, DNC), pp. 8–21.
SIGMODSIGFIDET-1972-BachmanB #process
Architecture Definition Technique: Its Objectives Theory, Process, Facilities and Practice (CWB, JB), pp. 257–305.
SIGMODSIGFIDET-1972-Collmeyer #database #independence
Implications of Data Independence on Architecture of Database Management Systems (AJC), pp. 307–321.
DACDAC-1972-Dudnik #nondeterminism #optimisation
Optimization of planning and architectural decisions under conditions of uncertain demand (EED), pp. 213–219.
DACDAC-1972-Grant #design #problem #proximity #using
Combining proximity criteria with nature-of-the-spot criteria in architectural and urban design space planning problems using a computer-aided space allocation technique: A proposed technique and an example of its application (DPG), pp. 197–202.
DACDAC-1972-GreenbergOS #design #named
SYNARC: A computer — aided model for architectural design (JIG, SLO, SAS), pp. 190–196.
SOSPSOSP-J-1973-SchroederS72 #hardware #implementation
A Hardware Architecture for Implementing Protection Rings (MDS, JHS), pp. 157–170.
SIGMODSIGFIDET-1971-Ferrari #database #on the
On the Architecture of Data Base Systems (DF), pp. 113–115.
DACDAC-1971-Albright #future of
The future of computer applications in the architectural profession (GHA), p. 56.
DACDAC-1971-Lerman #automation #design
The three dimensions of architectural design automation (HNL), pp. 250–258.
DACDAC-1971-Mitchell #automation #generative
The automated generation of architectural form (WJM0), pp. 193–207.
DACDAC-1971-Olsten #summary
A summary of architectural involvement with computers (CJO), pp. 50–55.
DACDAC-1971-Sides #information management
An information system in architectural practices (CDSJ), p. 285.
SOSPSOSP-1971-SchroederS #hardware #implementation
A Hardware Architecture for Implementing Protection Rings (MDS, JHS), pp. 42–54.

Bibliography of Software Language Engineering in Generated Hypertext (BibSLEIGH) is created and maintained by Dr. Vadim Zaytsev.
Hosted as a part of SLEBOK on GitHub.