BibSLEIGH
BibSLEIGH corpus
BibSLEIGH tags
BibSLEIGH bundles
BibSLEIGH people
CC-BY
Open Knowledge
XHTML 1.0 W3C Rec
CSS 2.1 W3C CanRec
email twitter
Used together with:
time (10)
optim (5)
base (5)
dynam (5)
schedul (4)

Stem slack$ (all stems)

22 papers:

DACDAC-2013-SinghDK #energy #execution #multi #optimisation #streaming
Energy optimization by exploiting execution slacks in streaming applications on multiprocessor systems (AKS, AD, AK), p. 7.
DACDAC-2013-ZhanSOTNX #design #embedded #energy #optimisation #realtime
Designing energy-efficient NoC for real-time embedded systems through slack optimization (JZ, NS, JO, LT, VN, YX), p. 6.
DATEDATE-2013-LaiCAG #monitoring #named #online
SlackProbe: a low overhead in situ on-line timing slack monitoring methodology (LL, VC, RCA, PG), pp. 282–287.
DATEDATE-2013-LuL #multi
Slack budgeting and slack to length converting for multi-bit flip-flop merging (CCL, RBL), pp. 1837–1842.
DATEDATE-2011-AnsaloniPTD #array #configuration management #scheduling
Slack-aware scheduling on Coarse Grained Reconfigurable Arrays (GA, LP, KT, ND), pp. 1513–1516.
DATEDATE-2011-ChungCCK
Formal reset recovery slack calculation at the register transfer level (CNC, CWC, KHC, SYK), pp. 571–574.
DATEDATE-2011-GhermanMECB #concurrent #fault #predict #self
Error prediction based on concurrent self-test and reduced slack time (VG, JM, SE, SC, YB), pp. 1626–1631.
DATEDATE-2010-MeyerHT #effectiveness
Cost-effective slack allocation for lifetime improvement in NoC-based MPSoCs (BHM, ASH, DET), pp. 1596–1601.
DACDAC-2008-KurimotoSAYOTS #detection #fault #optimisation #scalability
Phase-adjustable error detection flip-flops with 2-stage hold driven optimization and slack based grouping scheme for dynamic voltage scaling (MK, HS, RA, TY, HO, HT, HS), pp. 884–889.
DATEDATE-2008-PandeyD #architecture #memory management #optimisation
Slack Allocation Based Co-Synthesis and Optimization of Bus and Memory Architectures for MPSoCs (SP, RD), pp. 206–211.
SACSAC-2008-Andersson #bound #scheduling
The utilization bound of uniprocessor preemptive slack-monotonic scheduling is 50% (BA), pp. 281–283.
SACSAC-2008-MassonM #evaluation
Slack time evaluation with RTSJ (DM, SM), pp. 322–323.
DACDAC-2007-YeZL #optimisation #performance #power management #statistics #using
Statistical Leakage Power Minimization Using Fast Equi-Slack Shell Based Optimization (XY, YZ, PL), pp. 853–858.
DACDAC-2006-HuLHT #reduction
Simultaneous time slack budgeting and retiming for dual-Vdd FPGA power reduction (YH, YL, LH, TT), pp. 478–483.
DACDAC-2006-WangDC #approach #named #scheduling #tool support
ExtensiveSlackBalance: an approach to make front-end tools aware of clock skew scheduling (KW, LD, XC), pp. 951–954.
DATEDATE-2006-DuttA #incremental #locality #performance #using
Efficient timing-driven incremental routing for VLSI circuits using DFS and localized slack-satisfaction computations (SD, HA), pp. 768–773.
DATEDATE-2006-GandhiM #energy #multi #using
Exploiting data-dependent slack using dynamic multi-VDD to minimize energy consumption in datapath circuits (KRG, NRM), pp. 1001–1006.
DACDAC-2005-JejurikarG #embedded #realtime #scheduling
Dynamic slack reclamation with procrastination scheduling in real-time embedded systems (RJ, RKG), pp. 111–116.
DACDAC-2005-LinH #performance #reduction
Leakage efficient chip-level dual-Vdd assignment with time slack allocation for FPGA power reduction (YL, LH), pp. 720–725.
DACDAC-2004-Rodman #question
Forest vs. trees: where’s the slack? (PKR), p. 267.
DATEDATE-2002-KimKM #algorithm #analysis #realtime #scalability #using
A Dynamic Voltage Scaling Algorithm for Dynamic-Priority Hard Real-Time Systems Using Slack Time Analysis (WK, JK, SLM), pp. 788–794.
DACDAC-1992-Frankle #adaptation #layout
Iterative and Adaptive Slack Allocation for Performance-Driven Layout and FPGA Routing (JF), pp. 536–542.

Bibliography of Software Language Engineering in Generated Hypertext (BibSLEIGH) is created and maintained by Dr. Vadim Zaytsev.
Hosted as a part of SLEBOK on GitHub.