Proceedings of the Joint 10th Working IEEE/IFIP Conference on Software Architecture and Sixth European Conference on Software Architecture
BibSLEIGH corpus
BibSLEIGH tags
BibSLEIGH bundles
BibSLEIGH people
EDIT!
CC-BY
Open Knowledge
XHTML 1.0 W3C Rec
CSS 2.1 W3C CanRec
email twitter


Proceedings of the Joint 10th Working IEEE/IFIP Conference on Software Architecture and Sixth European Conference on Software Architecture
WICSA/ECSA, 2012.

ARCH
DBLP
Scholar
Full names Links ISxN
@proceedings{WICSA-ECSA-2012,
	address       = "Helsinki, Finland",
	isbn          = "978-1-4673-2809-8",
	publisher     = "{IEEE}",
	title         = "{Proceedings of the Joint 10th Working IEEE/IFIP Conference on Software Architecture and Sixth European Conference on Software Architecture}",
	year          = 2012,
}

Contents (48 items)

WICSA-ECSA-2012-HeiderVLG #case study #component #evolution #product line
A Case Study on the Evolution of a Component-based Product Line (WH, MV, DL, PG), pp. 1–10.
WICSA-ECSA-2012-Lopez-JaqueroMNEC #configuration management
Supporting ARINC 653-based Dynamic Reconfiguration (VLJ, FM, EN, AE, JAC), pp. 11–20.
WICSA-ECSA-2012-JahnRGLWM #component #maintenance #product line
Supporting Model Maintenance in Component-based Product Lines (MJ, RR, PG, ML, RW, HM), pp. 21–30.
WICSA-ECSA-2012-RathfelderBKR #email #monitoring #performance #predict #scalability #using
Workload-aware System Monitoring Using Performance Predictions Applied to a Large-scale E-Mail System (CR, SB, KK, RHR), pp. 31–40.
WICSA-ECSA-2012-HeymanSJ #architecture #formal method #modelling #reuse
Reusable Formal Models for Secure Software Architectures (TH, RS, WJ), pp. 41–50.
WICSA-ECSA-2012-Al-AzzaniB #architecture #evaluation #named #security #testing
SecArch: Architecture-level Evaluation and Testing for Security (SAA, RB), pp. 51–60.
WICSA-ECSA-2012-NewmanK #architecture #embedded #resource management #runtime
A Runtime Resource-aware Architecture for Service-oriented Embedded Systems (PN, GK), pp. 61–70.
WICSA-ECSA-2012-GorlickST #architecture #distributed #named #on-demand
COAST: An Architectural Style for Decentralized On-Demand Tailored Services (MMG, KS, RNT), pp. 71–80.
WICSA-ECSA-2012-WeinreichMBK #architecture
Extracting and Facilitating Architecture in Service-Oriented Software Systems (RW, CM, GB, TK), pp. 81–90.
WICSA-ECSA-2012-NordOKG #architecture #metric #technical debt
In Search of a Metric for Managing Architectural Technical Debt (RLN, IO, PK, MGR), pp. 91–100.
WICSA-ECSA-2012-HeeschAH #architecture
Forces on Architecture Decisions — A Viewpoint (UvH, PA, RH), pp. 101–110.
WICSA-ECSA-2012-LytraSZ #architecture #framework #integration #multi #platform
Architectural Decision Making for Service-Based Platform Integration: A Qualitative Multi-Method Study (IL, SS, UZ), pp. 111–120.
WICSA-ECSA-2012-GraafTLV #architecture #documentation #ontology
Ontology-based Software Architecture Documentation (KAdG, AT, PL, HvV), pp. 121–130.
WICSA-ECSA-2012-HilliardMMP #architecture #composition #framework #on the #reuse #using
On the Composition and Reuse of Viewpoints across Architecture Frameworks (RH, IM, HM, PP), pp. 131–140.
WICSA-ECSA-2012-SuTHG #architecture #case study #documentation #information management
A Study of Architectural Information Foraging in Software Architecture Documents (MTS, EDT, JGH, JCG), pp. 141–150.
WICSA-ECSA-2012-SuYZ #analysis #architecture #component #semantics
Semantic Analysis of Component-aspect Dynamism for Connector-based Architecture Styles (GS, MY, CZ), pp. 151–160.
WICSA-ECSA-2012-EdwardsBM #analysis #automation #code generation #modelling
Automated Analysis and Code Generation for Domain-Specific Models (GE, YB, NM), pp. 161–170.
WICSA-ECSA-2012-GhabiE #architecture #modelling #nondeterminism #traceability
Exploiting Traceability Uncertainty between Architectural Models and Code (AG, AE), pp. 171–180.
WICSA-ECSA-2012-KebirSCC #approach #component #identification #object-oriented
Quality-Centric Approach for Software Component Identification from Object-Oriented Code (SK, ADS, SC, AC), pp. 181–190.
WICSA-ECSA-2012-ElorantaHVK #architecture #documentation #generative #knowledge base #named #topic #using
TopDocs: Using Software Architecture Knowledge Base for Generating Topical Documents (VPE, OH, TV, KK), pp. 191–195.
WICSA-ECSA-2012-ThatSO #design pattern #using
Using Architectural Patterns to Define Architectural Decisions (MTTT, SS, FO), pp. 196–200.
WICSA-ECSA-2012-RazavianL #migration
A Viewpoint for Dealing with Change in Migration to Services (MR, PL), pp. 201–205.
WICSA-ECSA-2012-MiesbauerW #architecture #information management #maintenance #using
Capturing and Maintaining Architectural Knowledge Using Context Information (CM, RW), pp. 206–210.
WICSA-ECSA-2012-SzlenkZK #architecture #modelling #requirements
Modelling Architectural Decisions under Changing Requirements (MS, AZ, SK), pp. 211–214.
WICSA-ECSA-2012-BegoliH #big data #design #effectiveness #information management
Design Principles for Effective Knowledge Discovery from Big Data (EB, JLH), pp. 215–218.
WICSA-ECSA-2012-HerzigAS #enterprise #framework #gamification #platform
A Generic Platform for Enterprise Gamification (PH, MA, AS), pp. 219–223.
WICSA-ECSA-2012-ChristensenH #ecosystem #named #towards
Net4Care: Towards a Mission-Critical Software Ecosystem (HBC, KMH), pp. 224–228.
WICSA-ECSA-2012-KeulerWW #agile #architecture #programming
Architecture-aware Programming in Agile Environments (TK, SW, BW), pp. 229–233.
WICSA-ECSA-2012-KeulerKNR #architecture #framework #re-engineering #towards
Architecture Engagement Purposes: Towards a Framework for Planning “Just Enough”-Architecting in Software Engineering (TK, JK, MN, DR), pp. 234–238.
WICSA-ECSA-2012-WoodsB #architecture #case study #experience #industrial #information management #scalability #using
Using an Architecture Description Language to Model a Large-Scale Information System — An Industrial Experience Report (EW, RB), pp. 239–243.
WICSA-ECSA-2012-EklundB #architecture #empirical #scalability
Architecture for Large-Scale Innovation Experiment Systems (UE, JB), pp. 244–248.
WICSA-ECSA-2012-KezniklBPK #component #towards
Towards Dependable Emergent Ensembles of Components: The DEECo Component Model (JK, TB, FP, MK), pp. 249–252.
WICSA-ECSA-2012-KoziolekDGVW #architecture #lightweight #named
MORPHOSIS: A Lightweight Method Facilitating Sustainable Software Architectures (HK, DD, TG, PV, RJW), pp. 253–257.
WICSA-ECSA-2012-BaelenC #design #evolution #simulation
Simulating Structural Design Evolution of Software (WB, YC), pp. 258–261.
WICSA-ECSA-2012-GroherW #architecture #variability
Integrating Variability Management and Software Architecture (IG, RW), pp. 262–266.
WICSA-ECSA-2012-GalsterA #enterprise #variability
A Variability Viewpoint for Enterprise Software Systems (MG, PA), pp. 267–271.
WICSA-ECSA-2012-ZhangUVZHC #architecture #modelling #named
Dedal-CDL: Modeling First-class Architectural Changes in Dedal (H(Z, CU, SV, LZ, MH, BC), pp. 272–276.
WICSA-ECSA-2012-BassN #architecture #comprehension #evaluation
Understanding the Context of Architecture Evaluation Methods (LB, RLN), pp. 277–281.
WICSA-ECSA-2012-SimantaLMHS #architecture #mobile
A Reference Architecture for Mobile Code Offload in Hostile Environments (SS, GAL, EJM, KH, MS), pp. 282–286.
WICSA-ECSA-2012-AstekinS #analysis #clone detection #detection #simulation
Utilizing Clone Detection for Domain Analysis of Simulation Systems (MA, HS), pp. 287–291.
WICSA-ECSA-2012-GarciaKMD #architecture #framework
A Framework for Obtaining the Ground-Truth in Architectural Recovery (JG, IK, NM, CD), pp. 292–296.
WICSA-ECSA-2012-NakagawaOB #architecture #named
RAModel: A Reference Model for Reference Architectures (EYN, FO, MB), pp. 297–301.
WICSA-ECSA-2012-FrancoBR #architecture #automation #predict #reliability
Automated Reliability Prediction from Formal Architectural Descriptions (JMF, RB, MZR), pp. 302–309.
WICSA-ECSA-2012-CortellessaSMT #architecture #performance
Enabling Performance Antipatterns to Arise from an ADL-based Software Architecture (VC, MdS, ADM, CT), pp. 310–314.
WICSA-ECSA-2012-PoortKWV #non-functional #requirements
Issues Dealing with Non-Functional Requirements across the Contractual Divide (ERP, AK, PHNdW, HvV), pp. 315–319.
WICSA-ECSA-2012-TauschPA #analysis #domain-specific language #named #traceability
TracQL: A Domain-Specific Language for Traceability Analysis (NT, MP, JA), pp. 320–324.
WICSA-ECSA-2012-KuzZBSX #approach #architecture #effectiveness
An Architectural Approach for Cost Effective Trustworthy Systems (IK, LZ, LB, MS, XX), pp. 325–328.
WICSA-ECSA-2012-LanduytTJ #architecture #requirements
Documenting Early Architectural Assumptions in Scenario-Based Requirements (DVL, ET, WJ), pp. 329–333.

Bibliography of Software Language Engineering in Generated Hypertext (BibSLEIGH) is created and maintained by Dr. Vadim Zaytsev.
Hosted as a part of SLEBOK on GitHub.