BibSLEIGH
BibSLEIGH corpus
BibSLEIGH tags
BibSLEIGH bundles
BibSLEIGH people
EDIT!
CC-BY
Open Knowledge
XHTML 1.0 W3C Rec
CSS 2.1 W3C CanRec
email twitter
simulation
Google simulation

Tag #simulation

1523 papers:

CIAACIAA-2019-HanKMS #architecture #automaton #finite
A General Architecture of Oritatami Systems for Simulating Arbitrary Finite Automata (YSH, HK, YM, SS), pp. 146–157.
AIIDEAIIDE-2019-AzadM #named
Lyra: Simulating Believable Opinionated Virtual Characters (SA, CM), pp. 108–115.
AIIDEAIIDE-2019-DiamantiT #abstraction #adaptation #automation #refinement
Automatic Abstraction and Refinement for Simulations with Adaptive Level of Detail (MD, DT), pp. 17–23.
CoGCoG-2019-MarengoLB #artificial reality #on the
On the Influence of the Supine Posture on Simulation Sickness in Virtual Reality (JM, PL, RB), pp. 1–8.
CoGCoG-2019-VolzN #analysis #game studies #on the
On the Effects of Simulating Human Decisions in Game Analysis (VV, BN), pp. 1–8.
CoGVS-Games-2019-HeinzS #design #evaluation #heuristic #using
Addressing Spatio-Temporal Geogame Relocation Issues Using Design Evaluation Heuristics and Agent-based Simulation (TH0, CS), pp. 1–4.
CoGVS-Games-2019-KirakosianMM #3d #realtime
Immersive Simulation and Training of Person-to-3D Character Dance in Real-Time (SK, EM, KM), pp. 1–4.
CIKMCIKM-2019-RoiteroBUM #probability #towards
Towards Stochastic Simulations of Relevance Profiles (KR, AB, JU, SM), pp. 2217–2220.
OOPSLAOOPSLA-2019-Nanevski0DF #concurrent #logic #morphism #source code #specification
Specifying concurrent programs in separation logic: morphisms and simulations (AN, AB0, GAD, IF), p. 30.
ASEASE-2019-LiuFXLGGY #automation #evaluation #named #performance #tool support #workflow
FogWorkflowSim: An Automated Simulation Toolkit for Workflow Performance Evaluation in Fog Computing (XL, LF, JX, XL, LG, JCG, YY), pp. 1114–1117.
CASECASE-2019-HovgardLB #energy #optimisation #parametricity
Simulation Based Energy Optimization of Robot Stations by Motion Parameter Tuning (MH, BL, KB), pp. 456–461.
CASECASE-2019-JinLL
Optimal budget allocation in Simulation Analytics* (XJ, HL, LHL), pp. 178–182.
CASECASE-2019-TangJ #estimation #framework #platform
A Simulation Platform for Sensing System Selection for Occupant Distribution Estimation in Smart Buildings (JXT, QSJ), pp. 985–990.
CASECASE-2019-YanZHD #metamodelling
Physics-based Deep Spatio-temporal Metamodeling for Cardiac Electrical Conduction Simulation (HY, XZ, ZH, DD), pp. 152–157.
ESOPESOP-2019-BoutillierCF #semantics #static analysis
Counters in Kappa: Semantics, Simulation, and Static Analysis (PB, IC, JF), pp. 176–204.
VMCAIVMCAI-2019-LopesR #performance #scalability
Fast BGP Simulation of Large Datacenters (NPL, AR), pp. 386–408.
ICSAICSA-2018-LiuBDKRRSH #automation #execution #framework #scalability #workflow
A Generic and Highly Scalable Framework for the Automation and Execution of Scientific Data Processing and Simulation Workflows (JL, EB, CD, PK, DSR, MR, DS, VH), pp. 145–155.
ICSAICSA-2018-Yasaweerasinghelage #architecture #data analysis #modelling #performance #predict #privacy #using
Predicting the Performance of Privacy-Preserving Data Analytics Using Architecture Modelling and Simulation (RY, MS, IW, HYP), pp. 166–175.
EDMEDM-2018-HoernleGGPR #interactive #markov #modelling #student #using
Modeling the Effects of Students' Interactions with Immersive Simulations using Markov Switching Systems (NH, YG, BJG, PP, AR).
FDGFDG-2018-Backe #game studies #image
Metareferentiality through in-game images in immersive simulation game (HJB), p. 10.
CoGVS-Games-2018-BerndtWMH #artificial reality #design
Human-Centered Design of a Virtual Reality Training Simulation for Mass Casualty Incidents (HB, DW, TM, MH), pp. 1–8.
CASECASE-2018-BarretoC #multi #using
Matching the Free-Vibration Response of a Delta Robot with Pick-and-Place Tasks Using Multi-Body Simulation (JPB, BC), pp. 1487–1492.
CASECASE-2018-BasileCM #automation #distributed #generative
Automated generation of a simulation model for the decentralized control of automated warehouse systems (FB, PC, EDM), pp. 540–546.
CASECASE-2018-FarooquiFF #automation #learning #modelling #towards
Towards Automatic Learning of Discrete-Event Models from Simulations (AF, PF, MF), pp. 857–862.
CASECASE-2018-GuptaCP #artificial reality #framework #physics
A Virtual Reality enhanced Cyber Physical Framework to support Simulation based Training of Orthopedic Surgical Procedures (AG, JC, MPC), pp. 433–438.
CASECASE-2018-HarleBF #process
Process simulation on single-board computers (CH, MB, AF), pp. 1548–1555.
CASECASE-2018-InuiEMZ #geometry #gpu #process #using
Geometric Simulation of Infeed Grinding Process of Silicon Wafer Using GPU (MI, YE, TM, LZ), pp. 1519–1524.
CASECASE-2018-KhanDFF #legacy #testing #validation
Digital Twin for Legacy Systems: Simulation Model Testing and Validation (AK, MD, PF, MF), pp. 421–426.
CASECASE-2018-MatsubaraNOC #analysis #component #policy
Policy Transfer from Simulations to Real World by Transfer Component Analysis (TM, YN, YO, YC), pp. 264–269.
CASECASE-2018-SarkaleNCEM #markov #optimisation #process
Solving Markov decision processes for network-level post-hazard recovery via simulation optimization and rollout (YS, SN, EKPC, BRE, HM), pp. 906–912.
CASECASE-2018-SmirnovSW
Hierarchical Simulation of Production Systems (DS, TS, JCW), pp. 875–880.
CASECASE-2018-SunPZBZPX #coordination #energy #modelling #multi
Cyber-coordinated Simulation Models for Multi-stage Additive Manufacturing of Energy Products (HS, GP, GZ, AB, CZ, RP, WX), pp. 893–898.
CGOCGO-2018-LeopoldsederSWE #compilation #optimisation
Dominance-based duplication simulation (DBDS): code duplication to enable compiler optimizations (DL, LS, TW, JE, DS, HM), pp. 126–137.
ICSTICST-2018-TimperleyAKHG #debugging #detection #question
Crashing Simulated Planes is Cheap: Can Simulation Detect Robotics Bugs Early? (CST, AA, DSK, JMH, CLG), pp. 331–342.
ICTSSICTSS-2018-CardenasGP #testing
Testing Ambient Assisted Living Solutions with Simulations (MC, JJGS, JP), pp. 56–61.
ECSAECSA-2017-SharafAMA #architecture #cyber-physical #framework #modelling
An Architecture Framework for Modelling and Simulation of Situational-Aware Cyber-Physical Systems (MS, MA, HM, MA), pp. 95–111.
ICSAICSA-2017-Yasaweerasinghelage #architecture #latency #modelling #predict #using
Predicting Latency of Blockchain-Based Systems Using Architectural Modelling and Simulation (RY, MS, IW), pp. 253–256.
CSEETCSEET-2017-Miranda #agile #education #interactive #project management
Teaching Agile Project Management by Combining Group Interaction and Simulation (EM), pp. 232–233.
EDMEDM-2017-McBride #student #using
Student Use of Inquiry Simulations in Middle School Science (EM).
EDMEDM-2017-McBrideVL #student #using
Student Use of Scaffolded Inquiry Simulations in Middle School Science (EM, JMV, MCL).
ICFP-2017-BreitnerS #case study #experience #game studies
Lock-step simulation is child's play (experience report) (JB, CS), p. 15.
AIIDEAIIDE-2017-HartHGOXW #architecture
Dante Agent Architecture for Force-On-Force Wargame Simulation and Training (BH, DH, RG, FJO, PGX, JW), pp. 200–206.
AIIDEAIIDE-2017-WangRMML #behaviour #data-driven #interactive #personalisation
Simulating Player Behavior for Data-Driven Interactive Narrative Personalization (PW, JPR, WM, BWM, JCL), pp. 255–261.
CHI-PLAYCHI-PLAY-2017-HamalainenMTT #game studies #physics #predict
Predictive Physics Simulation in Game Mechanics (PH, XM, JT, JT), pp. 497–505.
CoGCIG-2017-AckerLB #automaton #network
Cellular automata simulation on FPGA for training neural networks with virtual world imagery (OVA, OL, GB), pp. 304–305.
CoGCIG-2017-IsaksenWFN #game studies
Simulating strategy and dexterity for puzzle games (AI, DW, AF, AN), pp. 142–149.
CoGVS-Games-2017-AxtSBKM #artificial reality
A virtual reality simulation for children: Build and create from the perspective of a toy figure (JA, MS, KB, AK, SvM), pp. 133–136.
CoGVS-Games-2017-ChowdhuryCQ #mobile
Information recall in a mobile VR disability simulation (TIC, RC, JQ), pp. 125–128.
CoGVS-Games-2017-SchneiderSS #development #education #game studies
Geogames in education for sustainable development: Transferring a simulation game in outdoor settings (JS, SS, CS), pp. 79–86.
CIKMCIKM-2017-SathanurCJP #graph #network
When Labels Fall Short: Property Graph Simulation via Blending of Network Structure and Vertex Attributes (AVS, SC, CAJ, SP), pp. 2287–2290.
CIKMCIKM-2017-WhiteO
Simulating Zero-Resource Spoken Term Discovery (JW, DWO), pp. 2371–2374.
ICMLICML-2017-TompsonSSP #network
Accelerating Eulerian Fluid Simulation With Convolutional Networks (JT, KS, PS, KP), pp. 3424–3433.
KDDKDD-2017-RistovskiGHT #integration #machine learning #optimisation
Dispatch with Confidence: Integration of Machine Learning, Optimization and Simulation for Open Pit Mines (KR, CG0, KH, HKT), pp. 1981–1989.
CASECASE-2017-KaoC #3d #development
Development of a quick 3D machining simulation system in supporting smart manufacturing (YCK, SJC), pp. 1493–1498.
CASECASE-2017-LeeJFBGBL #analysis #modelling #process #using
Modeling and analysis of postoperative intervention process for total joint replacement patients using simulations (HKL, RJ, YF, PAB, JG, CB, JL), pp. 568–573.
CASECASE-2017-OliveiraCMS #hybrid #requirements
Simulation of hybrid systems from natural-language requirements (BO, GC, MRM, AS), pp. 1320–1325.
CASECASE-2017-ProdelAXJL #database #health #probability
Stochastic simulation of clinical pathways from raw health databases (MP, VA, XX, BJ, LL), pp. 580–585.
CASECASE-2017-RashidWQCF #cyber-physical #modelling
Modeling and simulation of cyberattacks for resilient cyber-physical systems (NR, JW, GQ, AC, MAAF), pp. 988–993.
CASECASE-2017-SunLYLL #smarttech #using
Muscle control of fore-aft and vertical body center of mass accelerations of human slip recovery gait: A simulation study using wearable sensor shoes (WS, GL, JY, QL, TL0), pp. 1408–1413.
CASECASE-2017-WeiWZ
Collision avoidance in an agent system for crowd simulation (YW, JW, JZ), pp. 1380–1385.
CAVCAV-2017-BouajjaniEEM #proving #using
Proving Linearizability Using Forward Simulations (AB, ME, CE, SOM), pp. 542–563.
ICSTICST-2017-DevroeyPPLSH #automaton #empirical #equivalence #evaluation #modelling
Automata Language Equivalence vs. Simulations for Model-Based Mutant Equivalence: An Empirical Evaluation (XD, GP, MP, AL, PYS, PH), pp. 424–429.
ICSTICST-2017-PatrickDG #probability #statistics #testing #tool support
A Toolkit for Testing Stochastic Simulations against Statistical Oracles (MP, RD, CAG), pp. 448–453.
EDMEDM-2016-FauconKD #markov #student
Semi-Markov model for simulating MOOC students (LF, LK, PD), pp. 358–363.
CIAACIAA-2016-Gluck #automaton
A Practical Simulation Result for Two-Way Pushdown Automata (RG), pp. 113–124.
DLTDLT-2016-Geffert
Alternating Demon Space Is Closed Under Complement and Other Simulations for Sublogarithmic Space (VG), pp. 190–202.
SEFMSEFM-2016-Smith #model checking
Model Checking Simulation Rules for Linearizability (GS), pp. 188–203.
CoGCIG-2016-GrafP #monte carlo #revisited
Monte-Carlo simulation balancing revisited (TG, MP), pp. 1–7.
CoGCIG-2016-SchmittK #algorithm #multi #search-based
A multi-objective genetic algorithm for simulating optimal fights in StarCraft II (JS, HK), pp. 1–8.
DiGRADiGRA-FDG-2016-MelcerI #design #framework #game studies #learning #physics
Bridging the Physical Learning Divides: A Design Framework for Embodied Learning Games and Simulations (EFM, KI).
CoGVS-Games-2016-EllimanLL #artificial reality #education #student
Virtual Reality Simulation Training for Student Nurse Education (JE, ML, FL), pp. 1–2.
MoDELSMoDELS-2016-BarnesCVP #communication #industrial #protocol #towards #verification
Towards the verification of industrial communication protocols through a simulation environment based on QEMU and systemC (CB, JMC, FV, AP), pp. 207–214.
MoDELSMoDELS-2016-SomogyiSG #formal method #multi
Formalizing knowledge in multi-scale agent-based simulations (ETS, JPS, JAG), pp. 115–122.
SASSAS-2016-Alglave #consistency
Simulation and Invariance for Weak Consistency (JA), pp. 3–22.
CASECASE-2016-KaoLY #development
Development of a milling machining cutting simulation service in a Cloud-based system (YCK, YCL, HCY), pp. 1152–1157.
CASECASE-2016-SussD #behaviour #classification #component #performance
Classification of mechatronic components for efficient plant behaviour simulation (SS, CD), pp. 1146–1151.
CASECASE-2016-WiebeRRV #approach #automation #composition #delivery #fault #generative #injection #testing #using
Automated test suite generation to test modular designed packaging machines using Fault Injection and a simulink-based simulation approach (FW, SR, SR, BVH), pp. 758–765.
CASECASE-2016-ZhangSMLZF #analysis #design #parametricity #process #towards
Robotic additive manufacturing process simulation - towards design and analysis with building parameter in consideration (GQZ, AS, CM, DTL, BZ, TAF), pp. 609–613.
ESOPESOP-2016-Lopes #perspective #re-engineering
Simulating Cities: A Software Engineering Perspective (CVL), pp. 1–14.
CAVCAV-2016-Duggirala0 #linear #verification
Parsimonious, Simulation Based Verification of Linear Systems (PSD, MV0), pp. 477–494.
CAVCAV-2016-FedyukovichGS #equivalence
Property Directed Equivalence via Abstract Simulation (GF, AG, NS), pp. 433–453.
CAVCAV-2016-HerdtLGD #named #performance
ParCoSS: Efficient Parallelized Compiled Symbolic Simulation (VH, HML0, DG, RD), pp. 177–183.
ICTSSICTSS-2016-SipplBWAG #automation #testing
From Simulation Data to Test Cases for Fully Automated Driving and ADAS (CS, FB, DW, HA, RG), pp. 191–206.
CSEETCSEET-2015-BollinHS #education #project management
Teaching Software Project Management by Simulation: Training Team Leaders for Real World Projects (AB, EH, CS), pp. 7–9.
EDMEDM-2015-MallavarapuLSSZ #reasoning
Developing Computational Methods to Measure and Track Learners' Spatial Reasoning in an Open-Ended Simulation (AM, LL, TS, BS, MZ, EM), p. 23.
EDMEDM-2015-PaquetteOB #multi
Simulating Multi-Subject Momentary Time Sampling (LP, JO, RSB), pp. 586–587.
CoGCIG-2015-CarvalhoCP #social
Perception simulation in social planning for emergent storytelling (DBC, EWGC, AP), pp. 75–82.
CoGCIG-2015-KampmannSHBB #automation #behaviour #parametricity
Automatic mapping of human behavior data to personality model parameters for traffic simulations in virtual environments (SK, SS, RH, PB0, CB), pp. 336–343.
CoGCIG-2015-MizukamiT #modelling #monte carlo
Building a computer Mahjong player based on Monte Carlo simulation and opponent models (NM, YT), pp. 275–283.
FDGFDG-2015-CogginsARM #artificial reality #named #navigation
SonicWalker: Virtual Reality Simulation of Non-Visual Pedestrian City Navigation (EC, KA, MR, TM).
CHICHI-2015-BachynskyiPOSW #case study #comparative #performance #using
Performance and Ergonomics of Touch Surfaces: A Comparative Study using Biomechanical Simulation (MB, GP, AO, JS, TW), pp. 1817–1826.
CHICHI-2015-KardanC #adaptation #evaluation #interactive #learning
Providing Adaptive Support in an Interactive Simulation for Learning: An Experimental Evaluation (SK, CC), pp. 3671–3680.
CHICHI-2015-SchmidtKMUKCB #artificial reality #named
Level-Ups: Motorized Stilts that Simulate Stair Steps in Virtual Reality (DS, RK, VM, UU, SK, LPC, PB), pp. 2157–2160.
CSCWCSCW-2015-FreemanBBH #game studies #gender #online
Simulating Marriage: Gender Roles and Emerging Intimacy in an Online Game (GF, JB, SB, SCH), pp. 1191–1200.
HCIDHM-EH-2015-FuLCZ #modelling
Modeling and Simulating Lifting Task of Below-Knee Amputees (YF, SL, QC, WZ), pp. 134–143.
HCIDHM-EH-2015-MaruyamaKD #adaptation #scalability
MoCap-Based Adaptive Human-Like Walking Simulation in Laser-Scanned Large-Scale as-Built Environments (TM, SK, HD), pp. 193–204.
HCIDHM-EH-2015-PerestreloTNS #framework #modelling #platform
Modeling of a Virtual Open Platform for Human Cranium Simulation (PP, MT, PN, JS), pp. 358–366.
HCIDHM-EH-2015-RegazzoniRC
The Role of Virtual Ergonomic Simulation to Develop Innovative Human Centered Products (DR, CR, GC), pp. 74–83.
HCIDUXU-IXD-2015-FioriniFASWRL #3d #education #interactive #modelling #tool support
Three-Dimensional Models and Simulation Tools Enabling Interaction and Immersion in Medical Education (STF, LF, BAdA, JRLdS, HW, ABR, CJPdL), pp. 662–671.
HCIDUXU-IXD-2015-TeixeiraCAMLSN #game studies #named #usability
Newsgames: Gameplay and Usability in Simulation Games (CT, BJAdC, JA, VM, AL, MMS, AN), pp. 292–302.
HCIDUXU-UI-2015-GuimaraesS
Humanizing Labor Resource in a Discrete Event Manufacturing Simulation Software (LBdMG, CSS), pp. 14–22.
HCIHCI-UC-2015-OhJK #approach #human-computer #using
Simulation of an Affordance-Based Human-Machine Cooperative Control Model Using an Agent-Based Simulation Approach (YgO, IJ, NK), pp. 226–237.
HCIHIMI-IKC-2015-Bretschneider-Hagemes #development #low cost #mobile #multi #question
Development of a New Low Cost Driving Simulation for Assessing Multidimensional Task Loads Caused by Mobile ICT at Drivers’ Workplaces. — Objective-Fidelity Beats Equipment-Fidelity? (MBH), pp. 173–179.
HCIHIMI-IKD-2015-BurkhardtNGAK #impact analysis #modelling #policy #visualisation
Explorative Visualization of Impact Analysis for Policy Modeling by Bonding Open Government and Simulation Data (DB, KN, EG, AA, JK), pp. 34–45.
HCILCT-2015-KimCD #artificial reality #learning
The Learning Effect of Augmented Reality Training in a Computer-Based Simulation Environment (JHK, TC, WD), pp. 406–414.
ICEISICEIS-v1-2015-MpindaMSR #database #graph #using
Graph Database Application using Neo4j — Railroad Planner Simulation (SATM, LGM, MTPS, MXR), pp. 399–403.
ICEISICEIS-v1-2015-Roos-FrantzBFSF #concept #integration #modelling #petri net #using
Using Petri Nets to Enable the Simulation of Application Integration Solutions Conceptual Models (FRF, MB, RZF, SS, VBF), pp. 87–96.
ECIRECIR-2015-VerberneSJK #interactive #personalisation #query
User Simulations for Interactive Search: Evaluating Personalized Query Suggestion (SV, MS, KJ, WK), pp. 678–690.
MoDELSMoDELS-2015-SoltanaSSB #framework #modelling #policy #probability
A model-based framework for probabilistic simulation of legal policies (GS, NS, MS, LCB), pp. 70–79.
SPLCSPLC-2015-Munoz-Fernandez #adaptation #approach #named #requirements #self
REFAS: a PLE approach for simulation of self-adaptive systems requirements (JCMF, GT, IR, RM, CS), pp. 121–125.
ICSEICSE-v2-2015-Baia #approach #multi #project management
An Integrated Multi-Agent-Based Simulation Approach to Support Software Project Management (DdMB), pp. 911–914.
ICSEICSE-v2-2015-Honsel #evolution #learning #mining #statistics
Statistical Learning and Software Mining for Agent Based Simulation of Software Evolution (VH), pp. 863–866.
SACSAC-2015-CremonaMN #composition #named #representation
TRES: a modular representation of schedulers, tasks, and messages to control simulations in simulink (FC, MM, MDN), pp. 1940–1947.
SACSAC-2015-Gregorio-Rodriguez #consistency
Extending mCRL2 with ready simulation and iocos input-output conformance simulation (CGR, LL, RMT), pp. 1781–1788.
SACSAC-2015-RodriguesAFSB #approach #ontology
An ontological approach for simulating legal action in the Brazilian penal code (CMdOR, RRdA, FLGdF, EPdS, PVdSB), pp. 376–381.
SACSAC-2015-SousaS #domain-specific language #tool support
A domain specific language for spatial simulation scenarios (DSL3S): introduction and tool support (LdS, ARdS), pp. 1854–1856.
CASECASE-2015-AicherRV #abstraction #automation #towards #verification
Towards finding the appropriate level of abstraction to model and verify automated production systems in discrete event simulation (TA, SR, BVH), pp. 1048–1053.
CASECASE-2015-ChenXZCL #effectiveness #learning #multi #optimisation
An effective learning procedure for multi-fidelity simulation optimization with ordinal transformation (RC, JX, SZ, CHC, LHL), pp. 702–707.
CASECASE-2015-NonakaSLNKK #analysis #statistics
The S-Model: A digital manufacturing system combined with autonomous statistical analysis and autonomous discrete-event simulation for smart manufacturing (YN, YS, AL, SN, KK, YK), pp. 1006–1011.
CASECASE-2015-PanciroliTBBF #realtime
Overcoming real time bond in high level simulation environments (RP, CT, GB, RB, CF), pp. 1644–1648.
CASECASE-2015-SaezMBT #analysis #hybrid #performance #realtime
Real-time hybrid simulation of manufacturing systems for performance analysis and control (MS, FPM, KB, DMT), pp. 526–531.
CASECASE-2015-SathishRB #approach #detection #industrial
A simulation based approach to detect wear in industrial robots (VS, SR, SB), pp. 1570–1575.
CASECASE-2015-WangZ #modelling #predict
A prediction method for interior temperature of grain storage via dynamics models: A simulation study (DW, XZ), pp. 1477–1483.
CASECASE-2015-YeCC #energy #modelling #overview
Metal-based addictive manufacturing: A literature review on modeling, simulation and energy consumption (QY, SC, QC), pp. 218–224.
DACDAC-2015-HelalBH #parallel #using
Parallel circuit simulation using the direct method on a heterogeneous cloud (AEH, AMB, YYH), p. 6.
DACDAC-2015-HerdtLD #using #verification
Verifying SystemC using stateful symbolic simulation (VH, HML, RD), p. 6.
DACDAC-2015-JungC #embedded #multi #named #performance #platform
ΣVP: host-GPU multiplexing for efficient simulation of multiple embedded GPUs on virtual platforms (YJ, LPC), p. 6.
DACDAC-2015-RoloffSHT #architecture #parallel
Execution-driven parallel simulation of PGAS applications on heterogeneous tiled architectures (SR, DS, FH, JT), p. 6.
DACDAC-2015-WasicekLKGIA
System simulation from operational data (AW, EAL, HK, LG, AI, IA), p. 6.
DACDAC-2015-ZhuangYKWC #algorithm #exponential #framework #performance #scalability #using
An algorithmic framework for efficient large-scale circuit simulation using exponential integrators (HZ, WY, IK, XW, CKC), p. 6.
DATEDATE-2015-BringmannEGGMSS #generative #prototype
The next generation of virtual prototyping: ultra-fast yet accurate simulation of HW/SW systems (OB, WE, AG, AG, DMG, PS, SS), pp. 1698–1707.
DATEDATE-2015-ChenZWWWZ #multi #named #pseudo
MRP: mix real cores and pseudo cores for FPGA-based chip-multiprocessor simulation (XC, GZ, HW, RW, PW, LZ), pp. 211–216.
DATEDATE-2015-DghaisR #empirical #modelling
Empirical modelling of FDSOI CMOS inverter for signal/power integrity simulation (WD, JR), pp. 1555–1558.
DATEDATE-2015-FaravelonFP #branch #performance #predict
Fast and accurate branch predictor simulation (AF, NF, FP), pp. 317–320.
DATEDATE-2015-GerumBR #gpu #performance
Source level performance simulation of GPU cores (CG, OB, WR), pp. 217–222.
DATEDATE-2015-GorevUD #fault #parallel
Fault simulation with parallel exact critical path tracing in multiple core environment (MG, RU, SD), pp. 1180–1185.
DATEDATE-2015-KadryKMNSPPJS #case study #comparative #generative #testing
Comparative study of test generation methods for simulation accelerators (WK, DK, AM, AN, VS, JSP, SBP, WJ, JCS), pp. 321–324.
DATEDATE-2015-LeeJG #functional #hardware #performance
Dynamic power and performance back-annotation for fast and accurate functional hardware simulation (DL, LKJ, AG), pp. 1126–1131.
DATEDATE-2015-NguyenASS #gpu #platform
Accelerating complex brain-model simulations on GPU platforms (HADN, ZAA, GS, CS), pp. 974–979.
DATEDATE-2015-SalfelderH #adaptation #evaluation #using
Ageing simulation of analogue circuits and systems using adaptive transient evaluation (FS, LH), pp. 1261–1264.
DATEDATE-2015-SchneiderHKWW #fault
GPU-accelerated small delay fault simulation (ES, SH, MAK, XW, HJW), pp. 1174–1179.
DATEDATE-2015-ShonikerCHP #design #process #verification
Minimizing the number of process corner simulations during design verification (MS, BFC, JH, WP), pp. 289–292.
DATEDATE-2015-TeysseyreNOCCG #performance #set #using
Fast optical simulation from a reduced set of impulse responses using SystemC-AMS (FT, DN, IO, FC, FC, OG), pp. 405–409.
LCTESLCTES-2015-LinM #distributed #framework #named #programming #towards #verification
StarL: Towards a Unified Framework for Programming, Simulating and Verifying Distributed Robotic Systems (YL, SM), p. 10.
PDPPDP-2015-FilipponeSDSMT #concurrent #thread
CUDA Dynamic Active Thread List Strategy to Accelerate Debris Flow Simulations (GF, WS, DD, DS, DM, GAT), pp. 316–320.
PDPPDP-2015-GianariaG #image #realtime #using
Real-Time Simulation of Radiological Images Using CUDA Technology (EG, EG), pp. 669–673.
PDPPDP-2015-HernandezCBTA #case study #experience #using
Experiences of Using Cassandra for Molecular Dynamics Simulations (RH, CC, YB, JT, EA), pp. 288–295.
PDPPDP-2015-Inostrosa-Psijas #approximate #distributed #parallel
Load Balance Strategies for DEVS Approximated Parallel and Distributed Discrete-Event Simulations (AIP, VGC, RS, MM), pp. 337–340.
PDPPDP-2015-RobertsenWM #data transfer #memory management #multi #strict
Lattice Boltzmann Simulations at Petascale on Multi-GPU Systems with Asynchronous Data Transfer and Strictly Enforced Memory Read Alignment (FR, JW, KM), pp. 604–609.
ICLPICLP-2015-RoseckyPB #energy #grid #prolog
Grid Mind: Prolog-Based Simulation Environment for Future Energy Grids (JR, FP, BB), pp. 18–31.
VLDBVLDB-2014-FanWWD #distributed #graph
Distributed Graph Simulation: Impossibility and Possibility (WF, XW, YW, DD), pp. 1083–1094.
ICSMEICSME-2014-ZhangHD #automation #parallel #scalability #tool support #validation
Tools for Enabling Automatic Validation of Large-Scale Parallel Application Simulations (DZ, GH, DD), pp. 601–604.
AFLAFL-2014-HutagalungLL #automaton #game studies
Buffered Simulation Games for Büchi Automata (MH, ML, ÉL), pp. 286–300.
ICALPICALP-v1-2014-BringmannKPPT #performance #physics
Internal DLA: Efficient Simulation of a Physical Growth Model — (KB, FK, KP, UP, HT), pp. 247–258.
ICALPICALP-v1-2014-DemaineDFPSWW #assembly
One Tile to Rule Them All: Simulating Any Tile Assembly System with a Single Universal Tile (EDD, MLD, SPF, MJP, RTS, AW, DW), pp. 368–379.
LATALATA-2014-LiPT #probability #process
Probabilistic Simulation for Probabilistic Data-Aware Business Processes (HL, FP, FT), pp. 503–515.
IFMIFM-2014-LaibinisBPTTP #in the cloud #modelling
Integrating Event-B Modelling and Discrete-Event Simulation to Analyse Resilience of Data Stores in the Cloud (LL, BB, IP, ET, KET, IP), pp. 103–119.
AIIDEAIIDE-2014-CernyBBA #constraints #exclamation #using
Spice It Up! Enriching Open World NPC Simulation Using Constraint Satisfaction (MC0, CB, RB, MA).
CHI-PLAYCHI-PLAY-2014-ChenBRGM #concept #education #float #interactive
ASPECT sinking and floating: an interactive playable simulation for teaching buoyancy concepts (STC, DB, MR, RG, JM), pp. 327–330.
CoGCIG-2014-LabrancheSCB #automation #game studies #using
Using partial satisfaction planning to automatically select NPCs' goals and generate plans in a simulation game (SL, NS, SC, EB), pp. 1–8.
CoGCIG-2014-Tsang #multi
Applying fingerprint multilateration to population dynamics in Prisoner's Dilemma simulations (JT), pp. 1–8.
FDGFDG-2014-GeishauserCN #prototype #social #using
A prototype using territories and an affordance tree for social simulation gameplay (TG, YGC, MJN).
FDGFDG-2014-GutierrezT #named
SimBully: A 'bullying in schools' simulation (EOCG, ODT).
FDGFDG-2014-TreanorM #comprehension #representation
Understanding representation in playable simulations (MT, MM).
CoGVS-Games-2014-GuoSB #communication #development #game studies
A Serious Game Engine for Interview Simulation: Application to the Development of Doctor-Patient Communication Skills (JG0, NS, RB), pp. 1–6.
CoGVS-Games-2014-LahtiRQVLS #industrial #named
IndustrySim: Finding the Fun in Industrial Simulations (IL, TR, PQ, VV, ML, JS), pp. 1–4.
CHICHI-2014-BachynskyiOPW #human-computer
Is motion capture-based biomechanical simulation valid for HCI studies?: study and implications (MB, AO, GP, TW), pp. 3215–3224.
CHICHI-2014-LuiKAQS
Supporting learners in collecting and exploring data from immersive simulations in collective inquiry (ML, ACK, AA, CQ, JDS), pp. 2103–2112.
HCIDHM-2014-LiZYD
Simulation on Thermal Control System of the Extravehicular Spacesuit (TL, JZ, XY, LD), pp. 112–123.
HCIDUXU-DI-2014-Wanner #design #empirical #game studies
Serious Economic Games: Designing a Simulation Game for an Economic Experiment (DW), pp. 782–793.
HCIDUXU-ELAS-2014-Abdullah #monitoring #network
Simulation of Wireless Sensor Network for Flood Monitoring System (MA), pp. 255–264.
HCIHCI-AIMT-2014-MullerT #framework #workflow
An Emotional Framework for a Real-Life Worker Simulation — Emotional Valence Scoring Inside a Workflow Enhancement Simulator (NHM, MT), pp. 675–686.
HCIHCI-AS-2014-Nakanishi #game studies #prototype #visualisation
Prototyping for Digital Sports Integrating Game, Simulation and Visualization (YN), pp. 634–642.
HCILCT-NLE-2014-BrownL #education #student
Promoting Students’ Writing Skills in Science through an Educational Simulation: The GlobalEd 2 Project (SWB, KAL), pp. 371–379.
HCILCT-NLE-2014-Kim #feedback #learning #self
Simulation Training in Self-Regulated Learning: Investigating the Effects of Dual Feedback on Dynamic Decision-Making Tasks (JHK), pp. 419–428.
HCILCT-TRE-2014-Hayes14a #approach #development #game studies #learning
An Approach to Holistic Development of Serious Games and Learning Simulations (ATH), pp. 42–49.
HCILCT-TRE-2014-ShahoumianSZPH #education #learning
Blended Simulation Based Medical Education: A Complex Learning/Training Opportunity (AS, MS, MZ, GP, JH), pp. 478–485.
ICEISICEIS-v2-2014-PodlouckyP #formal method #towards #validation
Towards Formal Foundations for BORM ORD Validation and Simulation (MP, RP), pp. 315–322.
ICPRICPR-2014-MorenoS
Volume-Based Fabric Tensors through Lattice-Boltzmann Simulations (RM, ÖS), pp. 3179–3184.
KEODKEOD-2014-BrucatoD #modelling #ontology
The Minimal Ontology Principle — Philosophical Foundations of OPM-based Modelling and Simulation (JB, DD), pp. 405–409.
KEODKEOD-2014-FatyaniIP #ontology #petri net
Transformation of DEMO Model into Coloured Petri Net — Ontology based Simulation (TF, JI, JP), pp. 388–396.
SEKESEKE-2014-PaikariF #analysis #design #implementation
Analysis, Design and Implementation of an Agent Based System for Simulating Connected Vehicles (EP, BHF), pp. 338–343.
PLDIPLDI-2014-AlglaveMT #memory management #modelling #testing
Herding cats: modelling, simulation, testing, and data-mining for weak memory (JA, LM, MT), p. 7.
SACSAC-2014-AvilaMRPY #distributed #quantum
GPU-aware distributed quantum simulation (AA, AM, RR, MLP, ACY), pp. 860–865.
SACSAC-2014-Gutierrez-GarciaG #multi #safety
Multi-agent simulation of perception of safety from crime (JOGG, AGdSG), pp. 573–578.
SACSAC-2014-JangJL #component #embedded #generative #prototype
Virtual prototype generation by shockwave flash for simulating HW components of embedded system (SYJ, AKJ, WJL), pp. 1755–1756.
SACSAC-2014-ParkY #multi #network #smarttech
Encountering smartphones in network simulation: a preliminary result on multi-radio multicast (YP, WY), pp. 1727–1728.
SACSAC-2014-PatanaikZK #estimation #parametricity
Parameter estimation and simulation for one-choice Ratcliff diffusion model (AP, VZ, CKK), pp. 3–7.
CASECASE-2014-HabibRSP #multimodal #named
SkinSim: A simulation environment for multimodal robot skin (AH, IR, KS, DOP), pp. 1226–1231.
CASECASE-2014-MaDLZ #learning #modelling
Modeling and simulation of product diffusion considering learning effect (KPM, XD, CFL, JZ), pp. 665–670.
CASECASE-2014-QiuSL #multi #optimisation
A simulation based GA for multi-objective optimization in patient flow distribution (YQ, JS, ZL), pp. 1–6.
CASECASE-2014-XuZHCLC #framework #multi #optimisation
An ordinal transformation framework for multi-fidelity simulation optimization (JX, SZ, EH, CHC, LHL, NC), pp. 385–390.
CASECASE-2014-ZhangLYL #optimisation #parametricity
Simulation and parameter optimization of the key working procedure of engine box (CZ, JL, RY, GL), pp. 315–319.
CASECASE-2014-ZhongWLKS
Primary care redesign: A simulation study at a pediatric clinic (XZ, MW, JL, SAK, JSS), pp. 546–551.
CGOCGO-2014-BrankovicSGG
Warm-Up Simulation Methodology for HW/SW Co-Designed Processors (AB, KS, EG, AG), p. 284.
DACDAC-2014-ApostolopoulouDES #matrix #scalability
Selective Inversion of Inductance Matrix for Large-Scale Sparse RLC Simulation (IA, KD, NEE, GIS), p. 6.
DACDAC-2014-ChenPCWMYZC #manycore #named #parallel #thread
DAPs: Dynamic Adjustment and Partial Sampling for Multithreaded/Multicore Simulation (CCC, YCP, CFC, WSW, QM, PCY, WZ, TFC), p. 6.
DACDAC-2014-KoKYKH #cpu #gpu #platform
Hardware-in-the-loop Simulation for CPU/GPU Heterogeneous Platforms (YK, TK, YY, MK, SH), p. 6.
DACDAC-2014-SutariaRZRMC #modelling #random #validation
BTI-Induced Aging under Random Stress Waveforms: Modeling, Simulation and Silicon Validation (KS, AR, RZ, RR, YM, YC), p. 6.
DACDAC-2014-WangX #on the #performance
On the Simulation of NBTI-Induced Performance Degradation Considering Arbitrary Temperature and Voltage Variations (TW, QX), p. 6.
DACDAC-2014-WuXKCH #named #statistics #towards
REscope: High-dimensional Statistical Circuit Simulation towards Full Failure Region Coverage (WW, WX, RK, YLC, LH), p. 6.
DACDAC-2014-ZhuangWLC #distributed #framework #named #network
MATEX: A Distributed Framework for Transient Simulation of Power Distribution Networks (HZ, SHW, JHL, CKC), p. 6.
DATEDATE-2014-AhmadC #performance #predict
Fast STA prediction-based gate-level timing simulation (TBA, MJC), pp. 1–6.
DATEDATE-2014-ChenCT #performance
An activity-sensitive contention delay model for highly efficient deterministic full-system simulations (SYC, CHC, RST), pp. 1–6.
DATEDATE-2014-FourmigueBN #3d #performance
Efficient transient thermal simulation of 3D ICs with liquid-cooling and through silicon vias (AF, GB, GN), pp. 1–6.
DATEDATE-2014-FummiLSTVV #design #effectiveness
Moving from co-simulation to simulation for effective smart systems design (FF, ML, FS, DT, JV, SV), pp. 1–4.
DATEDATE-2014-LagraaTP #data mining #mining #scalability #using
Scalability bottlenecks discovery in MPSoC platforms using data mining on simulation traces (SL, AT, FP), pp. 1–6.
DATEDATE-2014-MarianiPZS #design #named #predict #scheduling #using
DeSpErate: Speeding-up design space exploration by using predictive simulation scheduling (GM, GP, VZ, CS), pp. 1–4.
DATEDATE-2014-QiZ #modelling #performance
Efficient simulation and modelling of non-rectangular NoC topologies (JQ, MZ), pp. 1–4.
DATEDATE-2014-RanaC #analysis #named #reduction #scalability
SSFB: A highly-efficient and scalable simulation reduction technique for SRAM yield analysis (MR, RC), pp. 1–6.
DATEDATE-2014-WeinstockSLAT #parallel
Time-decoupled parallel SystemC simulation (JHW, CS, RL, GA, LT), pp. 1–4.
DATEDATE-2014-YangHKKCPK #parallel #predict
Predictive parallel event-driven HDL simulation with a new powerful prediction strategy (SY, JH, DK, NK, DC, JP, JK), pp. 1–3.
DATEDATE-2014-ZhangDGLZXS #framework #named
MSim: A general cycle accurate simulation platform for memcomputing studies (CZ, PD, HG, JL, QZ, JX, YS), pp. 1–5.
HPDCHPDC-2014-BakerXDLNMEVW
A methodology for evaluating the impact of data compression on climate simulation data (AHB, HX, JMD, MNL, DN, SAM, JE, MV, AW), pp. 203–214.
PDPPDP-2014-BelliniBCMN #analysis #gpu
Simulation and Analysis of the Blood Coagulation Cascade Accelerated on GPU (MB, DB, PC, GM, MSN), pp. 590–593.
PDPPDP-2014-GiitsidisS
Simulation of Aircraft Disembarking and Emergency Evacuation (TG, GCS), pp. 372–379.
PDPPDP-2014-LeinweberBBMLF
GPU-Based Simulation of Yeast Cell Flocculation (ML, PB, SB, HUM, PL, BF), pp. 601–608.
PDPPDP-2014-ManciniMMMT #distributed #hardware #manycore #verification
System Level Formal Verification via Distributed Multi-core Hardware in the Loop Simulation (TM, FM, AM, IM, ET), pp. 734–742.
PDPPDP-2014-NaughtonEVB #development #message passing #using
Supporting the Development of Resilient Message Passing Applications Using Simulation (TN, CE, GV, SB), pp. 271–278.
PDPPDP-2014-TesserPDNMM #performance
Improving the Performance of Seismic Wave Simulations with Dynamic Load Balancing (RKT, LLP, FD, POAN, JFM, CLM), pp. 196–203.
PDPPDP-2014-UddinPJ #architecture #manycore #thread
Analytical-Based High-Level Simulation of the Microthreaded Many-Core Architectures (MIU, RP, CRJ), pp. 344–351.
PPoPPPPoPP-2014-TimnatP #data type
A practical wait-free simulation for lock-free data structures (ST, EP), pp. 357–368.
STOCSTOC-2014-BerryCCKS #exponential #precise
Exponential improvement in precision for simulating sparse Hamiltonians (DWB, AMC, RC, RK, RDS), pp. 283–292.
CAVCAV-2014-BinghamL #bound #fault #using #verification
Verifying Relative Error Bounds Using Symbolic Simulation (JB, JLH), pp. 277–292.
ICLPICLP-J-2014-BogaertsJBCVD #calculus #linear #using
Simulating Dynamic Systems Using Linear Time Calculus Theories (BB, JJ, MB, BdC, JV, MD), pp. 477–492.
SIGMODSIGMOD-2013-CaiVPAHJ #markov #using
Simulation of database-valued markov chains using SimSQL (ZC, ZV, LLP, SA, PJH, CMJ), pp. 637–648.
CSEETCSEET-2013-BollinHS #assurance #development #education #process
Teaching software development processes by simulation: Wuality assurance as a factor of success (AB, EH, LS), pp. 362–364.
ITiCSEITiCSE-2013-Rodriguez-CerezoGS #compilation #comprehension #concept #education #interactive
Interactive educational simulations for promoting the comprehension of basic compiler construction concepts (DRC, MGA, JLSR), pp. 28–33.
LATALATA-2013-HutagalungLL #automaton #game studies
Revealing vs. Concealing: More Simulation Games for Büchi Inclusion (MH, ML, ÉL), pp. 347–358.
IFMIFM-2013-DemasiCMA #fault tolerance
Characterizing Fault-Tolerant Systems by Means of Simulation Relations (RD, PFC, TSEM, NA), pp. 428–442.
CoGCIG-2013-ChurchillB #scalability
Portfolio greedy search and simulation for large-scale combat in starcraft (DC, MB), pp. 1–8.
CoGCIG-2013-PowleyWC #monte carlo #policy
Bandits all the way down: UCB1 as a simulation policy in Monte Carlo Tree Search (EJP, DW, PIC), pp. 1–8.
DiGRADiGRA-2013-StollMCW #game studies #migration
Gaming the Gap: A Small World Simulation of Human Migration Response to Stressors (JS, IM, MC, DW).
CoGVS-Games-2013-DettmarSHBB #3d #game studies #performance #persistent
Efficient Mesoscopic Simulations for Persistent Agents in 3D-Applications and Games (TD, SS, RH, PB0, CB), pp. 1–8.
CHICHI-2013-ZadowBHBSD #education #interactive #named
SimMed: combining simulation and interactive tabletops for medical education (UvZ, SB, TH, FB, KS, RD), pp. 1469–1478.
HCIDHM-HB-2013-MaruyamaKD #3d
Simulating a Walk of Digital Human Model Directly in Massive 3D Laser-Scanned Point Cloud of Indoor Environments (TM, SK, HD), pp. 366–375.
HCIDHM-HB-2013-YangZF
Simulation of Pushing the Push-Pull Rod Action Based on Human Body Dynamics (ZY, YZ, SF), pp. 393–401.
HCIDHM-SET-2013-LiuZX #behaviour
Effects of Sleep Deprivation on Pilot’s Cognitive Behavior in Flight Simulation (ZQL, QXZ, FX), pp. 45–54.
HCIDHM-SET-2013-MamessierF #automation #interactive #modelling
Simulating the Impact of Mental Models on Human Automation Interaction in Aviation (SM, KMF), pp. 61–69.
HCIDHM-SET-2013-MoriK #3d #evaluation
Ergonomics Study of Direct and Indirect Visibility Evaluation at Uncontrolled Intersections Based on Three-Dimensional Computer Simulation (MM, NK), pp. 70–77.
HCIDHM-SET-2013-PuchWFP #evaluation #interactive #using
Evaluation of Drivers Interaction with Assistant Systems Using Criticality Driven Guided Simulation (SP, BW, MF, TP), pp. 108–117.
HCIDUXU-PMT-2013-FilippiBFBC #interactive
Human in the Loop: A Model to Integrate Interaction Issues in Complex Simulations (SF, DB, FF, MB, UC), pp. 242–251.
HCIDUXU-WM-2013-Aurelio #architecture #design #visualisation
Visualizing Information Associated with Architectural Design Variations and Simulations (DA), pp. 469–477.
HCIHCI-III-2013-Joo #behaviour #reasoning
Perception and BDI Reasoning Based Agent Model for Human Behavior Simulation in Complex System (JJ), pp. 62–71.
HCIHCI-IMT-2013-ClamannMK #artificial reality #comparison #visual notation
Comparison of Enhanced Visual and Haptic Features in a Virtual Reality-Based Haptic Simulation (MPC, WM, DBK), pp. 551–560.
HCIHIMI-D-2013-Remy #in the cloud #standard
Quantifying the Impact of Standards When Hosting Robotic Simulations in the Cloud (SLR), pp. 365–374.
HCIHIMI-HSM-2013-Campbell #safety #using
Safety Culture: An Examination of the Relationship between a Safety Management System and Pilot Judgment Using Simulation in Aeronautics (SAC), pp. 453–459.
HCIHIMI-HSM-2013-DamrongratKI #multi #ontology #representation #using
Increasing Situational Awareness of Indoor Emergency Simulation Using Multilayered Ontology-Based Floor Plan Representation (CD, HK, MI), pp. 39–45.
HCIHIMI-HSM-2013-HeinCCMLG #coordination
Developing a High-Fidelity Simulation and Training to Improve Coordination between Aerospace Specializations (MH, PC, PC, RM, GL, AG), pp. 66–75.
HCIHIMI-HSM-2013-IsonTV #design
Designing Simulation to Meet UAS Training Needs (DCI, BAT, DAV), pp. 585–595.
HCIHIMI-HSM-2013-LeeJ #analysis #using
Task Analysis of Soft Control Operations Using Simulation Data in Nuclear Power Plants (SJL, WJ), pp. 524–529.
HCIHIMI-HSM-2013-SasakiHAI #using
Train Ride Simulation Using Assist Strap Device (TS, KH, TA, YI), pp. 189–197.
HCIHIMI-HSM-2013-Wesugi #approach #design #experience #novel #using
Design Approach of Simulation Exercise with Use of Device and Its Significance — Design of Novel Device for Realistic Experience of Being a Hemiplegia Patient (SW), pp. 315–324.
ICEISICEIS-v1-2013-IsmailHQDE #clustering #evaluation #query #using
Clustering using Hypergraph for P2P Query Routing — Simulation and Evaluation (AI, MH, MQ, ND, MES), pp. 247–254.
ICEISICEIS-v1-2013-LiuV #modelling
An Agent-based Modeling for Price-responsive Demand Simulation (HL, JV), pp. 436–443.
ICEISICEIS-v1-2013-ZouhaierKSS #multi
Multi-Agent System Model for Container Management Simulation (HZ, FK, FS, LBS), pp. 498–505.
SEKESEKE-2013-FortalezaJCVP #development #distributed
Improving Software Engineers’ Skills through the Simulation of Distributed Software Development in Academic Environments (LLF, OOMJ, TC, SRCV, RP), pp. 190–195.
ICSEICSE-2013-Lin #comprehension #evolution
Understanding and simulating software evolution (ZL), pp. 1411–1414.
CASECASE-2013-DingLZC #physics
Emergency evacuation simulation in staircases considering evacuees’ physical and psychological status (ND, PBL, HZ, TC), pp. 741–746.
CASECASE-2013-FantiIRU #analysis #scheduling
A simulation based analysis of production scheduling in a steelmaking and continuous casting plant (MPF, GI, GR, WU), pp. 150–155.
CASECASE-2013-FerreiraR #comparison #design #layout #performance #using
Performance comparison of the virtual cell layout with cellular and job shop configurations using simulation and design of experiments (JCEF, PAR), pp. 795–800.
CASECASE-2013-Geldmann #image #monte carlo #using
Fine registration of SEM and AFM images using Monte Carlo simulations (CG), pp. 813–818.
CASECASE-2013-KramerKBSPG #evaluation #knowledge-based #performance
Performance evaluation of knowledge-based kitting via simulation (TRK, ZK, SB, CS, AP, SG), pp. 356–361.
CASECASE-2013-LiSZL #assessment #automation #difference #quality
Sensor data quality assessment for building simulation model calibration based on automatic differentiation (SL, ZS, MZ, YL), pp. 752–757.
CASECASE-2013-RoyerBTT #assessment #behaviour #energy #modelling #multi #using
Modelling of a multi-zone building and assessment of its thermal behaviour using an energy simulation software (SR, MB, ST, TT), pp. 735–740.
CASECASE-2013-ZhouSHJLS #lens
Numerical simulation in compression molding of glass lens (JZ, TS, YH, YJ, ML, LS), pp. 669–674.
DACDAC-2013-ChenWBA #random #reuse #verification
Simulation knowledge extraction and reuse in constrained random processor verification (WC, LCW, JB, MSA), p. 6.
DACDAC-2013-Fang
A new time-stepping method for circuit simulation (GPF), p. 10.
DACDAC-2013-GrossmanTBS #design #framework
The role of cascade, a cycle-based simulation infrastructure, in designing the anton special-purpose supercomputers (JPG, BT, JAB, DES), p. 9.
DACDAC-2013-HanZF #gpu #named #parallel
TinySPICE: a parallel SPICE simulator on GPU for massively repeated small circuit simulations (LH, XZ, ZF), p. 8.
DACDAC-2013-JangPK
An event-driven simulation methodology for integrated switching power supplies in SystemVerilog (JEJ, MJP, JK), p. 7.
DACDAC-2013-LeGHD #using #verification
Verifying SystemC using an intermediate verification language and symbolic simulation (HML, DG, VH, RD), p. 6.
DACDAC-2013-YeWHL #parallel #segmentation
Time-domain segmentation based massively parallel simulation for ADCs (ZY, BW, SH, YL), p. 6.
DATEDATE-2013-BeerGCCZ #challenge #metric
Metastability challenges for 65nm and beyond: simulation and measurements (SB, RG, JC, TC, DMZ), pp. 1297–1302.
DATEDATE-2013-ChenD #parallel #predict #using
Optimized out-of-order parallel discrete event simulation using predictions (WC, RD), pp. 3–8.
DATEDATE-2013-DiazSSR #analysis #network #performance #security
Wireless sensor network simulation for security and performance analysis (AD, PS, JS, JR), pp. 432–435.
DATEDATE-2013-FourmigueBN #3d
Explicit transient thermal simulation of liquid-cooled 3D ICs (AF, GB, GN), pp. 1385–1390.
DATEDATE-2013-GielenM #modelling #probability
Stochastic degradation modeling and simulation for analog integrated circuits in nanometer CMOS (GGEG, EM), pp. 326–331.
DATEDATE-2013-HelmstetterCGMV #performance #using
Fast and accurate TLM simulations using temporal decoupling for FIFO-based communications (CH, JC, BG, MM, PV), pp. 1185–1188.
DATEDATE-2013-LagraaTP #concurrent #data access #data mining #identification #memory management #mining
Data mining MPSoC simulation traces to identify concurrent memory access patterns (SL, AT, FP), pp. 755–760.
DATEDATE-2013-LiZCZ #analysis #multi
Multi-level phase analysis for sampling simulation (JL, WZ, HC, BZ), pp. 649–654.
DATEDATE-2013-LuMS #embedded #performance
Fast cache simulation for host-compiled simulation of embedded software (KL, DMG, US), pp. 637–642.
DATEDATE-2013-LyrasRPS #multi #scalability
Hypervised transient SPICE simulations of large netlists & workloads on multi-processor systems (GL, DR, AP, DS), pp. 655–658.
DATEDATE-2013-NiakiS #automation #embedded #parallel
An automated parallel simulation flow for heterogeneous embedded systems (SHAN, IS), pp. 27–30.
DATEDATE-2013-PaoneVZSMHL #embedded #manycore #modelling #platform
Improving simulation speed and accuracy for many-core embedded platforms with ensemble models (EP, NV, VZ, CS, DM, GH, TL), pp. 671–676.
DATEDATE-2013-WangH #embedded #modelling #performance
Fast and accurate cache modeling in source-level simulation of embedded software (ZW, JH), pp. 587–592.
DATEDATE-2013-YilmazSWO #analysis #fault #industrial #scalability
Fault analysis and simulation of large scale industrial mixed-signal circuits (EY, GS, LW, SO), pp. 565–570.
DATEDATE-2013-YuZHWLT #approach #manycore #set
A critical-section-level timing synchronization approach for deterministic multi-core instruction set simulations (FWY, BHZ, YHH, HIW, CRL, RST), pp. 643–648.
HPDCHPDC-2013-KamalBBCM #scalability
Load balancing in large-scale epidemiological simulations (TK, KRB, ARB, YC, MVM), pp. 123–124.
HPDCHPDC-2013-Shaw #named
Anton: a special-purpose machine that achieves a hundred-fold speedup in biomolecular simulations (DES), pp. 129–130.
PDPPDP-2013-GuptaGV #3d #gpu #linear #using
3D Bubbly Flow Simulation on the GPU — Iterative Solution of a Linear System Using Sub-domain and Level-Set Deflation (RG, MBvG, CV), pp. 359–366.
PDPPDP-2013-KotenkoSN #framework #network
Simulation of Protection Mechanisms Based on “Nervous Network System” against Infrastructure Attacks (IVK, AS, EN), pp. 526–533.
PDPPDP-2013-LavilleMLPM #gpu #multi #using
Using GPU for Multi-Agent Soil Simulation (GL, KM, CL, LP, NM), pp. 392–399.
PDPPDP-2013-TemboNB #adaptation #clustering #distributed #problem #protocol #self
Distributed Iterative Solution of Numerical Simulation Problems on Infiniband and Ethernet Clusters via the P2PSAP Self-Adaptive Protocol (SRT, TTN, DEB), pp. 121–125.
PPoPPPPoPP-2013-YangXFGLXLSYZ #algorithm #cpu #gpu
A peta-scalable CPU-GPU algorithm for global atmospheric simulations (CY, WX, HF, LG, LL, YX, YL, JS, GY, WZ), pp. 1–12.
FoSSaCSFoSSaCS-2013-KatsumataS #algebra #monad
Preorders on Monads and Coalgebraic Simulations (SyK, TS), pp. 145–160.
STOCSTOC-2013-ChungPS #security
Non-black-box simulation from one-way functions and applications to resettable security (KMC, RP, KS), pp. 231–240.
STOCSTOC-2013-Goyal #concurrent
Non-black-box simulation in the fully concurrent setting (VG), pp. 221–230.
CAVCAV-2013-ManciniMMMMT #model checking #verification
System Level Formal Verification via Model Checking Driven Simulation (TM, FM, AM, IM, FM, ET), pp. 296–312.
ICSTICST-2013-NetterGB #composition #framework #modelling #validation
Real-Data Validation of Simulation Models in a Function-Based Modular Framework (FN, FG, BB), pp. 41–47.
LICSLICS-2013-HofmanMT #decidability
Decidability of Weak Simulation on One-Counter Nets (PH, RM, PT), pp. 203–212.
WICSA-ECSAWICSA-ECSA-2012-AstekinS #analysis #clone detection #detection
Utilizing Clone Detection for Domain Analysis of Simulation Systems (MA, HS), pp. 287–291.
WICSA-ECSAWICSA-ECSA-2012-BaelenC #design #evolution
Simulating Structural Design Evolution of Software (WB, YC), pp. 258–261.
CSEETCSEET-2012-BollinHMS #case study #education #experience #re-engineering
Experiences with Integrating Simulation into a Software Engineering Curriculum (AB, EH, RM, LS), pp. 62–71.
CSEETCSEET-2012-BollinHS #concept #education #experience #project management #using
Teaching Software Project Management using Simulations — The AMEISE Environment: from Concepts to Class Room Experience (AB, EH, LS), pp. 85–86.
IFMIFM-2012-BlackmoreHBER #automation #generative #verification
Analysing and Closing Simulation Coverage by Automatic Generation and Verification of Formal Properties from Coverage Reports (TB, DH, PB, KE, NR), pp. 84–98.
SEFMSEFM-2012-NikolicPZ #imperative #modelling #rule-based
A Rule-Based and Imperative Language for Biochemical Modeling and Simulation (DN, CP, RZ), pp. 16–32.
AIIDEAIIDE-2012-TomaiS #adaptation
Simulating Adaptive Quests for Increased Player Impact in MMORPGs (ET, RS).
FDGFDG-2012-PettitH #learning #policy
Evolutionary learning of policies for MCTS simulations (JP, DPH), pp. 212–219.
CoGVS-Games-2012-HaugeR #education #evaluation #game studies
Evaluation of Simulation Games for Teaching Engineering and Manufacturing (JBH, JckhR), pp. 210–220.
CoGVS-Games-2012-WattanasoontornBBS #framework
The Framework of a Life Support Simulation Application (VW, IB, CB, MS), pp. 293–294.
CHICHI-2012-KreitmayerRLP #game studies
From participatory to contributory simulations: changing the game in the classroom (SK, YR, RCL, SP), pp. 49–58.
CIKMCIKM-2012-JiangHHYN #evaluation #query
Contextual evaluation of query reformulations in a search session by user simulation (JJ, DH, SH, ZY, CN), pp. 2635–2638.
CIKMCIKM-2012-JiaZH #network
Non-stationary bayesian networks based on perfect simulation (YJ, WZ, JH), pp. 1095–1104.
CIKMCIKM-2012-SmuckerC #probability
Stochastic simulation of time-biased gain (MDS, CLAC), pp. 2040–2044.
ICPRICPR-2012-JinB #multi
Single camera multi-person tracking based on crowd simulation (ZJ, BB), pp. 3660–3663.
ICPRICPR-2012-KockelkornSGRJVRSG #classification #interactive
Interactive classification of lung tissue in CT scans by combining prior and interactively obtained training data: A simulation study (TTJPK, CIS, JCG, RR, PAdJ, MAV, JR, CSP, BvG), pp. 105–108.
ICPRICPR-2012-PerisMMOF #towards
Towards a simulation driven stereo vision system (MP, SM, AM, YO, KF), pp. 1038–1042.
KRKR-2012-Huang12a #constraints
Search Strategy Simulation in Constraint Booleanization (JH).
SEKESEKE-2012-GrolingerCMS #modelling #ontology #representation
Ontology-based Representation of Simulation Models (KG, MAMC, JRM, KDS), pp. 432–437.
SIGIRSIGIR-2012-BaskayaKJ #interactive
Time drives interaction: simulating sessions in diverse searching environments (FB, HK, KJ), pp. 105–114.
SIGIRSIGIR-2012-Cummins #modelling #monte carlo #performance #predict #using
Investigating performance predictors using monte carlo simulation and score distribution models (RC), pp. 1097–1098.
ECMFAECMFA-2012-RadjenovicPRWK #approach #plugin #uml
A Plug-in Based Approach for UML Model Simulation (AR, RFP, LMR, JW, SK), pp. 328–339.
ICMTICMT-2012-Fernandez-RoperoPWP #assessment #empirical #model transformation
Empirical Assessment of Business Model Transformations Based on Model Simulation (MFR, RPC, BW, MP), pp. 137–151.
MODELSMoDELS-2012-AboussororOO #fault #modelling #visualisation
Seeing Errors: Model Driven Simulation Trace Visualization (EAA, IO, IO), pp. 480–496.
POPLPOPL-2012-LiangFF #concurrent #program transformation #verification
A rely-guarantee-based simulation for verifying concurrent program transformations (HL, XF, MF), pp. 455–468.
REFSQREFSQ-2012-WangLWZW #approach #dependence #impact analysis
A Simulation Approach for Impact Analysis of Requirement Volatility Considering Dependency Change (JW, JL, QW, HZ, HW), pp. 59–76.
SACSAC-2012-PereiraR #architecture
An integrated architecture for autonomous vehicles simulation (JLFP, RJFR), pp. 286–292.
SACSAC-2012-ThanhZ #algorithm #probability
Tree-based search for stochastic simulation algorithm (VHT, RZ), pp. 1415–1416.
CASECASE-2012-DotoliFIR #agile #modelling #using
A lean manufacturing strategy using Value Stream Mapping, the Unified Modeling Language, and discrete event simulation (MD, MPF, GI, GR), pp. 668–673.
CASECASE-2012-HoerningSWS #analysis #robust #tool support
Improving discrete event simulation in the emergency department with innovative and robust input analysis tools (SH, JS, TW, LS), pp. 131–136.
CASECASE-2012-LeeB #execution #framework #lifecycle #using
Executable simulation lifecycle management framework using extensible and interoperable simulation language (HL, AB), pp. 237–242.
CASECASE-2012-LeeHJKL #matlab #using
Dynamic simulation of radial active magnetic bearing system for high speed rotor using ADAMS and MATLAB co-simulation (KCL, DKH, YHJ, CYK, MCL), pp. 880–885.
CASECASE-2012-LiLPZ #physics
Control system simulation for 360MN extrusion machine based on physical model (WL, WL, FP, QZ), pp. 243–249.
CASECASE-2012-WangHDS #agile #analysis #protocol #using
Analysis of hospital rapid response protocols using simulation (SW, LEH, PD, CHS), pp. 315–322.
CASECASE-2012-ZhangLCCJ #set
An improved simulation budget allocation procedure to efficiently select the optimal subset of many alternatives (SZ, LHL, EPC, CHC, HYJ), pp. 230–236.
CASECASE-2012-ZhaoLKH #data fusion #locality #using
A simulation study of sensor data fusion using UKF for bucket wheel reclaimer localization (SZ, TFL, BK, AH), pp. 1192–1197.
DACDAC-2012-ChangB
Improving gate-level simulation accuracy when unknowns exist (KHC, CB), pp. 936–940.
DACDAC-2012-LiuH #network #scalability
Dynamic river network simulation at large scale (FL, BRH), pp. 723–728.
DACDAC-2012-MurilloEJYLA #hybrid
Synchronization for hybrid MPSoC full-system simulation (LGM, JFE, JJ, SY, RL, GA), pp. 121–126.
DACDAC-2012-RenCWZY #gpu #parallel
Sparse LU factorization for parallel circuit simulation on GPU (LR, XC, YW, CZ, HY), pp. 1125–1130.
DACDAC-2012-ZhaoF #on the fly #performance #towards
Towards efficient SPICE-accurate nonlinear circuit simulation with on-the-fly support-circuit preconditioners (XZ, ZF), pp. 1119–1124.
DATEDATE-2012-AridhiZT #order #reduction #towards #using
Towards improving simulation of analog circuits using model order reduction (HA, MHZ, ST), pp. 1337–1342.
DATEDATE-2012-BombieriFG #fault #framework #functional #named #verification
FAST-GP: An RTL functional verification framework based on fault simulation on GP-GPUs (NB, FF, VG), pp. 562–565.
DATEDATE-2012-BrachtendorfBL
Simulation of the steady state of oscillators in the time domain (HGB, KB, RL), pp. 1355–1360.
DATEDATE-2012-ChenHD #design #parallel
Out-of-order parallel simulation for ESL design (WC, XH, RD), pp. 141–146.
DATEDATE-2012-JovicYMELA #hybrid
Hybrid simulation for extensible processor cores (JJ, SY, LGM, JFE, RL, GA), pp. 288–291.
DATEDATE-2012-LafayePBGF #embedded #modelling #resource management
Model driven resource usage simulation for critical embedded systems (ML, LP, EB, MG, DF), pp. 312–315.
DATEDATE-2012-LiuTWY
A GPU-accelerated envelope-following method for switching power converter simulation (XL, SXDT, HW, HY), pp. 1349–1354.
DATEDATE-2012-MammoCPNZMB #approximate
Approximating checkers for simulation acceleration (BM, DC, DP, AN, AZ, RM, VB), pp. 153–158.
DATEDATE-2012-PanagopoulosAR #approach #framework #hybrid
A framework for simulating hybrid MTJ/CMOS circuits: Atoms to system approach (GP, CA, KR), pp. 1443–1446.
DATEDATE-2012-SchoenmakerMSBTJ #scalability
Large signal simulation of integrated inductors on semi-conducting substrates (WS, MM, BDS, SB, CT, RJ), pp. 1221–1226.
DATEDATE-2012-StattelmannGCBR #hybrid #modelling #using
Hybrid source-level simulation of data caches using abstract cache models (SS, GG, CC, OB, WR), pp. 376–381.
DATEDATE-2012-WangH #compilation #embedded #optimisation
Accurate source-level simulation of embedded software with respect to compiler optimizations (ZW, JH), pp. 382–387.
DATEDATE-2012-WangLPW #algorithm #difference #linear
An operational matrix-based algorithm for simulating linear and fractional differential circuits (YW, HL, GKHP, NW), pp. 1463–1466.
LCTESLCTES-2012-BouissouC #semantics
An operational semantics for Simulink’s simulation engine (OB, AC), pp. 129–138.
LCTESLCTES-2012-KyleBFLT #embedded #manycore #set #using
Efficiently parallelizing instruction set simulation of embedded multi-core processors using region-based just-in-time dynamic binary translation (SCK, IB, BF, HL, NPT), pp. 21–30.
PDPPDP-2012-RungerS #interactive #manycore #parallel #scalability
Interaction List Compression in Large Parallel Particle Simulations on Multicore Systems (GR, MS), pp. 190–197.
PDPPDP-2012-RusticoBGHN #multi
Smoothed Particle Hydrodynamics Simulations on Multi-GPU Systems (ER, GB, GG, AH, CDN), pp. 384–391.
ICLPICLP-2012-BryS #query #semistructured data #unification
Simulation Unification: Beyond Querying Semistructured Data (FB, SS), pp. 1–13.
ECSAECSA-2011-MassowHH #architecture #component #configuration management #performance #runtime
Performance Simulation of Runtime Reconfigurable Component-Based Software Architectures (RvM, AvH, WH), pp. 43–58.
JCDLJCDL-2011-LeidigFHMM #library #named #ontology
SimDL: a model ontology driven digital library for simulation systems (JL, EAF, KH, MVM, HSM), pp. 81–84.
CSEETCSEET-2011-BollinHM #education #project management #using
Teaching software project management using simulations (AB, EH, RTM), pp. 81–90.
CSEETCSEET-2011-PeixotoPRP #design #education #game studies #overview #re-engineering
An overview of the main design characteristics of simulation games in Software Engineering education (DCCP, RMP, RFR, CIPSP), pp. 101–110.
SIGITESIGITE-2011-Mustafa #learning #operating system #visualisation
Visualizing the modern operating system: simulation experiments supporting enhanced learning (BM), pp. 209–214.
DLTDLT-J-2009-Petersen11 #bound
Simulations by Time-Bounded Counter Machines (HP), pp. 395–409.
CIAACIAA-J-2010-EsikM11 #automaton
The Category of Simulations for Weighted Tree Automata (, AM), pp. 1845–1859.
DLTDLT-2011-CeceG #2d #automaton #online
Simulations over Two-Dimensional On-Line Tessellation Automata (GC, AG), pp. 141–152.
ICALPICALP-v1-2011-FortnowS #robust
Robust Simulations and Significant Separations (LF, RS), pp. 569–580.
ICALPICALP-v2-2011-CrafaR #abstract interpretation #algorithm #bisimulation #probability
Probabilistic Bisimulation and Simulation Algorithms by Abstract Interpretation (SC, FR), pp. 295–306.
ICALPICALP-v2-2011-GoodrichM #outsourcing #privacy #ram
Privacy-Preserving Access of Outsourced Data via Oblivious RAM Simulation (MTG, MM), pp. 576–587.
FMFM-2011-AlbertGGJST #behaviour #bound #concurrent #worst-case
Simulating Concurrent Behaviors with Worst-Case Cost Bounds (EA, SG, MGZ, EBJ, RS, SLTT), pp. 353–368.
SEFMSEFM-2011-CorralC #towards
Towards an Agent-Based Methodology for Developing Agro-Ecosystem Simulations (JC, DC), pp. 431–446.
DiGRADiGRA-2011-Wiemer
Computer history and the movement of business simulations (SW).
FDGFDG-2011-LavioleHS #game studies #physics #using
Tabletop games using real environment and physical simulation (JL, MH, CS), pp. 322–324.
CoGVS-Games-2011-KardaraFAPTV #architecture #policy
A Service Oriented Architecture Enabling Policy Simulation in Virtual Spaces (MK, OF, FA, AP, KT, TAV), pp. 236–243.
CoGVS-Games-2011-OSullivanE #multi #named
Metropolis: Multisensory Simulation of a Populated City (CO, CE), pp. 1–7.
CHICHI-2011-QuekBWMTPST #design #development #human-computer #interactive #interface #social
Simulating the feel of brain-computer interfaces for design, development and social interaction (MQ, DB, JW, RMS, MT, SP, MS, MT), pp. 25–28.
CHICHI-2011-ToupsKHS #coordination #learning
Zero-fidelity simulation of fire emergency response: improving team coordination learning (ZOT, AK, WAH, NS), pp. 1959–1968.
HCIDHM-2011-BenderiusMWW #analysis #modelling #optimisation
A Simulation Environment for Analysis and Optimization of Driver Models (OB, GM, KW, MW), pp. 453–462.
HCIDHM-2011-DongWYF #evaluation #research
Research on Digital Human Model Used in Human Factor Simulation and Evaluation of Load Carriage Equipment (DD, LW, XY, SF), pp. 255–262.
HCIDHM-2011-FritzscheJLBJP #editing #performance #process
Introducing ema (Editor for Manual Work Activities) — A New Tool for Enhancing Accuracy and Efficiency of Human Simulations in Digital Production Planning (LF, RJ, WL, SB, TJ, AP), pp. 272–281.
HCIDHM-2011-GraggYB #modelling #re-engineering
Posture Reconstruction Method for Mapping Joint Angles of Motion Capture Experiments to Simulation Models (JG, J(Y, RB), pp. 69–78.
HCIDHM-2011-KwonHBAA #artificial reality
Simulating Ingress Motion for Heavy Earthmoving Equipment (HK, MH, RB, JA, KAM), pp. 109–118.
HCIDHM-2011-LongBY #modelling #overview
Cloth Modeling and Simulation: A Literature Survey (JL, KB, J(Y), pp. 312–320.
HCIHCD-2011-IharaKY
Human Affordance as Life-Log for Environmental Simulations (MI, MK, TY), pp. 235–242.
HCIHCI-DDA-2011-Fernandez-LlatasMSN #interactive #process
Process Choreography for Human Interaction Computer-Aided Simulation (CFL, JBM, PS, JCN), pp. 214–220.
HCIHCI-DDA-2011-KimJRWS #behaviour #using
Human Behavioral Simulation Using Affordance-Based Agent Model (NK, JJ, LR, RAW, YJS), pp. 368–377.
HCIHCI-MIIE-2011-ChaoFK #framework
A Framework for Agent-Based Simulation in Tourism Planning (DC, KF, TK), pp. 280–287.
HCIHCI-UA-2011-KanekoN #evaluation
Proposal of BCM Evaluation Method Based on Disaster Scenario Simulation (RK, YN), pp. 346–353.
HCIHIMI-v1-2011-LinBK #biology #design #education #multi
Learner-Centered Methodology for Designing and Developing Multimedia Simulation for Biology Education (CCL, MB, KK), pp. 20–29.
HCIIDGD-2011-GaoQ #analysis #distributed
Analysis of the Applied Pattern of Distributed Computing Used in Simulation (SfG, HQ), pp. 152–158.
ICEISICEIS-J-2011-ChangS11a #analysis #mobile #process #research
Research on Grid-Based Mobile Business Process and Analysis on Process Simulation (DC, LS), pp. 349–361.
ICEISICEIS-J-2011-MeisenMSJ11a #adaptation #integration #semantic gap
Adaptive Information Integration: Bridging the Semantic Gap between Numerical Simulations (TM, PM, DS, SJ), pp. 51–65.
ICEISICEIS-v1-2011-ChangLZ #e-commerce #grid #mobile #process #research
Simulation Research on the Mobile e-Commerce Process of Non-grid and Grid based on Arena (DC, YL, SZ), pp. 486–494.
ICEISICEIS-v1-2011-MeisenMSJ #integration #tool support
Application Integration of Simulation Tools Considering Domain Specific Knowledge (TM, PM, DS, SJ), pp. 42–53.
ICEISICEIS-v2-2011-LiQLZ #policy #research
Research and Demonstration of Agricultural Policy Simulation based on CGE Model (ZL, QQ, YL, DZ), pp. 13–17.
ICEISICEIS-v2-2011-ShahK #architecture #parallel
System Architecture of the Decision Support System Employing Microscopic Simulation and Expert System in Parallel for the Post Incident Traffic Management (SAAS, HK), pp. 113–117.
ICEISICEIS-v3-2011-ZhuL11a #automation #modelling
Modeling and Simulation of the Automatic Train Protection in WLAN based CBTC Systems (XZ, JL), pp. 449–458.
ICEISICEIS-v4-2011-ChangS #mobile #process #research
Research on Grid-based Mobile Business Process and Simulation (DC, LS), pp. 86–93.
ICEISICEIS-v4-2011-WangL11a #modelling #optimisation #parametricity
Simulation Modeling and Parameter Optimization in Cyclic Picking System (AW, XL), pp. 690–694.
CIKMCIKM-2011-CarteretteKY #behaviour #effectiveness #evaluation
Simulating simple user behavior for system effectiveness evaluation (BC, EK, EY), pp. 611–620.
ECIRECIR-2011-BaskayaKJ #feedback
Simulating Simple and Fallible Relevance Feedback (FB, HK, KJ), pp. 593–604.
KDDKDD-2011-LiL #behaviour #community #detection #framework #generative #modelling #network #social
Social flocks: a crowd simulation framework for social network generation, community detection, and collective behavior modeling (CTL, SDL), pp. 765–768.
KDIRKDIR-2011-GilliesSPW #feature model #ontology
Gene Ontology based Simulation for Feature Selection (CEG, MRS, NVP, GDW), pp. 294–302.
KEODKEOD-2011-HusakovaC #multi #ontology #recommendation
Exploitation of Ontology-based Recommendation System with Multi-agent Simulations (MH, PC), pp. 433–436.
SEKESEKE-2011-FuginiRIRC #monitoring #risk management
Simulations of Risks for Monitoring and Prevention (MGF, FR, RI, CR, OC), pp. 602–607.
MODELSMoDELS-2011-Sagar #modelling
Creating Models for Simulating the Face (MS), p. 394.
RERE-2011-HeavenL #design #modelling #optimisation
Simulating and optimising design decisions in quantitative goal models (WH, EL), pp. 79–88.
ICSEICSE-2011-ZhangJHHZ #process
Impact of process simulation on software practice: an initial report (HZ, DRJ, DH, LH, LZ), pp. 1046–1056.
SACSAC-2011-FilhoSM #case study #network
Policy-driven network simulation: a resilience case study (AESF, PS, AM), pp. 492–497.
SACSAC-2011-LuKNB #analysis #approach #embedded #realtime #statistics #validation
A statistical approach to simulation model validation in response-time analysis of complex real-time embedded systems (YL, JK, TN, IB), pp. 711–716.
CASECASE-2011-ChuYSS #probability #validation
Validation of stochastic traffic flow model with microscopic traffic simulation (KCC, LY, RS, KS), pp. 672–677.
CASECASE-2011-HajiD #case study #using
A simulation case study: Reducing outpatient waiting time of otolaryngology care services using VBA (MH, HD), pp. 525–530.
CASECASE-2011-JulvezMV #analysis #using
Analysis and simulation of manufacturing systems using SimHPN toolbox (JJ, CM, CRV), pp. 432–437.
CASECASE-2011-JuWLBX #framework
Virtual battery: A simulation framework for batteries in electric vehicles (FJ, JW, JL, SB, GX), pp. 648–653.
CASECASE-2011-LeonardoKKDS #monitoring
Simulation of a hydraulic pump application for monitoring and diagnosis methods (DL, SK, AKH, AD, RS), pp. 341–345.
CASECASE-2011-LiuZCHZ #energy #evaluation #optimisation
Simulation based evaluation and optimization for energy consumption of a typical welding shop (HL, QZ, WC, NH, XZ), pp. 660–665.
DACDAC-2011-LiMCMS #modelling #network #performance #reliability
Device modeling and system simulation of nanophotonic on-chip networks for reliability, power and performance (ZL, MM, XC, ARM, LS), pp. 735–740.
DACDAC-2011-StattelmannBR #optimisation #performance
Fast and accurate source-level simulation of software timing considering complex code optimizations (SS, OB, WR), pp. 486–491.
DACDAC-2011-WuWFT #distributed #manycore #scheduling
A high-parallelism distributed scheduling mechanism for multi-core instruction-set simulation (MHW, PCW, CYF, RST), pp. 339–344.
DACDAC-2011-YunKKH #embedded #manycore #parallel
Simulation environment configuration for parallel simulation of multicore embedded systems (DY, JK, SK, SH), pp. 345–350.
DATEDATE-2011-AadithyaDVR #modelling #named #random
SAMURAI: An accurate method for modelling and simulating non-stationary Random Telegraph Noise in SRAMs (KVA, AD, SV, JSR), pp. 1113–1118.
DATEDATE-2011-FunchalM #framework #modelling #named #transaction
jTLM: An experimentation framework for the simulation of transaction-level models of Systems-on-Chip (GF, MM), pp. 1184–1187.
DATEDATE-2011-FuWT #approach #manycore #performance
A shared-variable-based synchronization approach to efficient cache coherence simulation for multi-core systems (CYF, MHW, RST), pp. 347–352.
DATEDATE-2011-JhaLMR #statistics #trade-off #verification
When to stop verification?: Statistical trade-off between expected loss and simulation cost (SKJ, CJL, SM, SR), pp. 1309–1314.
DATEDATE-2011-KimCSY #modelling #parallel #performance #using
Temporal parallel simulation: A fast gate-level HDL simulation using higher level models (DK, MJC, KS, SY), pp. 1584–1589.
DATEDATE-2011-KimCY #distributed #predict
A new distributed event-driven gate-level HDL simulation by accurate prediction (DK, MJC, SY), pp. 547–550.
DATEDATE-2011-LoCWT #modelling #performance
Cycle-count-accurate processor modeling for fast and accurate system-level simulation (CKL, LCC, MHW, RST), pp. 341–346.
DATEDATE-2011-LuJTL #architecture #parametricity #performance
Efficient parameter variation sampling for architecture simulations (FL, RJ, GT, SL), pp. 1578–1583.
DATEDATE-2011-MichelFP #embedded
Speeding-up SIMD instructions dynamic binary translation in embedded processor simulation (LM, NF, FP), pp. 277–280.
DATEDATE-2011-RemondNBM #approach #design #empirical #process
Mathematical approach based on a “Design of Experiment” to simulate process variations (ER, EN, CB, RM), pp. 1486–1490.
DATEDATE-2011-StattelmannBR #analysis #manycore #performance
Fast and accurate resource conflict simulation for performance analysis of multi-core systems (SS, OB, WR), pp. 210–215.
DATEDATE-2011-WangKAWMA #energy #using
Accelerated simulation of tunable vibration energy harvesting systems using a linearised state-space technique (LW, TJK, BMAH, ASW, GVM, INAG), pp. 1267–1272.
DATEDATE-2011-WangWT #approach #modelling #named #performance #scheduling
DOM: A Data-dependency-Oriented Modeling approach for efficient simulation of OS preemptive scheduling (PCW, MHW, RST), pp. 335–340.
DATEDATE-2011-YehHWL #framework #platform
Speeding Up MPSoC virtual platform simulation by Ultra Synchronization Checking Method (YFY, CYH, CAW, HCL), pp. 353–358.
DATEDATE-2011-ZaidiGH #specification
Simulation based tuning of system specification (YZ, CG, JH), pp. 1273–1278.
HPCAHPCA-2011-PellauerAKPE #manycore #named #using
HAsim: FPGA-based high-detail multicore simulation using time-division multiplexing (MP, MA, MAK, AP, JSE), pp. 406–417.
HPDCHPDC-2011-HudsonNRWJP #case study #data-driven #experience #using
Experiences using smaash to manage data-intensive simulations (RH, JN, LBR, KW, GCJI, MEP), pp. 205–216.
PDPPDP-2011-AntonelliD
Dynamic Load Balancing for High-Performance Simulations of Combustion in Engine Applications (LA, PD), pp. 133–140.
PDPPDP-2011-CosenzaCCS #distributed #parallel
Distributed Load Balancing for Parallel Agent-Based Simulations (BC, GC, RDC, VS), pp. 62–69.
PDPPDP-2011-MatsuiUMN #adaptation #parallel #refinement
MPI Parallelization of PIC Simulation with Adaptive Mesh Refinement (TM, HU, TM, MN), pp. 277–281.
PDPPDP-2011-SaezSCSC #algorithm #architecture
Particle-in-Cell Algorithms for Plasma Simulations on Heterogeneous Architectures (XS, AS, JMC, ES, FC), pp. 385–389.
CAVCAV-2011-SinghalA #using #verification
Using Coverage to Deploy Formal Verification in a Simulation World (VS, PA), pp. 44–49.
ICLPICLP-2011-Bottalico #consistency #hybrid
Consistency Techniques for Hybrid Simulations (MB), pp. 255–260.
VLDBVLDB-2010-WangSSWCDGW #behaviour #pipes and filters
Behavioral Simulations in MapReduce (GW, MAVS, BS, XW, TC, AJD, JG, WMW), pp. 952–963.
CIAACIAA-2010-EsikM #automaton
Simulations of Weighted Tree Automata (, AM), pp. 321–330.
RTARTA-2010-Schmidt-SchaussSM #call-by #λ-calculus
Simulation in the Call-by-Need λ-Calculus with letrec (MSS, DS, EM), pp. 295–310.
SEFMSEFM-2010-HegedusBRV #model transformation
Back-annotation of Simulation Traces with Change-Driven Model Transformations (ÁH, GB, IR, DV), pp. 145–155.
AIIDEAIIDE-2010-Torrey #learning #multi
Crowd Simulation Via Multi-Agent Reinforcement Learning (LT).
ICGTICGT-2010-Donyina #modelling #probability #resource management
Stochastic Modelling and Simulation of Dynamic Resource Allocation (AD), pp. 388–390.
ICGTICGT-2010-LaraGBHT #graph transformation
Graph Transformation for Domain-Specific Discrete Event Time Simulation (JdL, EG, AB, RH, PT), pp. 266–281.
CHICHI-2010-FuGN #3d #multi #scalability
Multi-touch techniques for exploring large-scale 3D astrophysical simulations (CWF, WBG, JAN), pp. 2213–2222.
CAiSECAiSE-2010-SchonenbergJSA #analysis
Business Trend Analysis by Simulation (HS, JJ, NS, WMPvdA), pp. 515–529.
ICEISICEIS-J-2010-VilenicaL10a #distributed
Simulation Management for Agent-Based Distributed Systems (AV, WL), pp. 477–492.
ICEISICEIS-SAIC-2010-VilenicaL #automation #multi #towards
Towards Automated Simulation of Multi Agent based Systems (AV, WL), pp. 38–46.
ICMLICML-2010-LongS #approximate #strict
Restricted Boltzmann Machines are Hard to Approximately Evaluate or Simulate (PML, RAS), pp. 703–710.
ICPRICPR-2010-KimKP #algorithm #generative
A Simulation Study on the Generative Neural Ensemble Decoding Algorithms (SPK, MKK, GTP), pp. 3797–3800.
KMISKMIS-2010-Caballero-GilCM #analysis #clustering #information management #using
Knowledge Management using Clusters in VANETs — Description, Simulation and Analysis (CCG, PCG, JMG), pp. 170–175.
SEKESEKE-2010-MoserDB #automation #generative #ontology #testing
Ontology-Based Test Case Generation For Simulating Complex Production Automation Systems (TM, GD, SB), pp. 478–482.
RERE-2010-LockerbieBMBE #analysis #concept #modelling #using
Using i* Modelling as a Bridge between Air Traffic Management Operational Concepts and Agent-based Simulation Analysis (JL, DB, NAMM, HAPB, MHCE), pp. 351–356.
SACSAC-2010-BaffaC #generative #modelling #policy
Modeling POMDPs for generating and simulating stock investment policies (ACEB, AEMC), pp. 2394–2399.
SACSAC-2010-JiCW #scalability
A simulation of large-scale groundwater flow on CUDA-enabled GPUs (XJ, TC, QW), pp. 2402–2403.
SACSAC-2010-PeixotoPR #education #game studies
Semiotic inspection method in the context of educational simulation games (DCCP, ROP, RFR), pp. 1207–1212.
GPCEGPCE-2010-KriegerKW #automation #contract #performance
Automatic and efficient simulation of operation contracts (MPK, AK, BW), pp. 53–62.
LDTALDTA-2010-Giavitto #domain-specific language
A domain specific language for complex natural and artificial systems simulations (JLG), p. 1.
CASECASE-2010-HewlettK
Dynamic simulation of ship-system thermal load management (PTH, TMK), pp. 734–741.
DACDAC-2010-FayyaziK #performance
Efficient simulation of oscillatory combinational loops (MF, LK), pp. 777–780.
DACDAC-2010-FonsecaDBGPVB #analysis #reliability #statistics
A statistical simulation method for reliability analysis of SRAM core-cells (RAF, LD, AB, PG, SP, AV, NB), pp. 853–856.
DACDAC-2010-HaquePJP #approach #embedded #named #performance #policy
SCUD: a fast single-pass L1 cache simulation approach for embedded processors with round-robin replacement policy (MSH, JP, AJ, SP), pp. 356–361.
DACDAC-2010-HsuPH #approach #data flow #modelling #physics
A mixed-mode vector-based dataflow approach for modeling and simulating LTE physical layer (CJH, JLP, FJH), pp. 18–23.
DACDAC-2010-KochteSWZ #fault #manycore #performance
Efficient fault simulation on many-core processors (MAK, MS, HJW, CGZ), pp. 380–385.
DACDAC-2010-TangZBM #analysis #statistics
RDE-based transistor-level gate simulation for statistical static timing analysis (QT, AZ, MB, NvdM), pp. 787–792.
DACDAC-2010-WangZD #distributed #logic #parallel
Distributed time, conservative parallel logic simulation on GPUs (BDW, YZ, YD), pp. 761–766.
DACDAC-2010-YeL #modelling #optimisation #parallel #performance #runtime
Parallel program performance modeling for runtime optimization of multi-algorithm circuit simulation (XY, PL), pp. 561–566.
DATEDATE-2010-AlpaslanDKMHW
NIM- a noise index model to estimate delay discrepancies between silicon and simulation (EA, JD, BK, AKM, WMH, PvdW), pp. 1373–1376.
DATEDATE-2010-CanedoYK #parallel #pipes and filters
Skewed pipelining for parallel simulink simulations (AC, TY, HK), pp. 891–896.
DATEDATE-2010-DammMHG #modelling #network #transaction #using
Using Transaction Level Modeling techniques for wireless sensor network simulation (MD, JM, JH, CG), pp. 1047–1052.
DATEDATE-2010-HaquePJP #approach #embedded #named #performance #policy
DEW: A fast level 1 cache simulation approach for embedded processors with FIFO replacement policy (MSH, JP, AJ, SP), pp. 496–501.
DATEDATE-2010-HuangX #framework #named #reliability
AgeSim: A simulation framework for evaluating the lifetime reliability of processor-based SoCs (LH, QX), pp. 51–56.
DATEDATE-2010-KarnerASW #network #runtime #using
Holistic simulation of FlexRay networks by using run-time model switching (MK, EA, CS, RW), pp. 544–549.
DATEDATE-2010-KhalighR #adaptation #kernel #modelling #parallel
Modeling constructs and kernel for parallel simulation of accuracy adaptive TLMs (RSK, MR), pp. 1183–1188.
DATEDATE-2010-MaricauG #complexity #reliability #variability
Variability-aware reliability simulation of mixed-signal ICs with quasi-linear complexity (EM, GGEG), pp. 1094–1099.
DATEDATE-2010-MelloMGP #parallel
Parallel simulation of systemC TLM 2.0 compliant MPSoC on SMP workstations (AM, IM, AG, FP), pp. 606–609.
DATEDATE-2010-MischkallaH0 #modelling #synthesis #uml
Closing the gap between UML-based modeling, simulation and synthesis of combined HW/SW systems (FM, DH, WM), pp. 1201–1206.
DATEDATE-2010-UbarDRJ #parallel
Parallel X-fault simulation with critical path tracing technique (RU, SD, JR, AJ), pp. 879–884.
DATEDATE-2010-WatanabeA #modelling #multi #performance
Equivalent circuit modeling of multilayered power/ground planes for fast transient simulation (TW, HA), pp. 1153–1158.
DATEDATE-2010-ZhangLL #approach #markov #modelling #using #verification
An abstraction-guided simulation approach using Markov models for microprocessor verification (TZ, TL, XL), pp. 484–489.
HPCAHPCA-2010-GenbruggeEE #abstraction #architecture
Interval simulation: Raising the level of abstraction in architectural simulation (DG, SE, LE), pp. 1–12.
HPDCHPDC-2010-BhagawatyJPABK #design #implementation #using
Design, implementation and use of a simulation data archive for coastal science (HB, LJ, SP, GA, NB, TK), pp. 651–657.
HPDCHPDC-2010-DocanPK #coordination #framework #interactive #named #workflow
DataSpaces: an interaction and coordination framework for coupled simulation workflows (CD, MP, SK), pp. 25–36.
HPDCHPDC-2010-DonassoloCLV #performance #scalability #using #volunteer
Fast and scalable simulation of volunteer computing systems using SimGrid (BD, HC, AL, PV), pp. 605–612.
HPDCHPDC-2010-HoeflerSL #named #scalability
LogGOPSim: simulating large-scale applications in the LogGOPS model (TH, TS, AL), pp. 597–604.
PDPPDP-2010-CummingsLSSSDPKPB #framework #named
EFFIS: An End-to-end Framework for Fusion Integrated Simulation (JC, JFL, KS, AS, AS, CD, MP, SK, NP, RB), pp. 428–434.
PDPPDP-2010-StrandGPCCCEHIIJMOPSSW #framework
A European Infrastructure for Fusion Simulations (PS, BG, ICP, JMC, RC, DC, LGE, MH, FI, FI, AJ, GM, MO, MP, AS, ES, JW), pp. 460–467.
PDPPDP-2010-TskhakayaSSBYW
PIC/MC Code BIT1 for Plasma Simulations on HPC (DT, AS, RS, MB, EY, JW), pp. 476–481.
FASEFASE-2010-TorriniHR #graph transformation #probability
Stochastic Simulation of Graph Transformation Systems (PT, RH, IR), pp. 154–157.
FoSSaCSFoSSaCS-2010-AcciaiBZ #behaviour #logic #on the
On the Relationship between Spatial Logics and Behavioral Simulations (LA, MB, GZ), pp. 146–160.
TACASTACAS-2010-AbdullaCHMV #anti
When Simulation Meets Antichains (PAA, YFC, LH, RM, TV), pp. 158–174.
CAVCAV-2010-AbdullaCCHHMV #automaton #testing
Simulation Subsumption in Ramsey-Based Büchi Automata Universality and Inclusion Testing (PAA, YFC, LC, LH, CDH, RM, TV), pp. 132–147.
ICSTICST-2010-Bubevski #assessment #risk management #testing
An Application of Six Sigma and Simulation in Software Testing Risk Assessment (VB), pp. 295–302.
ICTSSICTSS-2010-VeanesB
Alternating Simulation and IOCO (MV, NB), pp. 47–62.
QoSAQoSA-2009-BjornanderGL #architecture #specification #state machine
Timed Simulation of Extended AADL-Based Architecture Specifications with Timed Abstract State Machines (SB, LG, KL), pp. 101–115.
SIGITESIGITE-2009-OhMP #education #encryption #internet #protocol #using
Teaching high-assurance internet protocol encryption (haipe) using opnet modeler simulation tool (THO, SM, YP), pp. 161–165.
SIGITESIGITE-2009-Stanley #architecture #education #hardware #logic #network #operating system #using
Using digital logic simulation as a teaching aid in discrete mathematics, hardware and operating systems, networking, computer organization and computer architecture: a workshop outline (TDS), pp. 1–2.
SIGITESIGITE-2009-StanleyC #learning
Rhythm learning with electronic simulation (TDS, DC), pp. 24–28.
DLTDLT-2009-Petersen #bound
Simulations by Time-Bounded Counter Machines (HP), pp. 410–418.
SEFMSEFM-2009-HieronsN #distributed #interface
Simulation Relations for Systems with Distributed Interfaces (RMH, MN), pp. 41–50.
CoGCIG-2009-PenaOP #framework #named
vBattle: A new framework to simulate medium-scale battles in individual-per-individual basis (LP, SO, JMPS), pp. 61–68.
CoGCIG-2009-Vaccaro #framework #game studies #modelling
Modeling and simulation framework of real urban and board games to train players (JMV).
DiGRADiGRA-2009-JensonC #game studies
From Simulation to Imitation: New Controllers, New Forms of Play (JJ, SdC).
DiGRADiGRA-2009-Salazar #framework #multi #using
Simulating a Quasi-Simulation: A framework for using Multi Agent Simulation Techniques for studying MMORPGs (JS).
FDGFDG-2009-KaramouzasGO
Indicative routes for path planning and crowd simulation (IK, RG, MHO), pp. 113–120.
HCIDHM-2009-AmantiniC #behaviour #fault #predict
A Simple Simulation Predicting Driver Behavior, Attitudes and Errors (AA, PCC), pp. 345–354.
HCIDHM-2009-ChouvardaM #performance
Hyperkalemia vs. Ischemia Effects in Fast or Unstable Pacing: A Cardiac Simulation Study (IC, NM), pp. 492–501.
HCIDHM-2009-JunLS #approach #fault
A Simulation Approach to Understand the Viability of RFID Technology in Reducing Medication Dispensing Errors (EJ, JL, XS), pp. 531–539.
HCIDHM-2009-LudtkeWOW #behaviour #fault #modelling
Modeling Pilot and Driver Behavior for Human Error Simulation (AL, LW, JPO, BW), pp. 403–412.
HCIDHM-2009-MarshallCSSGD #design
Virtual Task Simulation for Inclusive Design (RM, KC, SJS, RES, DG, PD), pp. 700–709.
HCIDHM-2009-PitarchYA
Virtual Human Hand: Grasping and Simulation (EPP, JY, KAM), pp. 140–149.
HCIHCD-2009-Chu #design #evaluation
User-Centered Mouse Access Evaluation Design: Windows-Based Simulation Technology (CNC), pp. 41–45.
HCIHCD-2009-Stephane #analysis #behaviour #predict
User Behavior Patterns: Gathering, Analysis, Simulation and Prediction (LS), pp. 322–331.
HCIHCI-NT-2009-DahlAS #mobile #usability
Evaluating Mobile Usability: The Role of Fidelity in Full-Scale Laboratory Simulations with Mobile ICT for Hospitals (YD, OAA, DS), pp. 232–241.
HCIHCI-VAD-2009-ZhangLBAMY #development #learning #visualisation
Development of a Visualised Sound Simulation Environment: An e-Approach to a Constructivist Way of Learning (JZ, BL, IB, LA, YM, SY), pp. 266–275.
ICEISICEIS-HCI-2009-FanSTD #evolution
Simulation of Forest Evolution — Effects of Environmental Factors to Trees Growth (JF, XyS, YT, TyD), pp. 66–71.
ICEISICEIS-ISAS-2009-LiM #development
A Simulation Model for Managing Engineering Changes Along with New Product Development (WL, YBM), pp. 13–18.
ICEISICEIS-J-2009-CastroS #named
AgEx: A Financial Market Simulation Tool for Software Agents (PALdC, JSS), pp. 704–715.
CIKMCIKM-2009-JinSLY #algorithm #flexibility
A flexible simulation environment for flash-aware algorithms (PJ, XS, ZL, LY), pp. 2093–2094.
ICMLICML-2009-SilverT #monte carlo
Monte-Carlo simulation balancing (DS, GT), pp. 945–952.
SEKESEKE-2009-SeoL #evolution #open source #process
Agent-based Simulation Model for the Evolution Process of Open Source Software (TS, HL), pp. 170–177.
SEKESEKE-2009-Tappan #component #modelling #physics
Pedagogy-oriented Software Modeling and Simulation of Component-based Physical Systems (DT), pp. 295–300.
MODELSMoDELS-2009-FleureyS #adaptation #execution #modelling #specification
A Domain Specific Modeling Language Supporting Specification, Simulation and Execution of Dynamic Adaptive Systems (FF, AS), pp. 606–621.
MODELSMoDELS-2009-FleureyS #adaptation #execution #modelling #specification
A Domain Specific Modeling Language Supporting Specification, Simulation and Execution of Dynamic Adaptive Systems (FF, AS), pp. 606–621.
TOOLSTOOLS-EUROPE-2009-KnorreckAP #design #performance
Fast Simulation Techniques for Design Space Exploration (DK, LA, RP), pp. 308–327.
AdaSIGAda-2009-DelangePPKSK #using #validation
Validate, simulate, and implement ARINC653 systems using the AADL (JD, LP, AP, MK, FS, FK), pp. 31–44.
ASEASE-2009-BiermannEEH #eclipse #framework #generative #modelling
Generation of Simulation Views for Domain Specific Modeling Languages Based on the Eclipse Modeling Framework (EB, KE, CE, JH), pp. 625–629.
ASEASE-2009-LemonRMPDCPPLP #agile #development
Applications of Simulation and AI Search: Assessing the Relative Merits of Agile vs Traditional Software Development (BL, AR, TM, JP, JD, RC, TP, FP, HL, DP), pp. 580–584.
ESEC-FSEESEC-FSE-2009-Lopes #modelling
The massification and webification of systems’ modeling and simulation with virtual worlds (CVL), pp. 63–70.
SACSAC-2009-AhulloLAA #network
An extensible simulation tool for overlay networks and services (JPA, PGL, MSA, MAA), pp. 2072–2076.
SACSAC-2009-BandiniBV #design #self
Simulation supporting the design of self-organizing ambient intelligent systems (SB, AB, GV), pp. 2082–2086.
SACSAC-2009-BerardNT #fault
Sources of error in a rigid body simulation of rigid parts on a vibrating rigid plate (SB, BN, JCT), pp. 1181–1185.
SACSAC-2009-BuckerRRW #automation #parallel #problem
Semi-automatic parallelization of direct and inverse problems for geothermal simulation (HMB, AR, VR, AW), pp. 971–975.
SACSAC-2009-CasadeiV #design #model checking #probability #self #using
Using probabilistic model checking and simulation for designing self-organizing systems (MC, MV), pp. 2103–2104.
SACSAC-2009-DrumwrightS #robust
A robust and tractable contact model for dynamic robotic simulation (ED, DAS), pp. 1176–1180.
SACSAC-2009-EstevesRFO #concept #framework #implementation #platform
Conceptualization and implementation of a microscopic pedestrian simulation platform (EFE, RJFR, PAFF, ECO), pp. 2105–2106.
SACSAC-2009-FachadaLR
Simulating antigenic drift and shift in influenza A (NF, VVL, ACR), pp. 2093–2100.
SACSAC-2009-FranqueiraLE #framework #mobile #modelling #multi
Multi-step attack modelling and simulation (MsAMS) framework based on mobile ambients (VNLF, RHCL, PvE), pp. 66–73.
SACSAC-2009-KangCRRV #adaptation #composition #implementation #probability
Modular implementation of adaptive decisions in stochastic simulations (PK, YC, NR, CJR, SV), pp. 995–1001.
SACSAC-2009-LeezerZ
Simulating human intuitive decisions by Q-learning (JL, YZ), pp. 2077–2081.
SACSAC-2009-RossiTV #process
Simulating business processes with EPML.SIM (DR, ET, FV), pp. 2101–2102.
SACSAC-2009-ShellD #precise
Precise generalized contact point and normal determination for rigid body simulation (DAS, ED), pp. 2107–2108.
SACSAC-2009-ThanheiserLS #approach #assessment #named
SimSOA: an approach for agent-based simulation and design-time assessment of SOC-based IT systems (ST, LL, HS), pp. 2162–2169.
CASECASE-2009-BijulalVH #metric
Stability considerations and service level measures in production — inventory systems: a simulation study (DB, JV, NH), pp. 489–494.
CASECASE-2009-GaoKJD #automation #modelling
Semi-automated soft-tissue acquisition and modeling for surgical simulation (ZG, TK, DLJ, JPD), pp. 268–273.
DACDAC-2009-AbercrombiePC #design #equation #using
Use of lithography simulation for the calibration of equation-based design rule checks (DA, FP, CC), pp. 67–70.
DACDAC-2009-ChatterjeeDB
Event-driven gate-level simulation with GP-GPUs (DC, AD, VB), pp. 557–562.
DACDAC-2009-DengCS #authentication #hardware #performance
Hardware authentication leveraging performance limits in detailed simulations and emulations (DYD, AHC, GES), pp. 682–687.
DACDAC-2009-DongL #integration #performance
Parallelizable stable explicit numerical integration for efficient circuit simulation (WD, PL), pp. 382–385.
DACDAC-2009-IsshikiLKIS #multi
Trace-driven workload simulation method for Multiprocessor System-On-Chips (TI, DL, HK, TI, KS), pp. 232–237.
DACDAC-2009-WangCL #network #satisfiability #scalability
Simulation and SAT-based Boolean matching for large Boolean networks (KHW, CMC, JCL), pp. 396–401.
DACDAC-2009-WangH #approach #embedded #performance
An efficient approach for system-level timing simulation of compiler-optimized embedded software (ZW, AH), pp. 220–225.
DACDAC-2009-Zhu
A parameterized mask model for lithography simulation (ZZ), pp. 963–968.
DATEDATE-2009-ChatterjeeDB #named
GCS: High-performance gate-level simulation with GPGPUs (DC, AD, VB), pp. 1332–1337.
DATEDATE-2009-ChenW #3d #modelling
New simulation methodology of 3D surface roughness loss for interconnects modeling (QC, NW), pp. 1184–1189.
DATEDATE-2009-DarbariAFB #design #using
Selective state retention design using symbolic simulation (AD, BMAH, DF, JB), pp. 1644–1649.
DATEDATE-2009-FummiPQA #energy #flexibility #network
Flexible energy-aware simulation of heterogenous wireless sensor networks (FF, GP, DQ, AA), pp. 1638–1643.
DATEDATE-2009-KirchnerBG #using
Analogue mixed signal simulation using spice and SystemC (TK, NB, CG), pp. 284–287.
DATEDATE-2009-MaricauG #performance #reliability #variability
Efficient reliability simulation of analog ICs including variability and time-varying stress (EM, GGEG), pp. 1238–1241.
DATEDATE-2009-PengC #parallel
Parallel transistor level full-chip circuit simulation (HP, CKC), pp. 304–307.
DATEDATE-2009-TrautmannMBDUDPC #case study #framework
Simulation framework for early phase exploration of SDR platforms: A case study of platform dimensioning (MT, SM, BB, JD, EU, AD, LVdP, FC), pp. 312–315.
DATEDATE-2009-ZabelM #injection
Increased accuracy through noise injection in abstract RTOS simulation (HZ, WM), pp. 1632–1637.
PPoPPPPoPP-2009-YauKZDP #parallel
Application-aware management of parallel simulation collections (SY, VK, DZ, KD, SGP), pp. 35–44.
STOCSTOC-2009-CleveGMSY #algorithm #performance #quantum #query
Efficient discrete-time simulations of continuous-time quantum query algorithms (RC, DG, MM, RDS, DLYM), pp. 409–416.
ICSTSAT-2009-SchaafsmaHM #symmetry
Dynamic Symmetry Breaking by Simulating Zykov Contraction (BS, MH, HvM), pp. 223–236.
WICSAWICSA-2008-MucciniP #analysis #architecture #functional
Simulating Software Architectures for Functional Analysis (HM, PP), pp. 289–292.
HTHT-2008-GaffneyDW #authoring #overview #tool support
A survey of soft skill simulation authoring tools (CG, DD, VW), pp. 181–186.
ITiCSEITiCSE-2008-Albin-ClarkK #game studies #using
The use of role play to simulate a tethered swarm of robots for urban search and rescue (USAR) (AAC, TRVAK), p. 335.
ITiCSEITiCSE-2008-PoplawskiK #design #logic #named
JLS: a pedagogically targeted logic design and simulation tool (DAP, ZK), p. 314.
AFLAFL-2008-Bartha #automaton #equivalence
Simulation equivalence of automata and circuits (MB), pp. 86–99.
FMFM-2008-KitchinPM #distributed #logic
Simulation, Orchestration and Logical Clocks (DK, EP, JM), p. 34.
RTARTA-2008-Schmidt-SchaussM #call-by #finite #nondeterminism #λ-calculus
A Finite Simulation Method in a Non-deterministic Call-by-Need λ-Calculus with Letrec, Constructors, and Case (MSS, EM), pp. 321–335.
SFMSFM-2008-Gillespie #biology
Simulation Methods in Systems Biology (DTG), pp. 125–167.
CoGCIG-2008-JangC #evolution #game studies #realtime
Evolving neural NPCs with layered influence map in the real-time simulation game 'Conqueror' (SHJ, SBC), pp. 385–388.
CoGCIG-2008-ShibaharaK #monte carlo
Combining final score with winning percentage by sigmoid function in Monte-Carlo simulations (KS, YK), pp. 183–190.
GT-VMTGT-VMT-2006-KovacsG08 #analysis #formal method #modelling #workflow
Simulation and Formal Analysis of Workflow Models (MK, LG), pp. 221–230.
GT-VMTGT-VMT-2008-Vangheluwe #modelling
Foundations of Modelling and Simulation of Complex Systems (HV).
CAiSECAiSE-2008-SpiessNWMB #analysis #modelling #performance #process #ubiquitous
Modelling, Simulation, and Performance Analysis of Business Processes Involving Ubiquitous Systems (PS, DKN, IW, IM, MB), pp. 579–582.
SEKESEKE-2008-ErsonC #framework
A Software Framework for Integrative Physiological Model Simulation (EZE, MCC), pp. 333–338.
SIGIRSIGIR-2008-LinS #automation #evaluation #how #towards
How do users find things with PubMed?: towards automatic utility evaluation with user simulations (JJL, MDS), pp. 19–26.
ECMFAECMDA-FA-2008-KuhnG #configuration management #modelling #platform #testing
Model-Driven Platform-Specific Testing through Configurable Simulations (TK, RG), pp. 278–293.
ECMFAECMDA-FA-2008-MonperrusJMCHJ #modelling
Model-Driven Simulation of a Maritime Surveillance System (MM, FJ, GM, JC, BH, JMJ), pp. 361–368.
REFSQREFSQ-2008-Daneva #concept #enterprise #estimation
Integrating Portfolio Management and Simulation Concepts in the ERP Project Estimation Practice (MD), pp. 147–152.
ASEASE-2008-PortOM #requirements #using
Using Simulation to Investigate Requirements Prioritization Strategies (DP, AO, TM), pp. 268–277.
ASEASE-2008-SchumannGPMB #analysis #parametricity #scalability #tool support
Tool Support for Parametric Analysis of Large Software Simulation Systems (JS, KGB, CSP, TM, TB), pp. 497–498.
SACSAC-2008-AveglianoS #named
RePart: a reputation-based simulation tool for partnership formation (PA, JSS), pp. 46–47.
SACSAC-2008-ConceicaoDFB #scalability
Large-scale simulation of V2V environments (HC, LD, MF, JB), pp. 28–33.
SACSAC-2008-Klugl #validation
A validation methodology for agent-based simulations (FK), pp. 39–43.
SACSAC-2008-LiJS #coordination #distributed
Coordination schemes in distributed simulation of relativistic particle transport (RL, HJ, HCS), pp. 44–45.
SACSAC-2008-MitrofanovaM #evolution #modelling
Population genetics of human copy number variations: models and simulation of their evolution along and across the genomes (AM, BM), pp. 1309–1310.
SACSAC-2008-PracaVVR
Agent-based simulation of electronic marketplaces with decision support (IP, MJV, ZAV, CR), pp. 3–7.
SACSAC-2008-SouzaOVO #approach #predict #statistics
A statistical approach for prediction of projects based on simulation (MMdS, HCBdO, AMLdV, SRBO), pp. 23–27.
SACSAC-2008-VizzariPS #3d #execution #framework #visualisation
A framework for execution and 3D visualization of situated cellular agent based crowd simulations (GV, GP, FSCdS), pp. 18–22.
SACSAC-2008-WalterG #approach #multi
Electricity market simulation: multiagent system approach (IW, FACG), pp. 34–38.
CASECASE-2008-BeghiBBC #algorithm #design
A simulation environment for dry-expansion evaporators with application to the design of autotuning control algorithms for electronic (AB, UB, CB, LC), pp. 814–820.
CASECASE-2008-BiasiSLI #network #process
Simulation of process control with WirelessHART networks subject to packet losses (MDB, CS, KL, AJI), pp. 548–553.
CASECASE-2008-XiaoW #anti #evaluation
Simulation and effects evaluation of anti-galloping devices for overhead transmission lines (XX, JW), pp. 808–813.
CASECASE-2008-ZhaoYZHLG #performance
Efficient simulation for serial production lines based on aggregated event-scheduling (YZ, CBY, QZ, NH, JL, XG), pp. 406–411.
DACDAC-2008-DongLY #manycore #named #parallel
WavePipe: parallel transient simulation of analog and digital circuits on multi-core shared-memory machines (WD, PL, XY), pp. 238–243.
DACDAC-2008-GaoKKLAM #estimation #hybrid #multi #performance #using
Multiprocessor performance estimation using hybrid simulation (LG, KK, SK, RL, GA, HM), pp. 325–330.
DACDAC-2008-GulatiK #fault #towards #using
Towards acceleration of fault simulation using graphics processing units (KG, SPK), pp. 822–827.
DACDAC-2008-HsuPB #data flow #graph #parallel #thread
Multithreaded simulation for synchronous dataflow graphs (CJH, JLP, SSB), pp. 331–336.
DACDAC-2008-JinC #benchmark #case study #metric #performance #statistics #using
Improve simulation efficiency using statistical benchmark subsetting: an ImplantBench case study (ZJ, ACC), pp. 970–973.
DACDAC-2008-SchnerrBVR #embedded
High-performance timing simulation of embedded software (JS, OB, AV, WR), pp. 290–295.
DACDAC-2008-YeLNC #modelling #statistics
Statistical modeling and simulation of threshold variation under dopant fluctuations and line-edge roughness (YY, FL, SRN, YC), pp. 900–905.
DATEDATE-2008-AllecKS #adaptation
Adaptive Simulation for Single-Electron Devices (NA, RGK, LS), pp. 1021–1026.
DATEDATE-2008-AronsEOSS #low level #performance
Efficient Symbolic Simulation of Low Level Software (TA, EE, SO, JS, ES), pp. 825–830.
DATEDATE-2008-EngelkePSB #fault #industrial
Resistive Bridging Fault Simulation of Industrial Circuits (PE, IP, JS, BB), pp. 628–633.
DATEDATE-2008-GerinGP #implementation #performance
Efficient Implementation of Native Software Simulation for MPSoC (PG, XG, FP), pp. 676–681.
DATEDATE-2008-Liu08a #correlation #performance #random
Spatial Correlation Extraction via Random Field Simulation and Production Chip Performance Regression (BL), pp. 527–532.
DATEDATE-2008-MeyerowitzSSL #multi
Source-Level Timing Annotation and Simulation for a Heterogeneous Multiprocessor (TM, ALSV, MS, DL), pp. 276–279.
DATEDATE-2008-RadetzkiK #adaptation #modelling #transaction
Accuracy-Adaptive Simulation of Transaction Level Models (MR, RSK), pp. 788–791.
DATEDATE-2008-SamiiREP #distributed #estimation #realtime #worst-case
A Simulation Methodology for Worst-Case Response Time Estimation of Distributed Real-Time Systems (SS, SR, PE, ZP), pp. 556–561.
HPCAHPCA-2008-KuskinYGBDDS #flexibility
Incorporating flexibility in Anton, a specialized machine for molecular dynamics simulation (JK, CY, JPG, BB, MMD, ROD, DES), pp. 343–354.
HPCAHPCA-2008-LarsonSDDYGSKS #interactive
High-throughput pairwise point interactions in Anton, a specialized machine for molecular dynamics simulation (RHL, JKS, ROD, MMD, CY, JPG, YS, JLK, DES), pp. 331–342.
HPCAHPCA-2008-LinLDZZS #clustering #manycore
Gaining insights into multicore cache partitioning: Bridging the gap between simulation and real systems (JL, QL, XD, ZZ, XZ, PS), pp. 367–378.
PDPPDP-2008-AggarwalAFTM #behaviour #modelling
Reflecting P2P User Behaviour Models in a Simulation Environment (VA, OA, AF, RT, SM), pp. 516–523.
PDPPDP-2008-BoudaniCSS #algorithm
Internet-Scale Simulations of a Peer Selection Algorithm (AB, YC, GS, GS), pp. 531–535.
PDPPDP-2008-DinhLTM #distributed #network #scalability
Large Scale Distributed Simulation of p2p Networks (TTAD, ML, GKT, RM), pp. 499–507.
PDPPDP-2008-KotenkoU #distributed #internet
Packet Level Simulation of Cooperative Distributed Defense against Internet Attacks (IVK, AU), pp. 565–572.
PDPPDP-2008-KrenekPKFSDSWM #analysis #multi #using
Multiple Ligand Trajectory Docking Study — Semiautomatic Analysis of Molecular Dynamics Simulations using EGEE gLite Services (AK, MP, JK, JF, ZS, FD, JS, JW, LM), pp. 447–454.
PDPPDP-2008-LinC #algorithm #clustering #graph #internet #named #parallel
BC-GA: A Graph Partitioning Algorithm for Parallel Simulation of Internet Applications (SL, XC), pp. 358–365.
PDPPDP-2008-MerzPW #coordination #distributed #framework #network #using
A Simulation Framework for Distributed Super-Peer Topology Construction Using Network Coordinates (PM, MP, SW), pp. 491–498.
FoSSaCSFoSSaCS-2008-Goubault-Larrecq #game studies #infinity #probability
Simulation Hemi-metrics between Infinite-State Stochastic Games (JGL), pp. 50–65.
TACASTACAS-2008-AbdullaBHKV #automaton
Computing Simulations over Tree Automata (PAA, AB, LH, LK, TV), pp. 93–108.
CAVCAV-2008-GlabbeekP #algorithm
Correcting a Space-Efficient Simulation Algorithm (RJvG, BP), pp. 517–529.
CAVCAV-2008-KimJRSPKS #analysis #random
Application of Formal Word-Level Analysis to Constrained Random Simulation (HK, HJ, KR, PS, JP, RPK, FS), pp. 487–490.
ICSTICST-2008-BoulangerH #modelling #multi
Simulation of Multi-Formalism Models with ModHel’X (FB, CH), pp. 318–327.
VMCAIVMCAI-2008-Goldberg #on the #verification
On Bridging Simulation and Formal Verification (EG), pp. 127–141.
VMCAIVMCAI-2008-KupfermanL #automaton #game studies #logic #multi
Multi-valued Logics, Automata, Simulations, and Games (OK, YL), p. 5.
CSEETCSEET-2007-NavarroH #education #evaluation #re-engineering
Comprehensive Evaluation of an Educational Software Engineering Simulation Environment (EON, AvdH), pp. 195–202.
ICALPICALP-2007-LuttgenV #concurrent #exclamation #logic
Ready Simulation for Concurrency: It’s Logical! (GL, WV), pp. 752–763.
LATALATA-2007-AblayevG #branch #complexity #quantum #source code
Classical Simulation Complexity of Quantum Branching Programs (FMA, AG), pp. 49–56.
CoGCIG-2007-SailerBL
Adversarial Planning Through Strategy Simulation (FS, MB, ML), pp. 80–87.
CoGCIG-2007-WangG #monte carlo
Modifications of UCT and sequence-like simulations for Monte-Carlo Go (YW, SG), pp. 175–182.
AGTIVEAGTIVE-2007-ErmelE #analysis #configuration management #visualisation
Visualization, Simulation and Analysis of Reconfigurable Systems (CE, KE), pp. 265–280.
AGTIVEAGTIVE-2007-FussT #algorithm #graph transformation #model transformation #transformation language
Simulating Set-Valued Transformations with Algorithmic Graph Transformation Languages (CF, VET), pp. 442–455.
GT-VMTGT-VMT-2007-BonevaHKR #graph transformation #multi #using
Simulating Multigraph Transformations Using Simple Graphs (IB, FH, HK, AR).
HCIDHM-2007-AndreoniRP
Simulation of Complex Human Movement Through the Modulation of Observed Motor Tasks (GA, MR, AP), pp. 3–12.
HCIDHM-2007-BeurierCMTW #approach #data-driven #database #using
Simulation of Digital Human Hand Postures of Car Controls Using a Data Based Approach (GB, NC, GM, JT, XW), pp. 13–22.
HCIDHM-2007-ChoiKHSKHLPMMHL #modelling
Human Body Modeling for Riding Comfort Simulation (HYC, KMK, JH, SS, SHK, SHH, KNL, JKP, NM, CM, EH, IL), pp. 813–823.
HCIDHM-2007-ColomboFRR #design
ICT Methodologies to Model and Simulate Parts of Human Body for Prosthesis Design (GC, SF, PR, CR), pp. 559–568.
HCIDHM-2007-DionysiouSM #biology #image #multi
Simulating Cancer Radiotherapy on a Multi-level Basis: Biology, Oncology and Image Processing (DDD, GSS, KM), pp. 569–575.
HCIDHM-2007-DongWY #research
Experimental Research on Human Body Motion Simulation Based on the Motion Capture Technology (DD, LW, XY), pp. 42–47.
HCIDHM-2007-GuoL
Hand Grasping Motion Simulation for Astronauts Training (QG, YL), pp. 101–109.
HCIDHM-2007-Kim07b #modelling #research
Computer Graphic Modeling and Simulation of Human Musculoskeletal System for Biomechanical Research (YHK), pp. 136–143.
HCIDHM-2007-LiuLC #framework #named #platform
AIPlayer: A Platform of Intelligent Simulation of Virtual Human in Virtual Environment (JL, YL, JC), pp. 434–442.
HCIDHM-2007-LiZ #framework #modelling
The Strength Factor in Digital Human Modeling and Simulation: A Case for a New Framework (KL, XZ), pp. 144–146.
HCIDHM-2007-Ma #development
Latest Development of an Interventional Radiology Training Simulation System: NeuroCath (XM), pp. 684–693.
HCIDHM-2007-MaglaverasC
Methodologies to Evaluate Simulations of Cardiac Tissue Abnormalities at a Cellular Level (NM, IC), pp. 694–702.
HCIDHM-2007-WertherMR #analysis #petri net #process
Colored Petri Net Based Formal Airport Control Model for Simulation and Analysis of Airport Control Processes (BW, CM, MR), pp. 1027–1036.
HCIDHM-2007-WuHCLWW #modelling #research
Research on Modeling of Complicate Traffic Simulation System (JW, LH, JC, ML, DW, MW), pp. 1037–1046.
HCIDHM-2007-ZhengLODK #corpus
Human Motion Simulation and Action Corpus (GZ, WL, PO, LD, IK), pp. 314–322.
HCIHCI-IPT-2007-ZhengSS #3d #physics #sketching #web
A 3D Sketching Interacting Tool for Physical Simulation Based on Web (ZZ, LS, SS), pp. 710–719.
HCIHIMI-MTT-2007-TagawaHH #case study #interactive
A Study on Haptic Interaction and Simulation of Motion and Deformation of Elastic Object (KT, KH, MH), pp. 985–993.
ICEISICEIS-AIDSS-2007-SohniusJME #analysis #approach #assessment #design #performance
An Approach for Assessing Design Systems: Design System Simulation and Analysis for Performance Assessment (RS, EJ, WEM, VE), pp. 231–236.
ICEISICEIS-J-2007-Barjis07a #modelling #process #using
A Business Process Modeling and Simulation Method Using DEMO (JB), pp. 254–265.
AdaSIGAda-2007-PukiteL #design #logic #using
Generic discrete event simulations using DEGAS: application to logic design and digital signal processing (PP, LL), pp. 27–40.
SACSAC-2007-FurtadoMCM #modelling #network #social
A crime simulation model based on social networks and swarm intelligence (VF, AM, ALVC, RM), pp. 56–57.
CASECASE-2007-AlbieriBBC #design
A Simulation Environment for the Design of Advanced Chiller Control Systems (MA, AB, CB, LC), pp. 962–967.
CASECASE-2007-AugustoXG #framework #health #modelling
A Framework for the Modeling and Simulation of Health Care Systems (VA, XX, FG), pp. 231–236.
CASECASE-2007-KwonM #framework
SysML-based Simulation Framework for Semiconductor Manufacturing (KSK, LFM), pp. 1075–1080.
CASECASE-2007-NagarshethSP
Computer Simulation of Dynamics of Human Leg (HJN, SVS, MAP), pp. 249–254.
CASECASE-2007-RizziBL #3d #artificial reality #automation #image #modelling
Automating the Extraction of 3D Models from Medical Images for Virtual Reality and Haptic Simulations (SHRR, PPB, CL), pp. 152–157.
CASECASE-2007-VanijjirattikhanKCS #biology #modelling #petri net #process
Timed Petri Net Modeling and Simulation of a High-Throughput Biological Screening Process (RV, DK, MYC, NS), pp. 442–447.
CASECASE-2007-XiaoWL #flexibility
Dynamic Coupling Simulation of a Power Transmission Line Inspection Robot with its Flexible Moving Path when Overcoming Obstacles (XX, GW, SL), pp. 326–331.
CASECASE-2007-ZimmermannS #modelling #precise
Modeling for Simulation and Control of a X-Y High Precision Positioning Table (JZ, OS), pp. 1093–1098.
DACDAC-2007-BharathESUY #performance
Computationally Efficient Power Integrity Simulation for System-on-Package Applications (KB, EE, MS, KU, TY), pp. 612–617.
DACDAC-2007-DongL #performance #using
Accelerating Harmonic Balance Simulation Using Efficient Parallelizable Hierarchical Preconditioning (WD, PL), pp. 436–439.
DACDAC-2007-PaulaH #effectiveness
An Effective Guidance Strategy for Abstraction-Guided Simulation (FMdP, AJH), pp. 63–68.
DACDAC-2007-SinghalBSLNC #analysis #modelling
Modeling and Analysis of Non-Rectangular Gate for Post-Lithography Circuit Simulation (RS, AB, ARS, FL, SRN, YC), pp. 823–828.
DACDAC-2007-YangG
Simulating Improbable Events (SY, MRG), pp. 154–157.
DATEDATE-2007-AlessioFQT #design #embedded #modelling
Modeling and simulation alternatives for the design of networked embedded systems (EA, FF, DQ, MT), pp. 1030–1035.
DATEDATE-2007-BronckersSPVR #analysis #interactive #verification
Interactive presentation: Simulation methodology and experimental verification for the analysis of substrate noise on LC-VCO’s (SB, CS, GVdP, GV, YR), pp. 1520–1525.
DATEDATE-2007-DerbekSWWPP #framework #platform
Simulation platform for UHF RFID (VD, CS, RW, DW, JPP, MP), pp. 918–923.
DATEDATE-2007-EckerESSVH #abstraction #interactive #performance #representation
Interactive presentation: Impact of description language, abstraction layer, and value representation on simulation performance (WE, VE, LS, TS, MV, MH), pp. 767–772.
DATEDATE-2007-HuangMW #design #modelling
Modeling and simulation to the design of SigmaDelta fractional-N frequency synthesizer (SH, HM, ZW), pp. 291–296.
DATEDATE-2007-JanapsatyaIPH #agile
Instruction trace compression for rapid instruction cache simulation (AJ, AI, SP, JH), pp. 803–808.
DATEDATE-2007-KimHG #multi #named #transaction
CATS: cycle accurate transaction-driven simulation with multiple processor simulators (DK, SH, RG), pp. 749–754.
DATEDATE-2007-KrauseBHTR #component
Timing simulation of interconnected AUTOSAR software-components (MK, OB, AH, GT, WR), pp. 474–479.
DATEDATE-2007-MossNFFBA #hardware #performance
Seamless hardware/software performance co-monitoring in a codesign simulation environment with RTOS support (LM, MdN, LF, SF, GB, EMA), pp. 876–881.
DATEDATE-2007-NaguibG #process
Speeding up SystemC simulation through process splitting (YNN, RSG), pp. 111–116.
DATEDATE-2007-SchneiderSKW #interactive #statistics
Interactive presentation: Statistical simulation of high-frequency bipolar circuits (WS, MS, WK, HW), pp. 1397–1402.
DATEDATE-2007-SingheeR #monte carlo #novel #performance #statistics
Statistical blockade: a novel method for very fast Monte Carlo simulation of rare circuit events, and its application (AS, RAR), pp. 1379–1384.
HPDCHPDC-2007-RidruejoMN #component #concept #distributed #memory management #parallel
Concepts and components of full-system simulation of distributed memory parallel computers (FJRP, JMA, JN), pp. 225–226.
PDPPDP-2007-BinzenhoferHKE #data type #network #performance #scalability
Efficient Simulation of Large-Scale P2P Networks: Compact Data Structures (AB, TH, GK, KE), pp. 467–474.
PDPPDP-2007-DaneseLBGNS #monte carlo
An Application Specific Processor for Montecarlo Simulations (GD, FL, MB, MG, NN, AS), pp. 262–269.
PDPPDP-2007-KunzmannNHBE #modelling #network #performance #scalability
Efficient Simulation of Large-Scale P2P Networks: Modeling Network Transmission Times (GK, RN, TH, AB, KE), pp. 475–481.
PDPPDP-2007-OrtizODP #protocol
Analyzing the benefits of protocol offload by full-system simulation (AO, JO, AFD, AP), pp. 229–237.
PPoPPPPoPP-2007-WenWM #distributed #named #network #scalability
Disens: scalable distributed sensor network simulation (YW, RW, GM), pp. 24–34.
ESOPESOP-2007-HasuoK #algebra #probability
Probabilistic Anonymity Via Coalgebraic Simulations (IH, YK), pp. 379–394.
TACASTACAS-2007-ZhangHEJ #algorithm #performance #probability
Flow Faster: Efficient Decision Algorithms for Probabilistic Simulations (LZ, HH, FE, DNJ), pp. 155–169.
CAVCAV-2007-OuimetL #realtime #specification #tool support #verification
The TASM Toolset: Specification, Simulation, and Formal Verification of Real-Time Systems (MO, KL), pp. 126–130.
LICSLICS-2007-RanzatoT #algorithm #equivalence #performance
A New Efficient Simulation Equivalence Algorithm (FR, FT), pp. 171–180.
ITiCSEITiCSE-2006-HoodH #education #project management #using
Teaching software project management using simulations (DJH, CSH), pp. 289–293.
ITiCSEITiCSE-2006-IbbettCD #architecture #modelling
Computer architecture simulation models (RNI, JCDyC, DAWD), p. 353.
DLTDLT-2006-FritzW #automaton #game studies
Simulation Relations for Alternating Parity Automata and Parity Games (CF, TW), pp. 59–70.
SFMSFM-2006-BombieriFP #design #hardware #verification
Hardware Design and Simulation for Verification (NB, FF, GP), pp. 1–29.
AIIDEAIIDE-2006-BlythH #multi #named
CrowdMixer: Multiple Agent Types in Situation-Based Crowd Simulations (SB, HJH), pp. 15–20.
AIIDEAIIDE-2006-Knight #lightweight #network #using
Lightweight Simulation of Air Traffic Control Using Simple Temporal Networks (RK), pp. 48–53.
AIIDEAIIDE-2006-Murray #game studies
Intelligent Tutoring Systems for Commercial Games: The Virtual Combat Training Center Tutor and Simulation (WRM), pp. 66–71.
AIIDEAIIDE-2006-RiedlSD #interactive
Mixing Story and Simulation in Interactive Narrative (MOR, AS, DMD), pp. 149–150.
CoGCIG-2006-DeanSHMV #modelling
Modelling and Simulation of Combat ID - the INCIDER Model (DD, PS, KH, BM, AV), pp. 156–163.
CHICHI-2006-Moher #distributed #embedded #learning
Embedded phenomena: supporting science learning with classroom-sized distributed simulations (TM), pp. 691–700.
ICEISICEIS-AIDSS-2006-DavidC #empirical #social
Around the Empirical and Intentional References of Agent-Based Simulation in the Social Sciences (ND, HC), pp. 31–38.
ICEISICEIS-HCI-2006-CostagliolaMF
A Simulation Environment to Evaluate Driver Performances while Interacting with Telematics Systems (GC, SDM, FF), pp. 3–10.
ICEISICEIS-J-2006-CostagliolaMF06a
A Simulation Environment to Assess Driving Performances while Interacting with On-board Telematics Systems (GC, SDM, FF), pp. 439–451.
ECIRECIR-2006-KeskustaloJP #feedback #interactive #modelling #quality
The Effects of Relevance Feedback Quality and Quantity in Interactive Relevance Feedback: A Simulation Based on User Modeling (HK, KJ, AP), pp. 191–204.
ICPRICPR-v1-2006-SunSM #classification
The Role of Featural and Configural Information in Face Classification A Simulation of the Expertise Hypothesis (YS, NS, MM), pp. 1166–1170.
ICPRICPR-v3-2006-WangSSL #recognition
Age simulation for face recognition (JW, YS, GS, XL), pp. 913–916.
SEKESEKE-2006-LehmanW #convergence #problem #rule-based
A Rule-Based Expert System for the Diagnosis of Convergence Problems in Circuit Simulation (CWL, MJW), pp. 57–60.
SEKESEKE-2006-SteinmacherLH #analysis #process #using #workflow
Task Anticipation: A Quantitative Analysis Using Workflow Process Simulation (IS, JVdL, EHMH), pp. 601–606.
PEPMPEPM-2006-CooperMG #on the #optimisation #partial evaluation
On the application of partial evaluation to the optimisation of cardiac electrophysiological simulations (JC, SM, AG), pp. 12–20.
PEPMPEPM-2006-Edwards #fixpoint #using
Using program specialization to speed SystemC fixed-point simulation (SAE), pp. 21–28.
SACSAC-2006-CasallasVAL #enterprise #execution #modelling #named
xEAI-rules: executable models to simulate enterprise application cooperation (RC, MV, CA, NL), pp. 1308–1309.
CASECASE-2006-ChenFWCAH #adaptation #distributed #framework
An Adaptive Distributed Simulation Framework for a Server Fulfillment Supply Chain (YC, JWF, TW, TEC, EA, VH), pp. 649–655.
CASECASE-2006-ChenYY #algorithm
Backward-traversing Waveform Relaxation Algorithm for Circuit Simulation and Simulation on Demand (CJC, JLY, TNY), pp. 134–139.
CASECASE-2006-CuiLZ #delivery #design
Design and Simulation of a Piezoelectrically Actuated Micropump for the Drug Delivery System (QC, CL, XFZ), pp. 45–50.
CASECASE-2006-LiuW #multi
Haptic Simulation of Multibody Contact Dynamics for Fixture Loading Planning (TL, MYW), pp. 304–309.
CASECASE-2006-RecaldeMS #analysis #petri net
Improving analysis and simulation of continuous Petri Nets (LR, CM, MS), pp. 9–14.
CASECASE-2006-ZhangA #functional
Tremor Suppression of Elbow Joint via Functional Electrical Stimulation: A Simulation Study (DZ, WTA), pp. 182–187.
CASECASE-2006-ZhangC #framework
A Simulation Framework for Cell Manipulation (LZ, JC), pp. 27–32.
DACDAC-2006-HsuRKPB #data flow #graph #performance
Efficient simulation of critical synchronous dataflow graphs (CJH, SR, MYK, JLP, SSB), pp. 893–898.
DACDAC-2006-NanshiS
Guiding simulation with increasingly refined abstract traces (KN, FS), pp. 737–742.
DACDAC-2006-ShiMYH
Circuit simulation based obstacle-aware Steiner routing (YS, PM, HY, LH), pp. 385–388.
DACDAC-2006-YuL #modelling #statistics
Lookup table based simulation and statistical modeling of Sigma-Delta ADCs (GY, PL), pp. 1035–1040.
DACDAC-2006-ZhangMBC #detection #representation #satisfiability #scalability #symmetry #using
Symmetry detection for large Boolean functions using circuit representation, simulation, and satisfiability (JSZ, AM, RKB, MCJ), pp. 510–515.
DATEDATE-2006-BeltrameSSLP
Exploiting TLM and object introspection for system-level simulation (GB, DS, CS, DL, CP), pp. 100–105.
DATEDATE-2006-KunzliPBT #analysis #formal method #performance
Combining simulation and formal methods for system-level performance analysis (SK, FP, LB, LT), pp. 236–241.
DATEDATE-2006-Maurer #symmetry #using
Using conjugate symmetries to enhance gate-level simulations (PMM), pp. 638–643.
DATEDATE-2006-NakamuraST #integration #performance
An efficient and portable scheduler for RTOS simulation and its certified integration to SystemC (HN, NS, NT), pp. 1157–1158.
DATEDATE-2006-ReyesKBAN #case study #design #modelling
A unified system-level modeling and simulation environment for MPSoC design: MPEG-4 decoder case study (VR, WK, TB, GA, AN), pp. 474–479.
DATEDATE-2006-ViaudPG #modelling #parallel #performance
An efficient TLM/T modeling and simulation environment based on conservative parallel discrete event principles (EV, FP, AG), pp. 94–99.
DATEDATE-2006-ViehlSBR #analysis #design #modelling #performance #uml
Formal performance analysis and simulation of UML/SysML models for ESL design (AV, TS, OB, WR), pp. 242–247.
DATEDATE-2006-WildHO #architecture #evaluation #performance #transaction #using
Performance evaluation for system-on-chip architectures using trace-based transaction level simulation (TW, AH, RO), pp. 248–253.
DATEDATE-DF-2006-BononiC #2d #analysis #architecture #network
Simulation and analysis of network on chip architectures: ring, spidergon and 2D mesh (LB, NC), pp. 154–159.
DATEDATE-DF-2006-FummiQRT #mobile #modelling #network
Modeling and simulation of mobile gateways interacting with wireless sensor networks (FF, DQ, FR, MT), pp. 106–111.
HPCAHPCA-2006-PenryFHWSAC #parallel
Exploiting parallelism and structure to accelerate the simulation of chip multi-processors (DAP, DF, DH, RW, GS, DIA, DC), pp. 29–40.
HPDCHPDC-2006-LegrandQCF #deployment #distributed
The SIMGRID Project Simulation and Deployment of Distributed Applications (AL, MQ, HC, KF), pp. 385–386.
PDPPDP-2006-MarilleauLCP #framework
An Agent Based Framework for Urban Mobility Simulation (NM, CL, PC, LP), pp. 355–361.
PPoPPPPoPP-2006-AlamVAG #performance
Performance characterization of molecular dynamics techniques for biomolecular simulations (SRA, JSV, PKA, AG), pp. 59–68.
FASEFASE-2006-OlveczkyC #algorithm #analysis #maude #realtime #scheduling
Formal Simulation and Analysis of the CASH Scheduling Algorithm in Real-Time Maude (PCÖ, MC), pp. 357–372.
FoSSaCSFoSSaCS-2006-ChenFN #finite #infinity #on the
On Finite Alphabets and Infinite Bases II: Completed and Ready Simulation (TC, WF, SN), pp. 1–15.
CAVCAV-2006-PaulaH #flexibility #framework #named #platform
EverLost: A Flexible Platform for Industrial-Strength Abstraction-Guided Simulation (FMdP, AJH), pp. 282–285.
CSLCSL-2006-Srba #automaton #bisimulation #equivalence
Visibly Pushdown Automata: From Language Equivalence to Simulation and Bisimulation (JS), pp. 89–103.
CSEETCSEET-2005-NavarroH #design #education #evaluation #process
Design and Evaluation of an Educational Software Process Simulation Environment and Associated Model (EON, AvdH), pp. 25–32.
ITiCSEITiCSE-2005-MendesGEMBR #collaboration #using
Using simulation and collaboration in CS1 and CS2 (AJM, AJG, ME, MJM, CB, MAR), pp. 193–197.
ITiCSEITiCSE-2005-OechsleG #algorithm #distributed #framework #implementation #platform
DisASTer (distributed algorithms simulation terrain): a platform for the implementation of distributed algorithms (RO, TG), pp. 44–48.
PASTEPASTE-2005-HampapuramYD #analysis #data flow
Symbolic path simulation in path-sensitive dataflow analysis (HH, YY, MD), pp. 52–58.
CIAACIAA-2005-AnselmoM #2d #automaton #queue
Simulating Two-Dimensional Recognizability by Pushdown and Queue Automata (MA, MM), pp. 43–53.
CIAACIAA-2005-Kresz
Simulation of Soliton Circuits (MK), pp. 347–348.
AIIDEAIIDE-2005-Gompert #realtime
Real-time Simulation of Herds Moving Over Terrain (JMG), pp. 149–150.
CoGCIG-2005-HongC #evolution #game studies #realtime
Evolving Reactive NPCs for the Real-Time Simulation Game (JHH, SBC).
DiGRADiGRA-2005-Galarneau #authentication #case study #experience #game studies #learning
Authentic Learning Experiences Through Play: Games, Simulations and the Construction of Knowledge (LG).
DiGRADiGRA-2005-Gomes #design
The design of narrative as an immersive simulation (RG).
DiGRADiGRA-2005-Helio
Simulating the Storytelling Qualities of Life: Telling Stories with the Sims (SH).
DiGRADiGRA-2005-OwstonKHP #evaluation #game studies #usability #using
Evaluation of Web-based Games and Simulations Using the Virtual Usability Laboratory (RDO, AK, FH, KP).
DiGRADiGRA-2005-PaulHT #communication #concept #student
Modding NeverWinter Nights: a Simulation for Reinforcing Information Seeking Concepts for Mass Communication Students (NP, KH, MT).
DiGRADiGRA-2005-SaariRLT05a #game studies #health #persuasion
Persuasive Games and Simulations for Personal Health Management (TS, NR, JL, MT).
DiGRADiGRA-2005-SauveKR #game studies
Games, Simulations and Simulation Games : theoretical underpinnings (LS, DK, LR).
CHICHI-2005-AragonH #safety #visualisation
Improving aviation safety with information visualization: a flight simulation study (CRA, MAH), pp. 441–450.
CHICHI-2005-Johnson #design #interactive #lessons learnt #using
Applying the lessons of the attack on the world trade center, 11th September 2001, to the design and use of interactive evacuation simulations (CWJ), pp. 651–660.
ICEISICEIS-v2-2005-AhmanH #approach #game studies #reduction #using
Using a Game Theoretical Approach for Experimental Simulation of Brood Reduction — Conflict and Co-Operation, Effect on Brood Size with Limited Resources (, LH), pp. 220–225.
ICEISICEIS-v3-2005-Gonzalez #distributed
Model Sharing in the Simulation and Control of Distributed Discrete-Event Systems (FG), pp. 144–151.
ICEISICEIS-v3-2005-TanL #enterprise #framework #modelling #problem #using
Enterprise Infrastructure Planning — Modelling and Simulation Using the Problem Articulation Method (ST, KL), pp. 240–245.
KDDKDD-2005-SanghaiSDK #architecture #clustering #design #multi #performance
A multinomial clustering model for fast simulation of computer architecture designs (KS, TS, JGD, DRK), pp. 808–813.
MLDMMLDM-2005-KuhlmannVLT #data mining #mining
Data Mining on Crash Simulation Data (AK, RMV, CL, CAT), pp. 558–569.
MODELSMoDELS-2005-ZiaMVK #approach #design #modelling
A Modelling and Simulation Based Approach to Dependable System Design (MZ, SM, HV, JK), pp. 217–231.
MODELSMoDELS-2005-ZiaMVK #approach #design #modelling
A Modelling and Simulation Based Approach to Dependable System Design (MZ, SM, HV, JK), pp. 217–231.
SACSAC-2005-Moloney #distributed #network #pervasive #recommendation
Simulation of a distributed recommendation system for pervasive networks (SM), pp. 1577–1581.
SACSAC-2005-TayJ #adaptation #framework #named
CAFISS: a complex adaptive framework for immune system simulation (JCT, AJ), pp. 158–164.
CASECASE-2005-WangLWK #architecture #distributed #using
Collision resolution simulation for distributed control architectures using LonWorks (MW, EL, EW, MK), pp. 319–326.
DACDAC-2005-ChenDHSW #analysis #concurrent #design
Simulation based deadlock analysis for system level designs (XC, AD, HH, ALSV, YW), pp. 260–265.
DACDAC-2005-KimK05a #evaluation #modelling #performance #pipes and filters #reuse
Performance simulation modeling for fast evaluation of pipelined scalar processor by evaluation reuse (HYK, TGK), pp. 341–344.
DACDAC-2005-Li #analysis #grid #performance #power management
Power grid simulation via efficient sampling-based sensitivity analysis and hierarchical symbolic relaxation (PL), pp. 664–669.
DACDAC-2005-MitraYP #named #performance #using
RADAR: RET-aware detailed routing using fast lithography simulations (JM, PY, DZP), pp. 369–372.
DACDAC-2005-MuttrejaRRJ #embedded #energy #estimation #hybrid
Hybrid simulation for embedded software energy estimation (AM, AR, SR, NKJ), pp. 23–26.
DACDAC-2005-TiriV #modelling
Simulation models for side-channel information leaks (KT, IV), pp. 228–233.
DACDAC-2005-Vasudevan
Simulation of the effects of timing jitter in track-and-hold and sample-and-hold circuits (VV), pp. 397–402.
DATEDATE-2005-HassanSTI #kernel
RTK-Spec TRON: A Simulation Model of an ITRON Based RTOS Kernel in SystemC (MAH, KS, YT, MI), pp. 554–559.
DATEDATE-2005-KempfDLAMKV #composition #framework #multi #platform
A Modular Simulation Framework for Spatial and Temporal Task Mapping onto Multi-Processor SoC Platforms (TK, MD, RL, GA, HM, TK, BV), pp. 876–881.
DATEDATE-2005-LiS #performance
An Efficiently Preconditioned GMRES Method for Fast Parasitic-Sensitive Deep-Submicron VLSI Circuit Simulation (ZL, CJRS), pp. 752–757.
DATEDATE-2005-MahadevanASOSM #generative #network #performance
A Network Traffic Generator Model for Fast Network-on-Chip Simulation (SM, FA, MS, RGO, JS, JM), pp. 780–785.
DATEDATE-2005-MartensG #integration #orthogonal #polynomial #using
Time-Domain Simulation of Sampled Weakly Nonlinear Systems Using Analytical Integration and Orthogonal Polynomial Series (EM, GGEG), pp. 120–125.
DATEDATE-2005-RaabeBAZ #architecture #detection #hardware
Hardware Accelerated Collision Detection — An Architecture and Simulation Results (AR, BB, JKA, GZ), pp. 130–135.
DATEDATE-2005-SchnerrBR #agile #prototype
Cycle Accurate Binary Translation for Simulation Acceleration in Rapid Prototyping of SoCs (JS, OB, WR), pp. 792–797.
DATEDATE-2005-SoensPWD #analysis
Simulation Methodology for Analysis of Substrate Noise Impact on Analog / RF Circuits Including Interconnect Resistance (CS, GVdP, PW, SD), pp. 270–275.
HPCAHPCA-2005-YiKSLH
Characterizing and Comparing Prevailing Simulation Techniques (JJY, SVK, RS, DJL, DMH), pp. 266–277.
HPDCHPDC-2005-WozniakBTSI #grid
Generosity and gluttony in GEMS: grid enabled molecular simulations (JMW, PB, DT, AS, JAI), pp. 191–200.
PDPPDP-2005-FaberoBC #clustering #equation #parallel #performance
Efficiency on Clusters of Parallel Simulation of Sine-Gordon Equation over Hexagonal Tessellation (JCF, AB, LC), pp. 68–75.
STOCSTOC-2005-BarakKSSW #graph #independence
Simulating independence: new constructions of condensers, ramsey graphs, dispersers, and extractors (BB, GK, RS, BS, AW), pp. 1–10.
AMOSTA-MOST-2005-McGuinnessM #multi
A simulation model of a multi-server EJB system (DM, LM), pp. 18–24.
CADECADE-2005-Lev-AmiIRSSY #data type #first-order #linked data #logic #open data #reachability #using #verification
Simulating Reachability Using First-Order Logic with Applications to Verification of Linked Data Structures (TLA, NI, TWR, SS, SS, GY), pp. 99–115.
CAVCAV-2005-ChakiCST #automation #consistency #reasoning
Automated Assume-Guarantee Reasoning for Simulation Conformance (SC, EMC, NS, PT), pp. 534–547.
CAVCAV-2005-Kaivola #component #induction #invariant #verification
Formal Verification of Pentium® 4 Components with Symbolic Simulation and Inductive Invariants (RK), pp. 170–184.
ICSTSAT-2005-HirschN #proving #strict
Simulating Cutting Plane Proofs with Restricted Degree of Falsity by Resolution (EAH, SIN), pp. 135–142.
ITiCSEITiCSE-2004-NavarroH #education #game studies #named #process #re-engineering
SimSE: an educational simulation game for teaching the Software engineering process (EON, AvdH), p. 233.
SIGITESIGITE-2004-BogaardVC #education
SVG for educational simulations (DSB, RPV, CDC), pp. 43–49.
CIAACIAA-2004-Cojocaru #assembly #process
Simulating the Process of Gene Assembly in Ciliates (LC), pp. 308–309.
CSCWCSCW-2004-BosSOCN #distributed
In-group/out-group effects in distributed teams: an experimental simulation (NB, NSS, JSO, AC, NN), pp. 429–436.
ICEISICEIS-v1-2004-HendersonW #enterprise #modelling
Information Invasion in Enterprise Systems: Modelling, Simulating and Analysing System-Level Information Propagation (PH, SC, RJW), pp. 473–481.
ICEISICEIS-v4-2004-TingD #network #peer-to-peer
Peer-to-Peer Network Simulation (NST, RD), pp. 84–91.
ICPRICPR-v1-2004-ZouariHLA #classification #performance
Simulating Classifier Ensembles of Fixed Diversity for Studying Plurality Voting Performance (HZ, LH, YL, AMA), pp. 232–235.
SEKESEKE-2004-HuS #diagrams #petri net #uml
Mapping UML Diagrams to a Petri Net Notation for System Simulation (ZH, SMS), pp. 213–219.
PADLPADL-2004-ChenZX #case study #dependent type #haskell #implementation
Implementing Cut Elimination: A Case Study of Simulating Dependent Types in Haskell (CC, DZ, HX), pp. 239–254.
ASEASE-2004-GuoH #mobile #modelling
Modeling and Simulation of Context-Aware Mobile Systems (PG, RH), pp. 430–433.
ICSEICSE-2004-BriandLW #empirical #statechart #test coverage #using
Using Simulation to Empirically Investigate Test Coverage Criteria Based on Statechart (LCB, YL, YW), pp. 86–95.
SACSAC-2004-AntoniouVPHJ #generative #network
A discrete event based simulation environment for enhanced UMTS 3rd generation networks (JA, VV, AP, GH, NJ), pp. 369–370.
SACSAC-2004-CavarraRS #framework #modelling #uml
A framework to simulate UML models: moving from a semi-formal to a formal environment (AC, ER, PS), pp. 1519–1523.
DACDAC-2004-ChauhanCK #algorithm #satisfiability
A SAT-based algorithm for reparameterization in symbolic simulation (PC, EMC, DK), pp. 524–529.
DACDAC-2004-KapurL #scalability
Large-scale full-wave simulation (SK, DEL), pp. 806–809.
DACDAC-2004-KimYKK #functional #hardware #performance
Communication-efficient hardware acceleration for fast functional simulation (YIK, WSY, YSK, CMK), pp. 293–298.
DACDAC-2004-LeeDBABM #architecture
Circuit-aware architectural simulation (SL, SD, VB, TMA, DB, TNM), pp. 305–310.
DACDAC-2004-LiXLGP #approach
A frequency relaxation approach for analog/RF system-level simulation (XL, YX, PL, PG, LTP), pp. 842–847.
DACDAC-2004-PieperMPTK #multi
High level cache simulation for heterogeneous multiprocessors (JJP, AM, JMP, DET, FK), pp. 287–292.
DACDAC-2004-PlasBVDWDGM
High-level simulation of substrate noise in high-ohmic substrates with interconnect and supply effects (GVdP, MB, GV, PD, PW, SD, GGEG, HDM), pp. 854–859.
DATEDATE-DF-2004-BonaZZ #industrial #modelling
System Level Power Modeling and Simulation of High-End Industrial Network-on-Chip (AB, VZ, RZ), pp. 318–323.
DATEDATE-DF-2004-CoppolaCGMP #framework #modelling #named
OCCN: A Network-On-Chip Modeling and Simulation Framework (MC, SC, MDG, GM, FP), pp. 174–179.
DATEDATE-DF-2004-DaglioIRRS #component #performance
Building the Hierarchy from a Flat Netlist for a Fast and Accurate Post-Layout Simulation with Parasitic Components (PD, DI, DR, CR, SS), pp. 336–337.
DATEDATE-DF-2004-MoignePC #realtime
A Generic RTOS Model for Real-time Systems Simulation with SystemC (RLM, OP, JPC), pp. 82–87.
DATEDATE-v1-2004-AboushadyLBL #automation #synthesis
Automatic Synthesis and Simulation of Continuous-Time [Sigma-Delta] Modulators (HA, LdL, NB, MML), pp. 674–675.
DATEDATE-v1-2004-BrandtnerW #named
SubCALM: A Program for Hierarchical Substrate Coupling Simulation on Floorplan Level (TB, RW), pp. 616–621.
DATEDATE-v1-2004-FengWCL #clustering
Improved Symoblic Simulation by Dynamic Funtional Space Partitioning (TF, LCW, KTC, CCL), pp. 42–49.
DATEDATE-v1-2004-LapalmeANCBDB #dot-net #framework #generative #modelling #tool support
.NET Framework — A Solution for the Next Generation Tools for System-Level Modeling and Simulation (JL, EMA, GN, LC, FRB, JPD, GB), pp. 732–733.
DATEDATE-v1-2004-TanQL #modelling #scalability
Hierarchical Modeling and Simulation of Large Analog Circuits (SXDT, ZQ, HL), pp. 740–741.
DATEDATE-v1-2004-VianaBRAA #design #memory management #modelling #platform
Modeling and Simulating Memory Hierarchies in a Platform-Based Design Methodology (PV, EB, SR, RA, GA), pp. 734–735.
DATEDATE-v1-2004-Wang #learning #validation
Regression Simulation: Applying Path-Based Learning In Delay Test and Post-Silicon Validation (LCW), pp. 692–695.
DATEDATE-v2-2004-BobrekPNPT #approach #hybrid #modelling #using
Modeling Shared Resource Contention Using a Hybrid Simulation/Analytical Approach (AB, JJP, JEN, JMP, DET), pp. 1144–1149.
DATEDATE-v2-2004-ElviraMAG #generative #megamodelling #performance
A Macromodelling Methodology for Efficient High-Level Simulation of Substrate Noise Generation (LE, FM, XA, JLG), pp. 1362–1363.
DATEDATE-v2-2004-MineKKWA #hybrid #linear #performance #reduction
Hybrid Reduction Technique for Efficient Simulation of Linear/Nonlinear Mixed Circuits (TM, HK, AK, TW, HA), pp. 1327–1333.
DATEDATE-v2-2004-ReedLBMC #algorithm #parallel
An Application of Parallel Discrete Event Simulation Algorithms to Mixed Domain System Simulation (DKR, SPL, JB, JAM, DMC), pp. 1356–1357.
DATEDATE-v2-2004-ViamontesMH #quantum
High-Performance QuIDD-Based Simulation of Quantum Circuits (GFV, ILM, JPH), pp. 1354–1355.
DATEDATE-v2-2004-WanS #compilation #multi
Hierarchical Multi-Dimensional Table Lookup for Model Compiler Based Circuit Simulation (BW, CJRS), pp. 1310–1315.
LCTESLCTES-2004-LapalmeANCBDB #embedded #modelling
ESys.Net: a new solution for embedded systems modeling and simulation (JL, EMA, GN, LC, FRB, JPD, GB), pp. 107–114.
LCTESLCTES-2004-MartinJESN #modelling
Modeling and simulating electronic textile applications (TM, MTJ, JE, TS, ZN), pp. 10–19.
LCTESLCTES-2004-PatilSM #composition
Compositional static instruction cache simulation (KP, KS, FM), pp. 136–145.
PDPPDP-2004-AmigoPG
Simulation Methodology for Decision Support Workloads (LAA, VP, JÁG), pp. 120–125.
PDPPDP-2004-GourgoulisTKW #clustering #scalability
Creating Scalable Traffic Simulation on Clusters (AG, GT, PK, SCW), pp. 60–65.
PDPPDP-2004-Kumova #concept #design #distributed #kernel
Software Design Concepts of a Distributed Simulation Kernel (BIK), pp. 34–39.
CAVCAV-2004-GoelB #abstraction #functional #model checking #order
Symbolic Simulation, Model Checking and Abstraction with Partially Ordered Boolean Functional Vectors (AG, REB), pp. 255–267.
CAVCAV-2004-ImmermanRRSY #verification
Verification via Structure Simulation (NI, AMR, TWR, SS, GY), pp. 281–294.
ICLPICLP-2004-PaluDF
Protein Folding Simulation in CCP (ADP, AD, FF), pp. 452–453.
VLDBVLDB-2003-Ramanan #bisimulation #query #xml
Covering Indexes for XML Queries: Bisimulation — Simulation = Negation (PR), pp. 165–176.
CIAACIAA-2003-Fritz #automaton #linear #logic #using
Constructing Büchi Automata from Linear Temporal Logic Using Simulation Relations for Alternating Büchi Automata (CF), pp. 35–48.
ICALPICALP-2003-BlomFN #axiom #on the
On the Axiomatizability of Ready Traces, Ready Simulation, and Failure Traces (SB, WF, SN), pp. 109–118.
RTARTA-2003-Giavitto #modelling
Invited Talk: Topological Collections, Transformations and Their Application to the Modeling and the Simulation of Dynamical Systems (JLG), pp. 208–233.
HaskellHaskell-2003-Trifonov #constraints #quantifier
Simulating quantified class constraints (VT), pp. 98–102.
DiGRADiGRA-2003-Klabbers #game studies #taxonomy
The gaming landscape: a taxonomy for classifying games and simulations (JHGK).
DiGRADiGRA-2003-NobleRDM #game studies #gender
Conditions of Engagement in Game Simulation: Contexts of Gender, Culture and Age (RN, KR, MD, JM).
CAiSECAiSE-2003-GansJLS #modelling #network
Deliberation in a Modeling and Simulation Environment for Inter-organizational Networks (GG, MJ, GL, DS), pp. 242–257.
ICEISICEIS-v2-2003-NetoCMG #analysis #network #using
Simulating Data Envelopment Analysis Using Neural Networks (LBN, PHGC, JCCBSdM, EGG), pp. 244–249.
ICEISICEIS-v4-2003-PhillipsH #mobile #performance
Simulation Study of TCP Performance Over Mobile IPV4 and Mobile IPV6 (DP, JH), pp. 224–231.
CIKMCIKM-2003-BaldwinAC #modelling #multi #scalability
Multi-resolution modeling of large scale scientific simulation data (CB, GA, TC), pp. 40–48.
KDDKDD-2003-BarryZM #architecture #information management
Architecting a knowledge discovery engine for military commanders utilizing massive runs of simulations (PSB, JZ, MM), pp. 699–704.
ASEASE-2003-BunusF #automation #behaviour #fault #locality #modelling #physics #verification
Semi-Automatic Fault Localization and Behavior Verification for Physical System Simulation Models (PB, PF), pp. 253–258.
SACSAC-2003-BettigSJB #internet
Dynamic Solver Selection for an Internet Simulation Backbone (BPB, CS, ARJ, KB), pp. 153–160.
SACSAC-2003-PascucciLFGSLH #monitoring #realtime #scalability
Real-Time Monitoring of Large Scientific Simulations (VP, DEL, RJF, FG, GS, LL, BH), pp. 194–198.
SACSAC-2003-Stevens
Terascale Simulation of Cumulus Convection on ASCI WHITE (DS), pp. 207–211.
DACDAC-2003-FummiPGPMR #embedded #modelling
A timing-accurate modeling and simulation environment for networked embedded systems (FF, GP, PG, MP, SM, FR), pp. 42–47.
DACDAC-2003-ReshadiMD #flexibility #performance #set
Instruction set compiled simulation: a technique for fast and flexible instruction set simulation (MR, PM, NDD), pp. 758–763.
DACDAC-2003-RootWT #behaviour #metric #modelling
New techniques for non-linear behavioral modeling of microwave/RF ICs from simulation and nonlinear microwave measurements (DER, JW, NT), pp. 85–90.
DACDAC-2003-Schubert03a #challenge #distributed #functional #industrial #scalability
Improvements in functional simulation addressing challenges in large, distributed industry projects (KDS), pp. 11–14.
DACDAC-2003-TasiranYB #model checking #monitoring #specification #using
Using a formal specification and a model checker to monitor and direct simulation (ST, YY, BB), pp. 356–361.
DATEDATE-2003-Al-ArsGBR #fault #optimisation #testing #using
Optimizing Stresses for Testing DRAM Cell Defects Using Electrical Simulation (ZAA, AJvdG, JB, DR), pp. 10484–10489.
DATEDATE-2003-Castro-LopezFMR #behaviour #hardware #modelling #using
Behavioural Modelling and Simulation of SigmaDelta Modulators Using Hardware Description Languages (RCL, FVF, FM, ÁRV), pp. 10168–10175.
DATEDATE-2003-ChenHBW #automation #constraints #generative #monitoring
Automatic Generation of Simulation Monitors from Quantitative Constraint Formula (XC, HH, FB, YW), pp. 11174–11175.
DATEDATE-2003-DebOJ #analysis #embedded #using
Simulation and Analysis of Embedded DSP Systems Using MASIC Methodology (AKD, , AJ), pp. 11100–11101.
DATEDATE-2003-EberleVWDGM #automation #behaviour #modelling
Behavioral Modeling and Simulation of a Mixed Analog/Digital Automatic Gain Control Loop in a 5 GHz WLAN Receiver (WE, GV, PW, SD, GGEG, HDM), pp. 10642–10649.
DATEDATE-2003-GerlingSSMT #multi
Improved Time Domain Simulation of Optical Multimode Intrasystem Interconnects (JG, OS, JS, GM, JT), pp. 11110–11111.
DATEDATE-2003-GouraryRUZM #analysis
A New Simulation Technique for Periodic Small-Signal Analysis (MMG, SGR, SLU, MMZ, BJM), pp. 10244–10249.
DATEDATE-2003-GriesKSK #case study #modelling #network
Comparing Analytical Modeling with Simulation for Network Processors: A Case Study (MG, CK, CS, KK), pp. 20256–20261.
DATEDATE-2003-Grivet-TalociaSMC #megamodelling
Combined FDTD/Macromodel Simulation of Interconnected Digital Devices (SGT, ISS, IAM, FGC), pp. 10536–10541.
DATEDATE-2003-KinP #data flow #parallel #thread
Multithreaded Synchronous Data Flow Simulation (JSK, JLP), pp. 11094–11095.
DATEDATE-2003-KnochelMHKA #verification
Verification of the RF Subsystem within Wireless LAN System Level Simulation (UK, TM, JH, RK, RA), pp. 20286–20291.
DATEDATE-2003-LeeC #3d #grid #linear #power management
The Power Grid Transient Simulation in Linear Time Based on 3D Alternating-Direction-Implicit Method (YML, CCPC), pp. 11020–11025.
DATEDATE-2003-PastorP #concurrent #traversal #verification
Combining Simulation and Guided Traversal for the Verification of Concurrent Systems (EP, MAP), pp. 11158–11159.
DATEDATE-2003-QinM #flexibility #formal method #modelling
Flexible and Formal Modeling of Microprocessors with Application to Retargetable Simulation (WQ, SM), pp. 10556–10561.
DATEDATE-2003-RenczSP #algorithm #layout #performance
A Fast Algorithm for the Layout Based Electro-Thermal Simulation (MR, VS, AP), pp. 11032–11037.
DATEDATE-2003-YooBBPJ #abstraction #hardware #modelling #performance
Building Fast and Accurate SW Simulation Models Based on Hardware Abstraction Layer and Simulation Environment Abstraction Layer (SY, IB, AB, YP, AAJ), pp. 10550–10555.
HPCAHPCA-2003-AlameldeenW #architecture #concurrent #multi #thread #variability
Variability in Architectural Simulations of Multi-Threaded Workloads (ARA, DAW), pp. 7–18.
HPCAHPCA-2003-YiLH #approach #statistics
A Statistically Rigorous Approach for Improving Simulation Methodology (JJY, DJL, DMH), pp. 281–291.
HPDCHPDC-2003-BucurE #clustering #multi #policy
Trace-Based Simulations of Processor Co-Allocation Policies in Multiclusters (AIDB, DHJE), pp. 70–79.
PDPPDP-2003-GaoSGL #clustering #parallel
Load Balancing for Spatial-grid-based Parallel Numeric Simulations on Clusters of SMPs (HG, AS, AG, PL), pp. 75–82.
CAVCAV-2003-KestenPP
Bridging the Gap between Fair Simulation and Trace Inclusion (YK, NP, AP), pp. 381–393.
ICLPICLP-2003-Musumbu #security
Simulating Security Systems Based on Logigrams (KM), pp. 498–499.
ITiCSEITiCSE-2002-RatteC #collaboration #industrial #on the #using #web
On using the web as a collaboration space in the context of an industrial simulation (SR, JC), pp. 141–145.
CIAACIAA-2002-BrzozowskiG #algebra
Simulation of Gate Circuits in the Algebra of Transients (JAB, MG), pp. 57–66.
CIAACIAA-2002-Holub #automaton #nondeterminism #programming
Dynamic Programming — NFA Simulation (JH), pp. 295–300.
ICALPICALP-2002-ImpagliazzoS #axiom #bound
Bounded-Depth Frege Systems with Counting Axioms Polynomially Simulate Nullstellensatz Refutations (RI, NS), pp. 208–219.
FMFME-2002-CavalcantiN #refinement
Forward Simulation for Data Refinement of Classes (AC, DAN), pp. 471–490.
CAiSECAiSE-2002-GansLJV #modelling #named #network
SNet: A Modeling and Simulation Environment for Agent Networks Based on i* and ConGolog (GG, GL, MJ, TV), pp. 328–343.
LSOLSO-2002-NeuB #comprehension #learning #process
Learning and Understanding a Software Process through Simulation of Its Underlying Model (HN, UBK), pp. 81–93.
SEKESEKE-2002-Drori #algorithm #documentation #idea #ranking
Algorithm for documents ranking: idea and simulation results (OD), pp. 99–102.
SEKESEKE-2002-RusBH #analysis #development #empirical #process
Systematically combining process simulation and empirical data in support of decision analysis in software development (IR, SB, MH), pp. 827–833.
ASEASE-2002-EllmanDF #animation #knowledge-based #source code #synthesis
Knowledge-Based Synthesis of Numerical Programs for Simulation of Rigid-Body Systems in Physics-Based Animation (TE, RD, JF), p. 93–?.
SACSAC-2002-AlfonsecaL #community
Simulating evolutionary agent communities with OOCSMP (MA, JdL), pp. 11–15.
SACSAC-2002-BarrettMSR #ad hoc #communication #framework #generative #modelling #network
A mobility and traffic generation framework for modeling and simulating ad hoc communication networks (CLB, MVM, JPS, SSR), pp. 122–126.
SACSAC-2002-Bell #interactive #policy #security
Interactive simulation of security policies (GB), pp. 247–252.
SACSAC-2002-Eubank #performance #scalability
Scalable, efficient epidemiological simulation (SE), pp. 139–145.
SACSAC-2002-GoldmanGBJ #behaviour #clustering
Individual-based simulation of the clustering behaviour of epidermal growth factor receptors (JPG, WJG, DB, CGJ), pp. 127–131.
SACSAC-2002-KornissNKG #parallel #statistics
Statistical properties of the simulated time horizon in conservative parallel discrete-event simulations (GK, MAN, AKK, HG), pp. 132–137.
DACDAC-2002-BertaccoO #performance #representation
Efficient state representation for symbolic simulation (VB, KO), pp. 99–104.
DACDAC-2002-CadambiMA #functional #hardware #performance #scalability
A fast, inexpensive and scalable hardware acceleration technique for functional simulation (SC, CM, PA), pp. 570–575.
DACDAC-2002-JiangB #logic #specification #synthesis #using
Software synthesis from synchronous specifications using logic simulation techniques (YJ, RKB), pp. 319–324.
DACDAC-2002-KolblKAD
Handling special constructs in symbolic simulation (AK, JHK, KA, RFD), pp. 105–110.
DACDAC-2002-LeeP #analysis #design #embedded #performance
Timed compiled-code simulation of embedded software for performance analysis of SOC design (JYL, ICP), pp. 293–298.
DACDAC-2002-NohlBSLMH #architecture #flexibility #performance
A universal technique for fast and flexible instruction-set architecture simulation (AN, GB, OS, RL, HM, AH), pp. 22–27.
DACDAC-2002-Perrott #behaviour #performance
Fast and accurate behavioral simulation of fractional-N frequency synthesizers and other PLL/DLL circuits (MHP), pp. 498–503.
DACDAC-2002-ShimizuD #generative #metric #specification
Deriving a simulation input generator and a coverage metric from a formal specification (KS, DLD), pp. 801–806.
DACDAC-2002-YangP #component #multi #using
Time-domain steady-state simulation of frequency-dependent components using multi-interval Chebyshev method (BY, JRP), pp. 504–509.
DATEDATE-2002-AcarNP #framework #parametricity
A Linear-Centric Simulation Framework for Parametric Fluctuations (EA, SRN, LTP), pp. 568–575.
DATEDATE-2002-BrandtnerW #network #power management
Hierarchical Simulation of Substrate Coupling in Mixed-Signal ICs Considering the Power Supply Network (TB, RW), pp. 1028–1032.
DATEDATE-2002-BruschiCFS #design #fault
Error Simulation Based on the SystemC Design Description Language (FB, MC, FF, DS), p. 1135.
DATEDATE-2002-FranckenVMG #named
DAISY-CT: A High-Level Simulation Tool for Continuous-Time Delta Sigma Modulators (KF, MV, EM, GGEG), p. 1110.
DATEDATE-2002-Hering #parallel
A Parallel LCC Simulation System (KH), p. 1134.
DATEDATE-2002-SavoiuSG #automation #concurrent #modelling #performance
Automated Concurrency Re-Assignment in High Level System Models for Efficient System-Level Simulation (NS, SKS, RKG), pp. 875–881.
DATEDATE-2002-ThielenV #performance
Fast Method to Include Parasitic Coupling in Circuit Simulations (BLAVT, GAEV), pp. 1033–1037.
DATEDATE-2002-YooNGJ #automation #design #generative #modelling #operating system #performance
Automatic Generation of Fast Timed Simulation Models for Operating Systems in SoC Design (SY, GN, LG, AAJ), pp. 620–627.
HPCAHPCA-2002-GrochowskiAT #architecture #power management
Microarchitectural Simulation and Control of di/dt-induced Power Supply Voltage Variation (EG, DA, VT), pp. 7–16.
HPCAHPCA-2002-GurumurthiSIVKLJ #approach #estimation #using
Using Complete Machine Simulation for Software Power Estimation: The SoftWatt Approach (SG, AS, MJI, NV, MTK, TL, LKJ), pp. 141–150.
LCTESLCTES-SCOPES-2002-MohantyPND #agile #design #embedded #multi #using
Rapid design space exploration of heterogeneous embedded systems using symbolic search and multi-granular simulation (SM, VKP, SN, JRD), pp. 18–27.
PDPPDP-2002-Volbert #ad hoc #network #using
A Simulation Environment for Ad Hoc Networks Using Sector Subdivision (KV), p. 419–?.
STOCSTOC-2002-BarakL #polynomial #strict
Strict polynomial-time in simulation and extraction (BB, YL), pp. 484–493.
TACASTACAS-2002-BustanG
Applicability of Fair Simulation (DB, OG), pp. 401–414.
TACASTACAS-2002-GentiliniPP #problem
Simulation as Coarsest Partition Problem (RG, CP, AP), pp. 415–430.
CAVCAV-2002-GurumurthyBS
Fair Simulation Minimization (SG, RB, FS), pp. 610–624.
ICLPICLP-2002-BryS #declarative #model transformation #query #semistructured data #towards #transformation language #unification #xml
Towards a Declarative Query and Transformation Language for XML and Semistructured Data: Simulation Unification (FB, SS), pp. 255–270.
VMCAIVMCAI-2002-TipleaT #abstraction
A Simulation Preorder for Abstraction of Reactive Systems (FLT, AT), pp. 272–288.
JCDLJCDL-2001-AbdullaBCKLMTLS #ad hoc #approximate #query
Approximate ad-hoc query engine for simulation data (GA, CB, TC, RK, IL, RM, NAT, BSL, RRS), pp. 255–256.
ITiCSEITiCSE-2001-KorhonenMS #algorithm #animation #concept #matrix #named
Matrix — concept animation and algorithm simulation system (AK, LM, RS), p. 180.
CSMRCSMR-2001-PodnarM #analysis #maintenance #process #using
Software Maintenance Process Analysis Using Discrete-Event Simulation (IP, BM), pp. 192–195.
CSMRCSMR-2001-SilvaLG #evaluation #legacy #migration #performance
A Simulation Model for the Performance Evaluation When Migrating Legacy Systems (PPdS, AHFL, PBG), pp. 210–215.
CIAACIAA-2001-Holub #automaton #nondeterminism #parallel
Bit Parallelism — NFA Simulation (JH), pp. 149–160.
ICALPICALP-2001-BuhrmanTV #bound
Time and Space Bounds for Reversible Simulation (HB, JT, PMBV), pp. 1017–1027.
ICALPICALP-2001-EtessamiWS #automaton #game studies #reduction
Fair Simulation Relations, Parity Games, and State Space Reduction for Büchi Automata (KE, TW, RAS), pp. 694–707.
FMFME-2001-LaurentMW #using #verification
Using Formal Verification Techniques to Reduce Simulation and Test Effort (OL, PM, VW), pp. 465–477.
CHICHI-2001-RossonS #education #learning #reuse
Teachers as simulation programmers: minimalist learning and reuse (MBR, CDS), pp. 237–244.
SEKESEKE-2001-BarberGH #architecture #correctness #model checking #using
Evaluating Dynamic Correctness Properties of Domain Reference Architectures Using a Combination of Simulation and Model Checking (KSB, TJG, JH), pp. 19–28.
AdaSIGAda-2001-Gantsou #ada #communication #distributed #multi #network
Targeting Ada95/DSA for distributed simulation of multiprotocol communication networks (DG), pp. 91–96.
SACSAC-2001-DowellB #graph #mobile #monte carlo #network #random #validation
Connectivity of random graphs and mobile networks: validation of Monte Carlo simulation results (LJD, MLB), pp. 77–81.
SACSAC-2001-Dozier #behaviour #evolution #interactive
Evolving robot behavior via interactive evolutionary computation: from real-world to simulation (GVD), pp. 340–344.
SACSAC-2001-Shimoura #communication #design #performance #self
Self-consistent simulation studying environment for the design of high-speed optical communication lines (KS), pp. 73–76.
DACDAC-2001-KedingCLM #performance
Fast Bit-True Simulation (HK, MC, OL, HM), pp. 708–713.
DACDAC-2001-KolblKD
Symbolic RTL Simulation (AK, JHK, RFD), pp. 47–52.
DACDAC-2001-KudlugiHSP #architecture #functional #transaction #verification
A Transaction-Based Unified Simulation/Emulation Architecture for Functional Verification (MK, SH, CS, DP), pp. 623–628.
DACDAC-2001-McDonaldB #analysis #using
Computing Logic-Stage Delays Using Circuit Simulation and Symbolic Elmore Analysis (CBM, REB), pp. 283–288.
DACDAC-2001-WangHLKZMD #abstraction #hybrid #refinement #verification
Formal Property Verification by Abstraction Refinement with Formal, Simulation and Hybrid Engines (DW, PHH, JL, JHK, YZ, HKTM, RFD), pp. 35–40.
DATEDATE-2001-BadarogluHGDMGEB #generative #multi #scalability
High-level simulation of substrate noise generation from large digital circuits with multiple supplies (MB, MvH, VG, SD, HDM, GGEG, ME, IB), pp. 326–330.
DATEDATE-2001-CasavantGLMWA #generative #graph
Property-specific witness graph generation for guided simulation (AEC, AG, SL, AM, KW, PA), p. 799.
DATEDATE-2001-HsiehCP #analysis
Microprocessor power analysis by labeled simulation (CTH, LC, MP), pp. 182–189.
DATEDATE-2001-NguyenJ #communication
Simulation method to extract characteristics for digital wireless communication systems (LN, VJ), pp. 176–181.
DATEDATE-2001-QuasemG #fault
Exact fault simulation for systems on Silicon that protects each core’s intellectual property (MSQ, SKG), p. 804.
DATEDATE-2001-RufHGKRM #semantics
The simulation semantics of systemC (JR, DWH, JG, TK, WR, WM), pp. 64–70.
DATEDATE-2001-UbarJP #diagrams
Timing simulation of digital circuits with binary decision diagrams (RU, AJ, ZP), pp. 460–466.
DATEDATE-2001-VanasscheGS #exponential #performance #using
Efficient time-domain simulation of telecom frontends using a complex damped exponential signal model (PV, GGEG, WMCS), pp. 169–175.
DATEDATE-2001-ZolfyMN #adaptation #concurrent #fault
Adaptation of an event-driven simulation environment to sequentially propagated concurrent fault simulation (MZ, SM, ZN), p. 823.
HPDCHPDC-2001-RussellADFSNSL #community #development
The Astrophysics Simulation Collaboratory Portal: A Science Portal Enabling Community Software Development (MR, GA, GD, ITF, ES, JN, JS, GvL), pp. 207–215.
LCTESLCTES-OM-2001-BakshiPL #embedded #framework #modelling #named
MILAN: A Model Based Integrated Simulation Framework for Desgin of Embedded Suystems (AB, VKP, ÁL), pp. 82–87.
LCTESLCTES-OM-2001-PalopoliLANAC #embedded #performance #prototype
A Tool for Simulation and Fast Prototyping of Embedded Control Systems (LP, GL, LA, MDN, PA, FC), pp. 73–81.
PDPPDP-2001-BerenbrinkBS #network
SIMLAB-A Simulation Environment for Storage Area Networks (PB, AB, CS), pp. 227–234.
TACASTACAS-2001-Beaudouin-LafonMJAJLLMMRRCJ #editing #named #petri net #tool support
CPN/Tools: A Tool for Editing and Simulating Coloured Petri Nets ETAPS Tool Demonstration Related to TACAS (MBL, WEM, MJ, PA, PJ, HML, KL, KHM, SM, AVR, KR, SC, KJ), pp. 574–577.
TACASTACAS-2001-TanC #revisited
Simulation Revisited (LT, RC), pp. 480–495.
CSEETCSEET-2000-Collofello #collaboration #industrial #project management
University/Industry Collaboration in Developing a Simulation Based Software Project Management Training Course (JSC), pp. 161–168.
ITiCSEITiCSE-2000-KorhonenM #algorithm #assessment #automation
Algorithm simulation with automatic assessment (AK, LM), pp. 160–163.
ICPRICPR-v3-2000-Pina
Deconstructing the Morphological Granulometrical Distributions to Simulate Structures (PP), pp. 3127–3130.
ICPRICPR-v4-2000-MendezMOH #execution
Remote Robot Execution through WWW Simulation (STPM, FTM, FO, FACH), pp. 4503–4506.
OOPSLAOOPSLA-2000-LeeKK #modelling #realtime
A real world object modeling method for creating simulation environment of real-time systems (JYL, HJK, KCK), pp. 93–104.
AdaEuropeAdaEurope-2000-DeshpandeCT #behaviour #object-oriented #reliability
Improving the Reliability of Object-Oriented Software through Object-Level Behavioral Simulation (MD, FPC, JT), pp. 266–279.
ICSEICSE-2000-DrappaL #re-engineering
Simulation in software engineering training (AD, JL), pp. 199–208.
ICSEICSE-2000-KeidarKLS #incremental #proving
An inheritance-based technique for building simulation proofs incrementally (IK, RK, NAL, AAS), pp. 478–487.
ICSEICSE-2000-SharpH #interactive #multi
An interactive multimedia software house simulation for postgraduate software engineers (HS, PH), pp. 688–691.
SACSAC-2000-Reich #algorithm #difference #equation #using
Simulation of Imprecise Ordinary Differential Equations Using Evolutionary Algorithms (CR), pp. 428–432.
ASPLOSASPLOS-2000-GibsonKOH
FLASH vs. (Simulated) FLASH: Closing the Simulation Loop (JG, RK, DO, MH), pp. 49–58.
DACDAC-2000-AttarhaNL #fault #fuzzy #logic #modelling #using
Modeling and simulation of real defects using fuzzy logic (AA, MN, CL), pp. 631–636.
DACDAC-2000-DwarakanathB #fault #tuple #using
Universal fault simulation using fault tuples (KND, RDB), pp. 786–789.
DACDAC-2000-FinF #analysis
A Web-CAD methodology for IP-core analysis and simulation (AF, FF), pp. 597–600.
DACDAC-2000-GaurdianiSMSC #bound #component #constant #statistics
An asymptotically constant, linearly bounded methodology for the statistical simulation of analog circuits including component mismatch effects (CG, SS, PM, PS, DC), pp. 15–18.
DACDAC-2000-HamerLBS #framework
A system simulation framework (PvdH, WPMvdL, PB, NWS), pp. 699–704.
DACDAC-2000-HeijningenBDEB #generative #power management
High-level simulation of substrate noise generation including power supply noise coupling (MvH, MB, SD, ME, IB), pp. 446–451.
DACDAC-2000-KrishnaswamyCT #fault
A switch level fault simulation environment (VK, JC, TT), pp. 780–785.
DACDAC-2000-McDonaldB #clustering #scheduling #using
Symbolic timing simulation using cluster scheduling (CBM, REB), pp. 254–259.
DACDAC-2000-NassifK #grid #performance #power management
Fast power grid simulation (SRN, JNK), pp. 156–161.
DACDAC-2000-TsaiK #performance #reduction
Fast temperature calculation for transient electrothermal simulation by mixed frequency/time domain thermal model reduction (CHT, SMK), pp. 750–755.
DACDAC-2000-VandersteenWRDDEB #data flow #performance
A methodology for efficient high-level dataflow simulation of mixed-signal front-ends of digital telecom transceivers (GV, PW, YR, PD, SD, ME, IB), pp. 440–445.
DACDAC-2000-WilsonD #reliability #using #verification
Reliable verification using symbolic simulation with scalar values (CW, DLD), pp. 124–129.
DACDAC-2000-YangP #multi #performance
A multi-interval Chebyshev collocation method for efficient high-accuracy RF circuit simulation (BY, JRP), pp. 178–183.
DATEDATE-2000-DalpassoBBF #design #distributed #fault
Virtual Fault Simulation of Distributed IP-Based Designs (MD, AB, LB, MF), pp. 99–103.
DATEDATE-2000-FrohlichGF #clustering #parallel
A New Partitioning Method for Parallel Simulation of VLSI Circuits on Transistor Level (NF, VG, JF), pp. 679–684.
DATEDATE-2000-GauthierJ
Cycle-True Simulation of the ST10 Microcontroller (LG, AAJ), p. 742.
DATEDATE-2000-LungeanuS #distributed #parallel
Parallel and Distributed VHDL Simulation (DL, CJRS), pp. 658–662.
DATEDATE-2000-Maurer #logic #network #state machine #using
Logic Simulation Using Networks of State Machines (PMM), pp. 674–678.
DATEDATE-2000-MorawiecUR #algorithm #diagrams #using
Cycle-Based Simulation Algorithms for Digital Systems Using High-Level Decision Diagrams (AM, RU, JR), p. 743.
DATEDATE-2000-Rosing #fault
A Fault Simulation Methodology for MEMS (RR), pp. 476–483.
DATEDATE-2000-SaabHK #fault #generative #parametricity
Parametric Fault Simulation and Test Vector Generation (KS, NBH, BK), pp. 650–656.
HPDCHPDC-2000-AndresenN #distributed
The Modeler’s Workbench: A System for Dynamically Distributed Simulation and Data Collection (DA, RN), pp. 300–301.
HPDCHPDC-2000-GouacheP #corba #industrial #using
Coupling of Industrial Simulation Codes using CORBA (SG, TP), pp. 312–314.
HPDCHPDC-2000-MuralidharP #distributed #framework
An Object Infrastructure for Computational Steering of Distributed Simulations (RM, MP), pp. 304–305.
PDPPDP-2000-AversaMMV #distributed #performance #source code
A performance simulation technique for distributed programs: application to an SOR iterative solver (RA, BDM, NM, UV), pp. 368–375.
PDPPDP-2000-PuentePIGB #case study #network
A case study of trace-driven simulation for analyzing interconnection networks: cc-NUMAs with ILP processors (VP, JMP, CI, JÁG, RB), pp. 174–180.
FoSSaCSFoSSaCS-2000-Hannay #higher-order #system f
A Higher-Order Simulation Relation for System F (JEH), pp. 130–145.
STOCSTOC-2000-KitaevW #exponential #interactive #parallel #proving #quantum
Parallelization, amplification, and exponential time simulation of quantum interactive proof systems (AK, JW), pp. 608–617.
WRLAWRLA-2000-MasonT #maude #network #protocol
Simple Network Protocol Simulation within Maude (IAM, CLT), pp. 274–291.
WRLAWRLA-2000-OlveczkyM #hybrid #maude #realtime
Real-Time Maude: A Tool for Simulating and Analyzing Real-Time and Hybrid Systems (PCÖ, JM), pp. 361–382.
CADECADE-2000-BustanG
Simulation Based Minimization (DB, OG), pp. 255–270.
CAVCAV-2000-AbarbanelBGKW #automation #generative #named #specification
FoCs: Automatic Generation of Simulation Checkers from Formal Specifications (YA, IB, LG, SK, YW), pp. 538–542.
ISSTAISSTA-2000-BhargavanGKLOSV #analysis #formal method #named #network
Verisim: Formal analysis of network simulations (KB, CAG, MK, IL, DO, OS, MV), pp. 2–13.
VLDBVLDB-1999-DarmontS #named #random
VOODB: A Generic Discrete-Event Random Simulation Model To Evaluate the Performances of OODBs (JD, MS), pp. 254–265.
ITiCSEITiCSE-1999-HewsonDC #generative #multi
A multimedia animated simulation generator (JH, WD, MC), pp. 131–134.
ICALPICALP-1999-KuceraM #algebra #process
Simulation Preorder on Simple Process Algebras (AK, RM), pp. 503–512.
FMFM-v2-1999-HorsteS #formal method #modelling #petri net #using
Formal Modelling and Simulation of Train Control Systems Using Petri Nets (MMzH, ES), p. 1867.
IFMIFM-1999-BanachP
Retrenchment and Punctured Simulation (RB, MP), pp. 457–476.
IFMIFM-1999-BoltonDW #data type #on the #process #refinement
On the Refinement and Simulation of Data Types and Processes (CB, JD, JW), pp. 273–292.
AGTIVEAGTIVE-1999-NiereZ99a #testing #using
Testing and Simulating Production Control Systems Using the Fujaba Environment (JN, AZ), pp. 449–456.
AGTIVEAGTIVE-1999-RibeiroC #composition #graph grammar #modelling #using
Compositional Construction of Simulation Models Using Graph Grammars (LR, BC), pp. 87–94.
HCIHCI-CCAD-1999-KossekovaL #javascript
JavaScript programme for clinical case simulations (GK, DL), pp. 686–690.
HCIHCI-CCAD-1999-PrevotC #automation #design #evaluation
A vertical situation display for automated aircraft — design and evaluation in full mission simulation (TP, BC), pp. 1266–1270.
HCIHCI-CCAD-1999-Swezey #metric #performance #problem
Measurement of team problem solving performance via a computerized quasi-experimental simulation (RWS), pp. 482–486.
HCIHCI-CCAD-1999-TakanoSS #behaviour
Intellectual simulation of operating team behavior in coping with anomalies occurring at commercial nuclear power plants (KT, WS, KS), pp. 1201–1205.
HCIHCI-EI-1999-DaeleC
Between the situation of simulation and the situation of reference: the operators’ representations (AVD, DC), pp. 875–879.
HCIHCI-EI-1999-HowarthH #maintenance
The Maintenance of Habituation to Virtual Simulation Sickness (PAH, KJH), pp. 137–141.
ICEISICEIS-1999-AlexandrovichNC #design #fault tolerance #framework
The Integrated Framework for Fault-Tolerant System Simulation and Design (AEA, RMN, VOC), p. 771.
ICEISICEIS-1999-MendesM #scalability
Traffic Simulation of Large Regions (PM, JM), p. 761.
ICEISICEIS-1999-SchumacherCHK #automation #coordination
The STL++ Coordination Language: Application to Simulating the Automation of a Trading System (MS, FC, SS, BH, OK), pp. 292–299.
TOOLSTOOLS-ASIA-1999-XieYL #uml
Applying UML to Gas Turbine Engine Simulation (ZX, JY, JL), pp. 458–464.
TOOLSTOOLS-EUROPE-1999-RamosP #information management #object-oriented #physics #representation
Needs of Object-Oriented Languages for Physics Knowledge Representation in the Simulation Field (JJR, MAP), pp. 162–171.
TOOLSTOOLS-EUROPE-1999-Telea #data flow #modelling #object-oriented
Combining Object Orientation and Dataflow Modelling in the Vission Simulation System (ACT), pp. 56–65.
AdaEuropeAdaEurope-1999-Hagenauer #distributed #on the #using
On the Use of Controlled Types for Fossil Collection in a Distributed Simulation Systems (HH), pp. 238–249.
GPCEGCSE-1999-RanaLWS #component #generative #scalability #xml
An XML Based Component Model for Generating Scientific Applications and Performing Large Scale Simulations in a Meta-computing Environment (OFR, ML, DWW, MSS), pp. 210–224.
ASEASE-1999-Bose #architecture #automation #modelling #uml #using #verification
Automated Translation of UML Models of Architectures for Verification and Simulation Using SPIN (PKB), pp. 102–109.
SACSAC-1999-PittsC #visualisation
Peripherality Based Level of Detail Switching as a Visualization Enhancement of High-Risk Simulations (GP, DC), pp. 98–104.
DACDAC-1999-BelkFTBT #design
The Simulation and Design of Integrated Inductors (NRB, MRF, MT, AJB, KLT), pp. 988–993.
DACDAC-1999-Bening #logic
A Two-State Methodology for RTL Logic Simulation (LB), pp. 672–677.
DACDAC-1999-BertaccoDQ
Cycle-Based Symbolic Simulation of Gate-Level Synchronous Circuits (VB, MD, SQ), pp. 391–396.
DACDAC-1999-ChinosiZG #clustering #parallel
Parallel Mixed-Level Power Simulation Based on Spatio-Temporal Circuit Partitioning (MC, RZ, CG), pp. 562–567.
DACDAC-1999-DalpassoBB #design #distributed
Virtual Simulation of Distributed IP-based Designs (MD, AB, LB), pp. 50–55.
DACDAC-1999-FallahAD #generative
Simulation Vector Generation from HDL Descriptions for Observability-Enhanced Statement Coverage (FF, PA, SD), pp. 666–671.
DACDAC-1999-Freund #algorithm #modelling
Passive Reduced-Order Models for Interconnect Simulation and Their Computation via Krylov-Subspace Algorithms (RWF), pp. 195–200.
DACDAC-1999-GanaiAK
Enhancing Simulation with BDDs and ATPG (MKG, AA, AK), pp. 385–390.
DACDAC-1999-KuhnRK #hardware #java
Description and Simulation of Hardware/Software Systems with Java (TK, WR, UK), pp. 790–793.
DACDAC-1999-LiTRK #modelling
Substrate Modeling and Lumped Substrate Resistance Extraction for CMOS ESD/Latchup Circuit Simulation (TL, CHT, ER, SMK), pp. 549–554.
DACDAC-1999-NarayanR #multi
Multi-Time Simulation of Voltage-Controlled Oscillators (ON, JSR), pp. 629–634.
DACDAC-1999-SimunicBM #embedded #energy
Cycle-Accurate Simulation of Energy Consumption in Embedded Systems (TS, LB, GDM), pp. 867–872.
DATEDATE-1999-BuhlerPKB #approach #performance #process #using
Efficient Switching Activity Simulation under a Real Delay Model Using a Bitparallel Approach (MB, MP, KK, UGB), p. 459–?.
DATEDATE-1999-CabodiCPQ
Computing Timed Transition Relations for Sequential Cycle-Based Simulation (GC, PC, CP, SQ), pp. 8–12.
DATEDATE-1999-MaurerS #performance
Software Bit-Slicing: A Technique for Improving Simulation Performance (PMM, WJS), pp. 786–787.
DATEDATE-1999-PasquierC #execution #realtime
An Object-Based Executable Model for Simulation of Real-Time Hw/Sw Systems (OP, JPC), pp. 782–783.
DATEDATE-1999-SantosT #fault #using
Defect-Oriented Mixed-Level Fault Simulation of Digital Systems-on-a-Chip Using HDL (MBS, JPT), p. 549–?.
DATEDATE-1999-Sasaki #semantics #state machine
A Formal Semantics for Verilog-VHDL Simulation Interoperability by Abstact State Machine (HS), p. 353–?.
DATEDATE-1999-ScherberM #flexibility #modelling #performance
An Efficient and Flexible Methodology for Modelling and Simulation of Heterogeneous Mechatronic Systems (SS, CMS), pp. 784–785.
DATEDATE-1999-UbarRM #diagrams
Cycle-based Simulation with Decision Diagrams (RU, JR, AM), pp. 454–458.
DATEDATE-1999-YangZ #fault #performance #robust
Fast, Robust DC and Transient Fault Simulation for Nonlinear Analog Circuits (ZRY, MZ), pp. 244–248.
HPCAHPCA-1999-DurbhakulaPA #multi #trade-off
Improving the Accuracy vs. Speed Tradeoff for Simulating Shared-Memory Multiprocessors with ILP Processors (MD, VSP, SVA), pp. 23–32.
PDPPDP-1999-AdelsbachPRR #parallel
Parallel simulation of incompressible fluids (AA, IP, TR, GR), pp. 273–280.
PDPPDP-1999-Dalton #hybrid #logic
A special purpose hybrid SIMD processor for logic event simulation (DD), pp. 74–83.
PDPPDP-1999-GuskenLS #quantum #scalability
Large scale simulations of quantum chromodynamics in a Europe wide SIMD-MIMD environment (SG, TL, KS), pp. 321–327.
PDPPDP-1999-OdorKVR #architecture #effectiveness #monte carlo #parallel #string
Effective Monte Carlo simulation on System-V massively parallel associative string processing architecture (, AK, GV, FR), pp. 281–288.
PDPPDP-1999-RosatoPC #framework #parallel #platform #using
Simulation of X-ray diffraction patterns using a massively parallel SIMD platform (VR, NP, FC), pp. 315–320.
PPoPPPPoPP-1999-BagrodiaDDP #parallel #performance #predict #scalability #using
Performance Prediction of Large Parallel Applications using Parallel Simulations (RB, ED, SD, TP), pp. 151–162.
PPoPPPPoPP-1999-McCurdyM #architecture #distributed #evaluation #memory management #paradigm
An Evaluation of Computing Paradigms for N-Body Simulations on Distributed Memory Architectures (CM, JMMC), pp. 25–36.
ICTSSIWTCS-1999-LatvakoskiH #communication #embedded #protocol #testing
Time Simulation Methods for Testing Protocol Software Embedded in Communicating Systems (JL, HH), pp. 379–394.
ICALPICALP-1998-PetersenR #performance #queue
Efficient Simulations by Queue Machines (HP, JMR), pp. 884–895.
FMFM-1998-Yamane #design #realtime
A Practical Hierarchical Design by Timed Simulation Relations for Real-Time Systems (SY), pp. 151–167.
ICPRICPR-1998-HansenEL #approach #finite #modelling
Region-of-interest based finite element modelling of the brain-an approach to brain surgery simulation (KVH, MSE, OVL), pp. 292–296.
ICPRICPR-1998-NakaiMI #analysis
Simulation and analysis of spectral distributions of human skin (HN, YM, SI), pp. 1065–1067.
ECOOPECOOP-1998-FritzsonE #modelling #named #object-oriented
Modelica — A Unified Object-Oriented Language for System Modelling and Simulation (PF, VE), pp. 67–90.
TOOLSTOOLS-USA-1998-CalderoniM #multi #named #tool support
MUTANT: A MultiAgent Toolkit for Artificial Life Simulation (SC, PM), pp. 218–229.
TOOLSTOOLS-USA-1998-SoulieMCC #framework #object-oriented #platform
GEAMAS V2.0: An Object Oriented Platform for Complex Systems Simulations (JCS, PM, SC, RC), p. 230–?.
AdaEuropeAdaEurope-1998-HagenauerP #ada #distributed
Ada 95 for a Distributed Simulation System (HH, WP), pp. 140–150.
AdaSIGAda-1998-HopperBHH #ada #using
Use of Ada in Digital Radar Landmass Simulation (DRLMS) (JH, JDB, HH, TH), pp. 137–139.
ICSEICSE-1998-BriandELF #benchmark #development #metric #performance #using
Using Simulation to Build Inspection Efficiency Benchmarks for Development Projects (LCB, KEE, OL, TF), pp. 340–349.
ICSEICSE-1998-HanakawaMM #development #learning
A Learning Curve Based Simulation Model for Software Development (NH, SM, KiM), pp. 350–359.
SACSAC-1998-BillardL #automaton #behaviour #distributed #learning
Simulation of period-doubling behavior in distributed learning automata (EB, SL), pp. 690–695.
SACSAC-1998-LiWP #modelling #process
Modelling and simulation of fractional ARIMA processes based on importance sampling (JSL, AW, RPZ), pp. 453–455.
ASPLOSASPLOS-1998-SchnarrL #performance #using
Fast Out-Of-Order Processor Simulation Using Memoization (ES, JRL), pp. 283–294.
DACDAC-1998-AzizKS #hybrid #using #verification
Hybrid Verification Using Saturated Simulation (AA, JHK, TRS), pp. 615–618.
DACDAC-1998-BauerBKV #configuration management #logic #performance
A Reconfigurable Logic Machine for Fast Event-Driven Simulation (JB, MB, IK, PV), pp. 668–671.
DACDAC-1998-Dill #question #verification #what
What’s Between Simulation and Formal Verification? (DLD), pp. 328–329.
DACDAC-1998-LuoWA #functional #hybrid #performance
Hybrid Techniques for Fast Functional Simulation (YL, TW, AA), pp. 664–667.
DACDAC-1998-NguyenDN #linear
Adjoint Transient Sensitivity Computation in Piecewise Linear Simulation (TVN, AD, OJN), pp. 477–482.
DACDAC-1998-OlukotunHO
Digital System Simulation: Methodologies and Examples (KO, MH, DO), pp. 658–663.
DACDAC-1998-OrshanskyCH #performance #statistics
A Statistical Performance Simulation Methodology for VLSI Circuits (MO, JCC, CH), pp. 402–407.
DACDAC-1998-Yuan #modelling #network
Electromagnetic Modeling and Signal Integrity Simulation of Power/Ground Networks in High Speed Digital Packages and Printed Circuit Boards (FYY), pp. 421–426.
DATEDATE-1998-CostaCS #modelling #performance
Efficient Techniques for Accurate Modeling and Simulation of Substrate Coupling in Mixed-Signal IC’s (JPC, MC, LMS), pp. 892–898.
DATEDATE-1998-HansenKR #comparison #interface #synthesis #using #verification
Verification by Simulation Comparison using Interface Synthesis (CH, AK, WR), pp. 436–443.
DATEDATE-1998-JemaiKJ #architecture #behaviour #synthesis
Architectural Simulation in the Context of Behavioral Synthesis (AJ, PK, AAJ), pp. 590–595.
DATEDATE-1998-Kazmierski98a #interface
Fuzzy-logic digital-analogue interfaces for accurate mixed-signal simulation (TJK), pp. 941–944.
DATEDATE-1998-KedingWCM #design #fixpoint #named
FRIDGE: A Fixed-Point Design and Simulation Environment (HK, MW, MC, HM), pp. 429–435.
DATEDATE-1998-Naroska #parallel
Parallel VHDL Simulation (EN), pp. 159–163.
DATEDATE-1998-NeulBLSHW #approach #component #modelling
A Modeling Approach to Include Mechanical Microsystem Components into the System Simulation (RN, UB, GL, PS, JH, SW), pp. 510–517.
DATEDATE-1998-RibasC #equivalence #incremental #on the #reuse #verification
On the Reuse of Symbolic Simulation Results for Incremental Equivalence Verification of Switch-Level Circuits (LR, JC), pp. 624–629.
DATEDATE-1998-RosenbergerH #approach #behaviour #functional #modelling
A Systems Theoretic Approach to Behavioural Modeling and Simulation of Analog Functional Blocks (RR, SAH), pp. 721–728.
DATEDATE-1998-SchmerlerTM #logic
Advanced Optimistic Approaches in Logic Simulation (SS, YT, KDMG), pp. 362–368.
DATEDATE-1998-TianS #fault #performance
Efficient DC Fault Simulation of Nonlinear Analog Circuits (MWT, CJRS), pp. 899–904.
HPDCHPDC-1998-MillerHPJ #distributed
Simulation Steering with SCIRun in a Distributed Environment (MM, CDH, SGP, CRJ), pp. 364–365.
LCTESLCTES-1998-LundqvistS #analysis #using
Integrating Path and Timing Analysis Using Instruction-Level Simulation Techniques (TL, PS), pp. 1–15.
PDPPDP-1998-DjemameB #logic #parallel
Parallel simulation in rewriting logic: some observations (KD, MB), pp. 197–203.
PDPPDP-1998-FraboulM #composition #modelling
Modeling and simulation of integrated modular avionics (CF, FM), pp. 102–110.
TACASTACAS-1998-Garavel #architecture #named #testing #verification
OPEN/CÆSAR: An OPen Software Architecture for Verification, Simulation, and Testing (HG), pp. 68–84.
TACASTACAS-1998-VelevB #array #memory management #modelling #performance
Efficient Modeling of Memory Arrays in Symbolic Ternary Simulation (MNV, REB), pp. 136–150.
CAVCAV-1998-GriffioenV
Normed Simulations (WODG, FWV), pp. 332–344.
ITiCSEITiCSE-1997-DixonMK #education #network #using
Using a network simulation package to teach the client-server model (MWD, TJM, JMK), pp. 71–73.
ITiCSEITiCSE-1997-Knox #architecture #design
Integrating design and simulation into a computer architecture course (DK), pp. 42–44.
DLTDLT-1997-CaludeCK #automaton
Deterministic Automata: Simulation, Universality and Minimality. Extended Abstract (CSC, EC, BK), pp. 519–537.
HCIHCI-CC-1997-KuwakinoF
Longitudinal Study of the Effect of Life and Work Events on Depressive Symptoms, Based on Computer Simulation (MK, YF), pp. 365–368.
HCIHCI-SEC-1997-OgiHWK #realtime
Real-Time Numerical Simulation in Haptic Environment (TO, MH, HW, NK), pp. 965–968.
HCIHCI-SEC-1997-SawaY #architecture #modelling
New Modeling/Analyzing Architecture and Simulation Mechanism for Complex Structure (TS, MY), pp. 363–366.
ASEASE-1997-PautlerWQ #specification
Exploiting Domain-Specific Knowledge to Refine Simulation Specifications (DP, SW, AQ), p. 117–?.
ESECESEC-FSE-1997-SchutzeRZ #generative
A Pattern-Based Application Generator for Building Simulation (MS, JPR, GZ), pp. 468–482.
DACDAC-1997-AluruW #algorithm
Algorithms for Coupled Domain MEMS Simulation (NRA, JW), pp. 686–690.
DACDAC-1997-GuptaMA #formal method #towards #using #validation
Toward Formalizing a Validation Methodology Using Simulation Coverage (AG, SM, PA), pp. 740–745.
DACDAC-1997-MirROPH #automation #evaluation #fault #named
SWITTEST: Automatic Switch-Level Fault Simulation and Test Evaluation of Switched-Capacitor Systems (SM, AR, TO, EJP, JLH), pp. 281–286.
DACDAC-1997-PomeranzR #approach #fault #multi #using
Fault Simulation under the Multiple Observation Time Approach using Backward Implications (IP, SMR), pp. 608–613.
DACDAC-1997-Roychowdhury #multi #performance
Efficient Methods for Simulating Highly Nonlinear Multi-Rate Circuits (JSR), pp. 269–274.
DACDAC-1997-TianS #agile #fault #parametricity
Rapid Frequency-Domain Analog Fault Simulation Under Parameter Tolerances (MWT, CJRS), pp. 275–280.
DACDAC-1997-WalterLDLMKW #approach #multi #random #verification
Hierarchical Random Simulation Approach for the Verification of S/390 CMOS Multiprocessors (JAW, JL, GD, BL, HJM, KWK, BW), pp. 89–94.
DATEEDTC-1997-RomanowiczLLRABMP #hardware #modelling #transducer #using
Modeling and simulation of electromechanical transducers in microsystems using an analog hardware description language (BR, ML, PL, PR, HPA, AB, VM, FP), pp. 119–123.
DATEEDTC-1997-ShojiHSKN #behaviour
Acceleration of behavioral simulation on simulation specific machines (MS, FH, SS, SK, HN), pp. 373–377.
DATEEDTC-1997-SzekelyPPRC
SISSSI-A tool for dynamic electro-thermal simulation of analog VLSI cells (VS, AP, AP, MR, AC), p. 617.
DATEEDTC-1997-WalkerG
VHDL extensions for complex transmission line simulation (PW, SG), pp. 368–372.
DATEEDTC-1997-WalkerG97a #distributed #independence
Exploiting temporal independence in distributed preemptive circuit simulation (PW, SG), pp. 378–382.
HPCAHPCA-1997-PaiRA #parallel #performance
The Impact of Instruction-Level Parallelism on Multiprocessor Performance and Simulation Methodology (VSP, PR, SVA), pp. 72–83.
PDPPDP-1997-DaneseLDL #parallel
Simulation of poly-electrolyte solutions on a parallel dedicated system (GD, IDL, DD, FL), pp. 468–476.
PDPPDP-1997-OdorVR #automaton #parallel #probability #string
Parallel simulation of ID probabilistic cellular automata on associative string processing machine (, GV, FR), pp. 149–154.
PDPPDP-1997-PearceTHCJFWC
Simulating the universe (FRP, PAT, RMH, HMPC, ARJ, CSF, SDMW, JMC), pp. 234–239.
PDPPDP-1997-PuenteSHH #network #parallel
Parallel simulation for queueing networks on multiprocessor systems (FdlPA, JDSG, PHM, FH), pp. 240–245.
PDPPDP-1997-Tibaut #parallel
Dynamic load balancing for parallel traffic simulation (AT), pp. 134–140.
TAPSOFTTAPSOFT-1997-SalinierS
Simulating Forward-Branching Systems with Constructor Systems (BS, RS), pp. 153–164.
CAVCAV-1997-VelevBJ #array #memory management #modelling #performance
Efficient Modeling of Memory Arrays in Symbolic Simulation (MNV, REB, AJ), pp. 388–399.
CSLCSL-1997-BonetG #bound #linear
Linear Lower Bounds and Simulations in Frege Systems with Substitutions (MLB, NG), pp. 115–128.
SIGMODSIGMOD-1996-LeflerSW #database #named #performance #predict
DBSim: A Simulation Tool for Predicting Database Performance (ML, MS, CW), p. 548.
ITiCSEITiCSE-1996-Nadi #source code
Simulation programs for studying computer organization (NN), p. 233.
ICALPICALP-1996-ChlebusGI
Shared-Memory Simulations on a Faulty-Memory DMM (BSC, AG, PI), pp. 586–597.
ICPRICPR-1996-ChenL #process
Simulation of closure process for line patterns (YSC, TDL), pp. 215–219.
ICPRICPR-1996-Gimelfarb96a #modelling #segmentation
Gibbs models for Bayesian simulation and segmentation of piecewise-uniform textures (GLG), pp. 760–764.
KDDKDD-1996-PfitznerS #parallel
Parallel Halo Finding in N-Body Cosmology Simulations (DWP, JKS), pp. 26–31.
AdaTRI-Ada-1996-HagenauerP #ada #parallel #prototype
Prototyping a Parallel Discrete Event Simulation System in Ada (HH, WP), pp. 11–22.
ASEKBSE-1996-EllmanM #algebra #deduction #difference #equation #network #source code #synthesis
Deductive Synthesis of Numerical Simulation Programs from Networks of Algebraic and Ordinary Differential Equations (TE, TM), p. 5.
SACSAC-1996-BarlasD #interactive
An interactive dynamic simulation model of a university management system (YB, VGD), pp. 120–128.
SACSAC-1996-HoskinsHKW
Ray tracing and the simulation of boreal forest stand dynamics (JAH, WDH, NCK, PYHW), pp. 447–453.
SACSAC-1996-KhalilSO #network #protocol
A simulation study of the mechanisms to enhance TCP protocol in wide area computer networks (KMK, YSS, MSO), pp. 77–81.
DACDAC-1996-Dahlgren #logic #using
Oscillation Control in Logic Simulation using Dynamic Dominance Grahps (PD), pp. 155–160.
DACDAC-1996-DartuTP #megamodelling
RC-Interconnect Macromodels for Timing Simulation (FD, BT, LTP), pp. 544–547.
DACDAC-1996-DesaiY #cpu #design #using #verification
A Systematic Technique for Verifying Critical Path Delays in a 300MHz Alpha CPU Design Using Circuit Simulation (MPD, YTY), pp. 125–130.
DACDAC-1996-HuangCCL #generative
Compact Vector Generation for Accurate Power Simulation (SYH, KCC, KTC, TCL), pp. 161–164.
DACDAC-1996-JohnsonCB #design #markov #metric #process
Application of a Markov Model to the Measurement, Simulation, and Diagnosis of an Iterative Design Process (EWJ, LAC, JBB), pp. 185–188.
DACDAC-1996-KantrowitzN #analysis #correctness #verification #what
I’m Done Simulating: Now What? Verification Coverage Analysis and Correctness Checking of the DECchip 21164 Alpha Microprocessor (MK, LMN), pp. 325–330.
DACDAC-1996-SchnaiderY #development #hardware
Software Development in a Hardware Simulation Environment (BS, EY), pp. 684–689.
DACDAC-1996-TodescoM #named #parallel
Symphony: A Simulation Backplane for Parallel Mixed-Mode Co-Simulation of VLSI Systems (ARWT, THYM), pp. 149–154.
DACDAC-1996-WunderLM #concept #layout #modelling #named
VAMP: A VHDL-Based Concept for Accurate Modeling and Post Layout Timing Simulation of Electronic Systems (BW, GL, KDMG), pp. 119–124.
HPDCHPDC-1996-HarrisonCGB #collaboration #development #process
Virtual Collaborative Simulation Environment for Integrated Product and Process Development (JPH, BC, MG, JB), pp. 19–22.
HPDCHPDC-1996-SolimanE #adaptation #clustering #distributed #performance
An Efficient Clustered Adaptive-Risk Technique for Distributed Simulation (HMS, ASE), pp. 383–391.
PDPPDP-1996-BraschiDLDGLM #interactive #parallel
A Parallel Processing System for Simulations of Vortex Blob Interactions (GB, GD, IDL, DD, MG, FL, MM), pp. 115–122.
PDPPDP-1996-CauZCM #scalability #specification #using
Using ITL and Tempura for Large-Scale Specification and Simulation (AC, HZ, NC, BCM), pp. 493–500.
PDPPDP-1996-DjemameGMB #approach #distributed
Distributed Simulation of ECATNets: A Conservative Approach (KD, DCG, LMM, MB), pp. 518–525.
PDPPDP-1996-Goubareni #independence #network #process
Simulation of Chaotic Iterative Processes in Speed-Independent Computing Networks (NMG), pp. 27–32.
PDPPDP-1996-GrantWZ #parallel #problem
Parallel Simulation of a Multi-Dimensional Computational Fluid Dynamics Problem (PWG, MFW, XZ), pp. 11–14.
PDPPDP-1996-MiguelABF #empirical #evaluation #network #parallel
An Empirical Evaluation of Techniques for Parallel Discrete-Event Simulation of Interconnection Networks (JMA, AA, RB, JABF), pp. 219–226.
PDPPDP-1996-SanchezBT #algorithm #approach #parallel
Deblocking Event Algorithm: A New Approach to Conservative Parallel Discrete Event Simulation (VS, AB, FT), pp. 510–517.
PDPPDP-1996-TheodoropoulosW #architecture #network
Simulating Asynchronous Architectures on Transputer Networks (GKT, JVW), pp. 274–281.
CAVCAV-1996-AmbroiseR #named #verification
Marella: A Tool for Simulation and Verification (DA, BR), pp. 458–461.
CAVCAV-1996-Baier #algorithm #bisimulation #polynomial #probability #testing
Polynomial Time Algorithms for Testing Probabilistic Bisimulation and Simulation (CB), pp. 50–61.
ICALPICALP-1995-PietracaprinaP
Improved Deterministic PRAM Simulation on the Mesh (AP, GP), pp. 372–383.
CHICHI-1995-CypherS #named #programming
KidSim: End User Programming of Simulations (AC, DCS), pp. 27–34.
SEKESEKE-1995-LuD #analysis #distributed #object-oriented #specification
An Environment for Specification, Simulation and Analysis of Distributed Object-Oriented Systems (SL, YD), pp. 402–410.
AdaEuropeAdaEurope-1995-ObermayerSL #distributed #interactive #interface #named
DIS — An Interface to Distributed Interactive Simulation (PEO, GS, RL), pp. 187–190.
SACSAC-1995-AndrusN #named
SCAD: a computer generated simulation for audit instruction (JMA, MSN), pp. 14–16.
SACSAC-1995-HaynesW #adaptation
A simulation of adaptive agents in a hostile environment (TDH, RLW), pp. 318–323.
SACSAC-1995-McBrideGF
Simulation of a state prison population (RAM, DRG, SF), pp. 427–430.
DACDAC-1995-Albrecht #concurrent #configuration management #design
Concurrent Design Methodology and Configuration Management of the SIEMENS EWSD — CCS7E Processor System Simulation (TWA), pp. 222–227.
DACDAC-1995-Chamberlain #logic #parallel
Parallel Logic Simulation of VLSI Systems (RDC), pp. 139–143.
DACDAC-1995-ChouKW #3d #approach #using
Transient Simulations of Three-Dimensional Integrated Circuit Interconnect Using a Mixed Surface-Volume Approach (MC, TK, JW), pp. 485–490.
DACDAC-1995-FrenchLLO #compilation
A General Method for Compiling Event-Driven Simulations (RSF, MSL, JRL, KO), pp. 151–156.
DACDAC-1995-KassabMRT #architecture #fault #functional
Software Accelerated Functional Fault Simulation for Data-Path Architectures (MK, NM, JR, JT), pp. 333–338.
DACDAC-1995-KonukFL #fault #network #performance
Accurate and Efficient Fault Simulation of Realistic CMOS Network Breaks (HK, FJF, TL), pp. 345–351.
DACDAC-1995-KriegerBK #fault #multi
Symbolic Fault Simulation for Sequential Circuits and the Multiple Observation Time Test Strategy (RK, BB, MK), pp. 339–344.
DACDAC-1995-Ribas-XirgoC #analysis #fault
Analysis of Switch-Level Faults by Symbolic Simulation (LR, JC), pp. 352–357.
DACDAC-1995-SilburtPBNDW #behaviour #concurrent #design #hardware #modelling
Accelerating Concurrent Hardware Design with Behavioural Modelling and System Simulation (AS, IP, JB, SN, MD, GW), pp. 528–533.
DACDAC-1995-VenkataramanHFRCP #agile #fault #using
Rapid Diagnostic Fault Simulation of Stuck-at Faults in Sequential Circuits Using Compact Lists (SV, IH, WKF, EMR, SC, JHP), pp. 133–138.
DACDAC-1995-WalkerG #algorithm #distributed #execution #parallel
Asynchronous, Distributed Event Driven Simulation Algorithm for Execution of VHDL on Parallel Processors (PAW, SG), pp. 144–150.
HPCAHPCA-1995-HurSFOK #array #design #fault #logic #parallel
Massively Parallel Array Processor for Logic, Fault, and Design Error Simulation (YH, SAS, ESF, GEO, SK), pp. 340–347.
HPCAHPCA-1995-TreiberM #design
Simulation Study of Cached RAID5 Designs (KT, JM), pp. 186–197.
HPDCHPDC-1995-AbramsonSGH #distributed #named #using
Nimrod: A Tool for Performing Parameterised Simulations Using Distributed Workstations (DA, RS, JG, BH), pp. 112–121.
HPDCHPDC-1995-Skordos #clustering #parallel
Parallel Simulation of Subsonic Fluid Dynamics on a Cluster of Workstations (PS), pp. 6–16.
HPDCHPDC-1995-Woodward #clustering #distributed #multi
Distributed Computing on Clusters of Shared-Memory Multiprocessors to Simulate Unsteady Turbulent Flows (PRW), p. 4.
PDPPDP-1995-BoianovJ #distributed #logic #network
Distributed logic circuit simulation on a network of workstations (LB, IJ), pp. 304–310.
PDPPDP-1995-GianuzziM #distributed #using
Using PVM to implement a distributed dependable simulation system (VG, FM), pp. 529–537.
PDPPDP-1995-MartinFTB #clustering #difference #distributed #equation #parallel
Distributed parallel computers versus PVM on a workstation cluster in the simulation of time dependent partial differential equations (IML, JCF, FT, AB), pp. 20–26.
PDPPDP-1995-MiguelAIB #network #parallel
Parallel simulation of message routing networks (JMA, AA, CI, RB), pp. 138–147.
PDPPDP-1995-PlatznerRW #architecture #distributed #multi
A distributed computer architecture for qualitative simulation based on a multi-DSP and FPGAs (MP, BR, RW), pp. 311–318.
ICGTTAGT-1994-Klempien-Hinrichs #confluence #decidability
Node Replacement in Hypergrahps: Simulation of Hyperedge Replacement, and Decidability of Confluence (RKH), pp. 397–411.
KDDKDD-1994-Klosgen
Exploration of Simulation Experiments by Discovery (WK), pp. 251–262.
SEKESEKE-1994-PranevitchiusP #specification #tool support #validation
Tools for validation and simulation of Estelle/Ag specifications (HP, VP), pp. 94–99.
TOOLSTOOLS-EUROPE-1994-RuperezJA #behaviour #object-oriented #rule-based #using
Embedding of Rule-based Expert System Capabilities in Object-Oriented Applications by Using or Simulating Active Behaviour (RFR, CJ, JRZA), pp. 315–327.
SASSAS-1994-MuellerW #analysis #behaviour #on the fly #performance
Efficient On-the-fly Analysis of Program Behavior and Static Cache Simulation (FM, DBW), pp. 101–115.
SACSAC-1994-Duben
The effect of the choice of motional model in the determination of molecular conformation in solution from NMR-NOESY spectra: a simulation study (AJD), pp. 561–565.
SACSAC-1994-GrubbsHTM
Motorola 68040 microprocessor simulation for the Sun Workstation (TG, BH, RT, SM), pp. 25–30.
SACSAC-1994-MortonTBS #2d #adaptation #finite
An adaptive finite element methodology for 2D simulation of two-phase flow through porous media (DJM, JMT, ATB, PAS), pp. 357–362.
ASPLOSASPLOS-1994-UhligNMS
Trap-driven Simulation with Tapeworm II (RU, DN, TNM, SS), pp. 132–144.
DACDAC-1994-BeattyB #using #verification
Formally Verifying a Microprocessor Using a Simulation Methodology (DLB, REB), pp. 596–602.
DACDAC-1994-HaqueEC #megamodelling #multi
A New Time-Domain Macromodel for Transient Simulation of Uniform/Nonuniform Multiconductor Transmission-Line Interconnections (MH, AEZ, SC), pp. 628–633.
DACDAC-1994-HenftlingWA #fault
Path Hashing to Accelerate Delay Fault Simulation (MH, HCW, KA), pp. 522–526.
DACDAC-1994-Nguyen #performance
Efficient Simulation of Lossy and Dispersive Transmission Lines (TVN), pp. 622–627.
DACDAC-1994-NielsenK #analysis #performance
Performance Analysis Based on Timing Simulation (CDN, MK), pp. 70–76.
DACDAC-1994-SilveiraEWCK #approach #performance #using
An Efficient Approach to Transmission Line Simulation Using Measured or Tabulated S-parameter Data (LMS, IME, JW, MC, KSK), pp. 634–639.
DATEEDAC-1994-FranssenNSCM #control flow #optimisation #performance
Control flow optimization for fast system simulation and storage minimization (FHMF, LN, HS, FC, HDM), pp. 20–24.
DATEEDAC-1994-FrosslK
A New Model to Uniformly Represent the Function and Timing of MOS Circuits and its Application to VHDL Simulation (JF, TK), pp. 343–348.
DATEEDAC-1994-HirechFGR #design #testing
A Redefinable Symbolic Simulation Technique to Testability Design Rules Checking (MH, OF, AG, EHR), p. 668.
DATEEDAC-1994-LiW #automaton #fault #logic
Logic and Fault Simulation by Cellular Automata (YLL, CWW), pp. 552–556.
DATEEDAC-1994-MichaelsS #modelling
Variable Accuracy Device Modeling for Event-Driven Circuit Simulation (KWM, AJS), pp. 557–561.
DATEEDAC-1994-VermeirenSE #fault
A Suggestion for Accelerating the Analog Fault Simulation (WV, BS, GE), p. 662.
DATEEDAC-1994-WuLCL #clustering #distributed #fault
Distributed Fault Simulation for Sequential Circuits by Pattern Partitioning (WCW, CLL, JEC, WYL), p. 661.
HPDCHPDC-1994-BorstCS #distributed #network
WAVE Processing of Networks and Distributed Simulation (PMB, MC, PS), pp. 61–69.
PDPPDP-1994-AhmedBA #parallel #using
Parallel Discrete Event Simulation Using Space-time Events (HA, LB, RA), pp. 40–47.
PDPPDP-1994-Tsang-PingBS #adaptation #network #parallel
Parallel Simulation Of GaAs MESFET Semiconductor Devices With Adaptive Meshing On A Transputer Network (CSTP, DMB, CMS), pp. 190–195.
ESOPESOP-1994-Buth #term rewriting
Simulation of SOS Definitions with Term Rewriting Systems (KHB), pp. 150–164.
STOCSTOC-1994-AroraRV #polynomial
Simulating quadratic dynamical systems is PSPACE-complete (SA, YR, UVV), pp. 459–467.
STOCSTOC-1994-AuerL #learning
Simulating access to hidden information while learning (PA, PML), pp. 263–272.
ICALPICALP-1993-ReifT #complexity
The Complexity of N-body Simulation (JHR, SRT), pp. 162–176.
FMFME-1993-PickinYBSG #process
Process Instances in LOTOS Simulation (SP, YY, WB, SS, TdG), pp. 521–540.
HCIHCI-ACS-1993-BextenHM #analysis #named
AUCA: A Tool for Presentation and Analysis of Simulation Results (EMzB, DH, CM), pp. 267–272.
HCIHCI-SHI-1993-BenyshK #implementation
The Implementation of Knowledge Structures in Cognitive Simulation Environments (DVB, RJK), pp. 309–314.
HCIHCI-SHI-1993-FurutaK #process
Computer Simulation Model of Cognitive Process in Group Works (KF, SK), pp. 979–984.
CAiSECAiSE-1993-CroshereRB #animation #communication #information management
An Animation Facility to Simulate an Information and Communication System (RC, RPvdR, AB), pp. 547–568.
SEKESEKE-1993-KoonoFBYOH #automation #design #towards
Software Creation: Towards Automatic Software Design By Simulating Human Designers (ZK, BHF, TB, YY, MO, KIH), pp. 327–331.
SEKESEKE-1993-QuiliciM
An Intelligent Environment for Spacecraft Simulation (AQ, LM), pp. 414–420.
OOPSLAOOPSLA-1993-MinouraPR #object-oriented
Structural Active Object Systems for Simulation (TM, SSP, KR), pp. 338–355.
TOOLSTOOLS-EUROPE-1993-HillG #animation #multi #object-oriented
A Multi-Domain Tool for Object-Oriented Simulation Animation (DRCH, MG), pp. 181–195.
TOOLSTOOLS-PACIFIC-1993-Coomber #object-oriented #realtime
An Object-Oriented Procedure for Simulating Real-Time Systems (CJC), pp. 269–278.
AdaEuropeAdaEurope-1993-ThyseliusSS #ada #tool support
Adam, an Ada Simulation Toolkit (RT, MS, BS), pp. 298–313.
ICSEICSE-1993-WangP #behaviour
Simulating the Behaviour of Software Modules by Trace Rewriting (YW, DLP), pp. 14–23.
ASEKBSE-1993-Benner #component
The ARIES Simulation Component (ASC) (KMB), p. 9.
SACSAC-1993-Goforth #editing #interactive
Histogram Editing for Semi-Quantitative Data Input in Interactive Simulations (RRRG), pp. 627–633.
SACSAC-1993-SyangS #feedback
Qualitative Simulation: A Feedback Control System (AS, YS), pp. 504–510.
SACSAC-1993-Trans
A Symbolic Simulation Model of the Organization of Human Procedural Knowledge (KT), pp. 482–489.
DACDAC-1993-Birger
The State of Simulation in Russia (AB), pp. 712–715.
DACDAC-1993-ChessL #fault
Bridge Fault simulation strategies for CMOS integrated Circuits (BC, TL), pp. 458–462.
DACDAC-1993-ChouCC #finite #modelling #performance #using
High-Speed Interconnect Modeling and High-Accuracy Simulation Using SPICE and Finite Element Methods (TYC, JC, ZJC), pp. 684–690.
DACDAC-1993-LiuCS #behaviour #using #verification
Analog System Verification in the Presence of Parasitics Using Behavioral Simulation (EWYL, HCC, ALSV), pp. 159–163.
DACDAC-1993-MeyerC #fault #multi #performance
Fast Hierarchical Multi-Level Fault Simulation of Sequential Circuits with Switch-Level Accuracy (WM, RC), pp. 515–519.
DACDAC-1993-StamoulisH #correlation #probability
Improved Techniques for Probabilistic Simulation Including Signal Correlation Effects (GIS, INH), pp. 379–383.
DACDAC-1993-VisweswariahW #incremental
Incremental Event-Driven Simulation of Digital FET Circuits (CV, JAW), pp. 737–741.
DACDAC-1993-YangLYD #performance
An Efficient Non-Quasi-Static Diode Model for Circuit Simulation (ATY, YL, JTY, RRD), pp. 720–725.
DACDAC-1993-YuanPR #component #evaluation #logic
Evaluation of Parts by Mixed-Level DC-Connected Components in Logic Simulation (DCY, LTP, JTR), pp. 367–372.
PDPPDP-1993-CremonesiFFP #algorithm #parallel
Parallel algorithms applied to direct simulation methods (PC, MF, AF, RP), pp. 239–246.
PDPPDP-1993-KalanteryWWR #lookahead #network #parallel
Exploiting lookahead in parallel simulation of SS7 telecommunication networks (NK, SCW, DRW, APR), pp. 324–329.
PDPPDP-1993-MoisanDC #concurrent #distributed #memory management #network #object-oriented #programming
An object-oriented concurrent programming model for simulation applications on distributed memory processors network (BM, YD, RC), pp. 78–85.
STOCSTOC-1993-GoldmannK
Simulating threshold circuits by majority circuits (MG, MK), pp. 551–560.
STOCSTOC-1993-NisanZ
More deterministic simulation in logspace (NN, DZ), pp. 235–244.
CAVCAV-1993-Sogaard-AndersenGGLP #proving
Computer-Assisted Simulation Proofs (JFSA, SJG, JVG, NAL, AP), pp. 305–319.
CHICHI-1992-CodellaJKLLLRWNST #interactive #multi
Interactive simulation in a multi-person virtual world (CFC, RJ, LK, JBL, DTL, JSL, DAR, CPW, AN, PS, GT), pp. 329–334.
CHICHI-1992-ShawLGS #artificial reality
The decoupled simulation model for virtual reality systems (CDS, JL, MG, YS), pp. 321–328.
SEKESEKE-1992-LudewigBDSS #named
SESAM — Simulating Software Projects (JL, TB, MD, KS, JS), pp. 608–615.
SEKESEKE-1992-MillerQ #approach #knowledge-based #modelling #reuse #source code #using
A Knowledge-Based Approach to Encouraging Reuse of Simulation and Modeling Programs (LM, AQ), pp. 158–163.
TOOLSTOOLS-EUROPE-1992-Bezivin #object-oriented
Object-Oriented Simulation (JB), p. 411.
TOOLSTOOLS-PACIFIC-1992-DebrayH #c++
Some Classes for Object-Based Simulation in C++ (TD, JBH), pp. 143–152.
TOOLSTOOLS-USA-1992-Stevens #assessment #effectiveness #object-oriented
Application of Object-Oriented Simulation to the Assessment of Military Systems Effectiveness (WKS), pp. 165–170.
AdaEuropeAdaEurope-1992-OttensT #ada #specification
Simulation of Mosca Specifications in Ada (AO, HT), pp. 182–196.
AdaTRI-Ada-C-1992-FayadHRST #development #object-oriented
Hardware-In-the-Loop (HIL) Simulation: An Application of Colbert’s Object-Oriented Software Development Method (MF, LJH, MAR, JWS, WTT), pp. 176–188.
ASEKBSE-1992-Terwilliger #design #process
Simulating the Gries/Dijkstra Design Process (RBT), p. 22.
DACDAC-1992-BoseA #concurrent #fault #logic #memory management #message passing #multi
Concurrent Fault Simulation of Logic Gates and Memory Blocks on Message Passing Multicomputers (SB, PA), pp. 332–335.
DACDAC-1992-GennartL #using #validation
Validating Discrete Event Simulations Using Event Pattern Mappings (BAG, DCL), pp. 414–419.
DACDAC-1992-GuptaCM #component #hardware #synthesis
Synthesis and Simulation of Digital Systems Containing Interacting Hardware and Software Components (RKG, CJNCJ, GDM), pp. 225–230.
DACDAC-1992-Hirose #evaluation #logic #performance
Performance Evaluation of an Event-Driven Logic Simulation Machine (FH), pp. 428–431.
DACDAC-1992-JuS #incremental #using
Incremental Circuit Simulation Using Waveform Relaxation (YCJ, RAS), pp. 8–11.
DACDAC-1992-LeeM #logic #multi
Two New Techniques for Compiled Multi-Delay Logic Simulation (YSL, PMM), pp. 420–423.
DACDAC-1992-LeeR92a #concurrent #fault #on the #performance
On Efficient Concurrent Fault Simulation for Synchronous Sequential Circuits (DHL, SMR), pp. 327–331.
DACDAC-1992-LingKW #3d #approach #bound
A Boundary-Element Approach to Transient simulation of Three-Dimensional Integrated Circuit Interconnect (DDL, SK, JW), pp. 93–98.
DACDAC-1992-LinK
Transient Simulation of Lossy Interconnect (SL, ESK), pp. 81–86.
DACDAC-1992-RaghavanBR #named #performance #problem
AWESpice: A General Tool for the Accurate and Efficient Simulation of Interconnect Problems (VR, JEB, RAR), pp. 87–92.
DACDAC-1992-RoychowdhuryNP #linear
Simulating Lossy Interconnect with High Frequency Nonidealities in Linear Time (JSR, ARN, DOP), pp. 75–80.
HPDCHPDC-1992-BakerHW #distributed #scheduling
A Distributed Scheduling Simulation (DB, RFH, KGW), pp. 270–279.
HPDCHPDC-1992-BeckerD #distributed
Particle Simulation on Heterogeneous Distributed Supercomputers (JCB, LD), pp. 133–140.
HPDCHPDC-1992-MinnichP
A Radiative Heat Transfer Simulation on a SPARCStation Farm (RM, DVP), pp. 124–132.
STOCSTOC-1992-KarpLH #distributed #memory management #performance
Efficient PRAM Simulation on a Distributed Memory Machine (RMK, ML, FMadH), pp. 318–326.
CAVCAV-1992-BensalemBLS
Property Preserving Simulations (SB, AB, CL, JS), pp. 260–273.
RTARTA-1991-Bundgen #algorithm
Simulation Buchberger’s Algorithm by Knuth-Bendix Completion (RB), pp. 386–397.
CHICHI-1991-GaverSO #effectiveness
Effective sounds in complex systems: the ARKOLA simulation (WWG, RBS, TO), pp. 85–90.
KRKR-1991-Wellman #constraints #multi
Qualitative Simulation with Multivariate Constraints (MPW), pp. 547–557.
ICMLML-1991-Shultz #development #modelling
Simulating Stages of Human Cognitive Development With Connectionist Models (TRS), pp. 105–109.
DACDAC-1991-AuWS #automation #generative
Automatic Generation of Compiled Simulations through Program Specialization (WYA, DW, SS), pp. 205–210.
DACDAC-1991-BrinerEK #parallel
Breaking the Barrier of Parallel Simulation of Digital Systems (JVBJ, JLE, GK), pp. 223–226.
DACDAC-1991-ChewS #logic #multi
Utilizing Logic Information in Multi-Level Timing Simulation (MPC, AJS), pp. 215–218.
DACDAC-1991-JainB #hardware
Mapping Switch-Level Simulation onto Gate-Level Hardware Accelerators (AJ, REB), pp. 219–222.
DACDAC-1991-Jones91a
Accelerating Switch-Level Simulation by Function Caching (LGJ), pp. 211–214.
DACDAC-1991-Kitamura #algorithm #fault
Sequential Circuit Fault Simulation by Fault Information Tracing Algorithm: FIT (YK), pp. 151–154.
DACDAC-1991-MontessoroG #concurrent #fault #multi #named #performance
Creator: General and Efficient Multilevel Concurrent Fault Simulation (PLM, SG), pp. 160–163.
DACDAC-1991-PitchumaniMR #fault
A System for Fault Diagnosis and Simulation of VHDL Descriptions (VP, PM, NR), pp. 144–150.
DACDAC-1991-RoychowdhuryP #performance
Efficient Transient Simulation of Lossy Interconnect (JSR, DOP), pp. 740–745.
DACDAC-1991-SteinNGR #adaptation #named
ADAPTS: A Digital Transient Simulation Strategy for Integrated Circuits (ADS, TVN, BJG, RAR), pp. 26–31.
DACDAC-1991-VandrisS #algorithm #fault #memory management #performance
Algorithms for Fast, Memory Efficient Switch-Level Fault Simulation (EV, GES), pp. 138–143.
DACDAC-1991-VisweswariahR #performance
Efficient Simulation of Bipolar Digital ICs (CV, RAR), pp. 32–37.
DACDAC-1991-YangCYDH #modelling #parametricity
Modeling and Simulation of High-Frequency Integrated Circuits Based on Scattering Parameters (ATY, CHC, JTY, RRD, JPH), pp. 752–757.
CAVCAV-1991-DillHW #using
Checking for Language Inclusion Using Simulation Preorders (DLD, AJH, HWT), pp. 255–265.
ICLPISLP-1991-ShenH #independence
A Simulation Study of Or- and and Independent And-parallelism (KS, MVH), pp. 135–151.
DACDAC-1990-AdamiakAPRW
System Simulation of Printed Circuit Boards Including Packages and Connectors (KA, RA, JP, CR, AW), pp. 413–418.
DACDAC-1990-Bryant
Symbolic Simulation — Techniques and Applications (REB), pp. 517–521.
DACDAC-1990-ForsytheAYAG #development
NASFLOW, a Simulation Tool for Silicon Technology Development (DDF, APA, CSY, SA, BG), pp. 333–337.
DACDAC-1990-HungWGS #parallel #using
Parallel Circuit Simulation Using Hierarchical Relaxation (GGH, YCW, KG, RAS), pp. 394–399.
DACDAC-1990-IshiuraDY #diagrams #using
Coded Time-Symbolic Simulation Using Shared Binary Decision Diagram (NI, YD, SY), pp. 130–135.
DACDAC-1990-MaurerW
Techniques for Unit-Delay Compiled Simulation (PMM, ZW), pp. 480–484.
DACDAC-1990-SubramanianZ #distributed #logic #parallel
Distributed and Parallel Demand Driven Logic Simulation (KS, MRZ), pp. 485–490.
DACDAC-1990-WangM #logic #named
LECSIM: A Levelized Event Driven Compiled Logic Simulation (ZW, PMM), pp. 491–496.
DACDAC-1990-WardA #behaviour #fault
Behavioral Fault Simulation in VHDL (PCW, JRA), pp. 587–593.
STOCSTOC-1990-Cleve #bound #source code #towards
Towards Optimal Simulations of Formulas by Bounded-Width Programs (RC), pp. 271–277.
CAVCAV-1990-JanickiK #graph #reachability #using
Using Optimal Simulations to Reduce Reachability Graphs (RJ, MK), pp. 166–175.
CAVCAV-1990-LoewensteinD #higher-order #logic #multi #protocol #using #verification
Verification of a Multiprocessor Cache Protocol Using Simulation Relations and Higher-Order Logic (PL, DLD), pp. 302–311.
RTARTA-1989-Dauchet #linear #turing machine
Simulation of Turing Machines by a Left-Linear Rewrite Rule (MD), pp. 109–120.
SEKESEKE-1989-LiRPS #execution #hybrid #modelling #paradigm
A Hybrid Modeling Paradigm for Simulating Existing Software and Its Execution Environment (XL, AR, RP, JS), pp. 61–66.
OOPSLAOOPSLA-1989-FentonB #named #object-oriented
Playground: An Object-Oriented Simulation System With Agent Rules for Children of All Ages (JF, KB), pp. 123–137.
DACDAC-1989-ChengY #difference #fault #memory management #performance #using
Differential Fault Simulation — a Fast Method Using Minimal Memory (WTC, MLY), pp. 424–428.
DACDAC-1989-ChoB #fault #generative
Test Pattern Generation for Sequential MOS Circuits by Symbolic Fault Simulation (KC, REB), pp. 418–423.
DACDAC-1989-ChungC #parallel #using
Data Parallel Simulation Using Time-Warp on the Connection Machine (MJC, YC), pp. 98–103.
DACDAC-1989-George #hardware #modelling
Evaluating Hardware Models in DIGITAL’s System Simulation Environment (AKG), pp. 642–644.
DACDAC-1989-HoevenLDD #network
A New Model for the High Level Description and Simulation of VLSI Networks (AJvdH, AAdL, EFD, PD), pp. 738–741.
DACDAC-1989-IshiuraTY #behaviour #logic #verification
Time-Symbolic Simulation for Accurate Timing Verification of Asynchronous Behavior of Logic Circuits (NI, MT, SY), pp. 497–502.
DACDAC-1989-KravitzBR #parallel
Massively Parallel Switch-Level Simulation: A Feasibility Study (SAK, REB, RAR), pp. 91–97.
DACDAC-1989-MilsomSCMAS #layout #named
FACET: A CAE System for RF Analogue Simulation Including Layout (RFM, KJS, SGC, JCM, SA, FNS), pp. 622–625.
DACDAC-1989-NarayananP #algorithm #fault #parallel
A Massively Parallel Algorithm for Fault Simulation on the Connection Machine (VN, VP), pp. 734–737.
DACDAC-1989-NgV #framework #multi #scheduling
A Framework for Scheduling Multi-Rate Circuit Simulation (APCN, VV), pp. 19–24.
DACDAC-1989-RumseyS
An ASIC Methodology for Mixed Analog-Digital Simulation (MR, JS), pp. 618–621.
DACDAC-1989-SadayappanV #matrix #performance
Efficient Sparse Matrix Factorization for Circuit Simulation on Vector Supercomputers (PS, VV), pp. 13–18.
DACDAC-1989-SchulzFF #fault #parallel
Parallel Pattern Fault Simulation of Path Delay Faults (MHS, FF, KF), pp. 357–363.
DACDAC-1989-SouleG #distributed #logic #parallel
Characterization of Parallelism and Deadlocks in Distributed Digital Logic Simulation (LS, AG), pp. 81–86.
DACDAC-1989-WangM #functional #scheduling
Scheduling High-Level Blocks for Functional Simulation (ZW, PMM), pp. 87–90.
DACDAC-1989-YangK #development #named #novel
iSMILE: A Novel Circuit Simulation Program with Emphasis on New Device Model Development (ATY, SMK), pp. 630–633.
ICALPICALP-1988-ChangIP #bound #modelling #parallel #performance
Efficient Simulations of Simple Models of Parallel Computation by Time-Bounded ATM’s and Space-Bounded TM’s (JHC, OHI, MAP), pp. 119–132.
DACDAC-1988-Adler #logic
A Dynamically-Directed Switch Model for MOS Logic Simulation (DA), pp. 506–511.
DACDAC-1988-ChoiHB #algorithm
Incremental-in-time Algorithm for Digital Simulation (KC, SYH, TB), pp. 501–505.
DACDAC-1988-DubaRAR #distributed #fault
Fault Simulation in a Distributed Environment (PAD, RKR, JAA, WAR), pp. 686–691.
DACDAC-1988-GaiMS #algorithm #concurrent #fault #performance
The Performance of the Concurrent Fault Simulation Algorithms in MOZART (SG, PLM, FS), pp. 692–697.
DACDAC-1988-GuraA
Improved Methods of Simulating RLC Couple and Uncoupled Transmission Lines Based on the Method of Characteristics (CVG, JAA), pp. 300–305.
DACDAC-1988-Hansen #compilation #hardware #logic
Hardware Logic Simulation by Compilation (CH), pp. 712–716.
DACDAC-1988-HarelK #approach #fault #graph
A Graph Compaction Approach to Fault Simulation (DH, BK), pp. 601–604.
DACDAC-1988-HeydemannPD #architecture
The Architecture of a Highly Integrated Simulation System (MH, AP, DD), pp. 617–621.
DACDAC-1988-HillAHS #algorithm #fault
A New Two Task Algorithm for Clock Mode Fault Simulation in Sequential Circuits (FJH, EA, WKH, GQS), pp. 583–586.
DACDAC-1988-KazamaKNM #algorithm #evaluation #logic #performance
Algorithm for Vectorizing Logic Simulation and Evaluation of “VELVET” Performance (YK, YK, MN, HM), pp. 231–236.
DACDAC-1988-Lewis #hardware #programmable
A Programmable Hardware Accelerator for Compiled Electrical Simulation (DML), pp. 172–177.
DACDAC-1988-MotoharaMUMS #approach #fault #performance
An Approach to Fast Hierarchical Fault Simulation (AM, MM, MU, YM, MS), pp. 698–703.
DACDAC-1988-SaitohINKMHHK #logic #using
Logic Simulation System Using Simulation Processor (SP) (MS, KI, AN, MK, JM, HH, FH, NK), pp. 225–230.
DACDAC-1988-SouleB #logic #parallel
Parallel Logic Simulation on General Purpose Machines (LS, TB), pp. 166–171.
PPoPPPPEALS-1988-Nicol #network #parallel #probability
Parallel Discrete-Event Simulation Of FCFS Stochastic Queuing Networks (DMN), pp. 124–137.
STOCSTOC-1988-BhattCHLR #network
Optimal Simulations by Butterfly Networks (SNB, FRKC, JWH, FTL, ALR), pp. 192–204.
HCIHCI-CE-1987-Gutierrez #elicitation #requirements
A System Simulation System to Support the Elicitation of Information Requirements by End-Users (OG), pp. 529–536.
HCIHCI-CE-1987-YoshikawaMNUW #flexibility #interface
Realization of Flexible User-Interface System for Module-Based Simulation System of Nuclear Power Plant (HY, NM, NN, NU, JW), pp. 249–256.
HCIHCI-CE-1987-Zimolong #flexibility
Decision Aids and Risk Taking in Flexible Manufacturing Systems: A Simulation Study (BZ), pp. 265–272.
HCIHCI-SES-1987-HartBCWM
Responses of Type A and Type B Individuals Performing a Supervisory Control Simulation (SGH, VB, MAC, MMW, MM), pp. 67–74.
ECOOPECOOP-1987-Kreutzer #object-oriented
A Modeller’s Workbench: Experiments in Object-Oriented Simulation (WK), pp. 203–212.
ECOOPECOOP-1987-MaruichiUT #behaviour
Behavioral Simulation Based on Knowledge Objects (TM, TU, MT), pp. 213–222.
OOPSLAOOPSLA-1987-Bezivin #object-oriented
Some Experiments In Object-Oriented Simulation (JB), pp. 394–405.
OOPSLAOOPSLA-1987-Meulen #interactive #named #smalltalk
INSIST: Interactive Simulation in Smalltalk (PSvdM), pp. 366–376.
DACDAC-1987-LathropHK #abstraction #functional #modelling
Functional Abstraction from Structure in VLSI Simulation Models (RHL, RJH, RSK), pp. 822–828.
DACDAC-1987-SchultzB #fault
Accelerated Transition Fault Simulation (MHS, FB), pp. 237–243.
DACDAC-1987-SmithMB
Demand Driven Simulation: BACKSIM (SPS, MRM, BB), pp. 181–187.
DACDAC-1987-SmithSS #architecture #parallel #performance
Faster Architectural Simulation Through Parallelism (JWS, KSS, RJSI), pp. 189–194.
DACDAC-1987-SouleB #abstraction #parallel #statistics
Statistics for Parallelism and Abstraction Level in Digital Simulation (LS, RB), pp. 588–591.
DACDAC-1987-StablerB #comparison
Boolean Comparison by Simulation (EPS, HB), pp. 584–587.
DACDAC-1987-StarkH #named
RED: Resistance Extraction for Digital Simulation (DS, MH), pp. 570–573.
DACDAC-1987-TanTBVP #performance #self
A Fast Signature Simulation Tool for Built-In Self-Testing Circuits (SBT, KT, KB, PV, RP), pp. 17–25.
DACDAC-1987-VladimirescuWKBKDNJL #hardware
A Vector Hardware Accelerator with Circuit Simulation Emphasis (AV, DW, MK, ZB, AK, KD, KCN, NJ, SL), pp. 89–94.
DACDAC-1987-WebberS
Circuit Simulation on the Connection Machine (DMW, ALSV), pp. 108–113.
SOSPSOSP-1987-JeffersonBWBLHLSTWWYB #distributed #operating system
Distributed Simulation and the Time Wrap Operating System (DRJ, BB, FW, LB, MDL, PH, PL, KS, JT, LVW, JJW, HY, SB), pp. 77–93.
TAPSOFTCFLP-1987-PerceboisFDSB #architecture #distributed #graph #multi #prolog
Simulation Results of a Multiprocessor Prolog Architecture Based on a Distributed and/or Graph (CP, IF, ID, CS, BB), pp. 126–139.
STOCSTOC-1987-AjtaiKS
Deterministic Simulation in LOGSPACE (MA, JK, ES), pp. 132–140.
STOCSTOC-1987-Goldreich #formal method #towards
Towards a Theory of Software Protection and Simulation by Oblivious RAMs (OG), pp. 182–194.
ICLPSLP-1987-OhwadaM87 #logic programming #parallel
Qualitative Simulation in Parallel Logic Programming (HO, FM), pp. 480–489.
ICLPSLP-1987-ShenW87 #execution #prolog
A Simulation Study of the Argonne Model for Or-Parallel Execution of Prolog (KS, DHDW), pp. 45–68.
ICALPICALP-1986-BrzozowskiS #analysis #network
Correspondence between Ternary Simulation and Binary Race Analysis in Gate Networks (JAB, CJHS), pp. 69–78.
DACDAC-1986-Canright
Simulating and controlling the effects of transmission line impedance mismatches (REC), pp. 778–785.
DACDAC-1986-Frank #parallel
Exploiting parallelism in a switch-level simulation machine (EHF), pp. 20–26.
DACDAC-1986-Ghosh #approach #fault #functional #rule-based #verification
A rule-based approach to unifying functional and fault simulation and timing verification (SG), pp. 677–682.
DACDAC-1986-IvieL #named
STL — a high level language for simulation and test (JI, KWLL), pp. 517–523.
DACDAC-1986-MiyoshiOSOA #design #logic #scalability
An extensive logic simulation method of very large scale computer design (MM, YO, AS, NO, NA), pp. 360–365.
DACDAC-1986-Smith #logic #parallel
Fundamentals of parallel logic simulation (RJSI), pp. 2–12.
DACDAC-1986-TakasakiSNIK #hardware #logic
HAL II: a mixed level hardware logic simulation system (ST, TS, NN, HI, NK), pp. 581–587.
DACDAC-1986-WongFCS #logic #statistics
Statistics on logic simulation (KFW, MAF, RDC, BLS), pp. 13–19.
STOCSTOC-1986-GalilKS #graph #nondeterminism #on the #turing machine
On Nontrivial Separators for k-Page Graphs and Simulations by Nondeterministic One-Tape Turing Machines (ZG, RK, ES), pp. 39–49.
STOCSTOC-1986-KosarajuA #array
Optimal Simulations between Mesh-Connected Arrays of Processors (SRK, MJA), pp. 264–272.
ICSEICSE-1985-PottsBCM #design #specification #validation
Discrete Event Simulation as a Means of Validating JSD Design Specifications (CP, AB, BHC, RM), pp. 119–127.
DACDAC-1985-AshokCS #data flow #modelling #using
Modeling switch-level simulation using data flow (VA, RLC, PS), pp. 637–644.
DACDAC-1985-Frank #data-driven #using
Switch-level simulation of VLSI using a special-purpose data-driven computer (EHF), pp. 735–738.
DACDAC-1985-GuptaA #fault #functional #modelling
Functional fault modeling and simulation for VLSI devices (AKG, JRA), pp. 720–726.
DACDAC-1985-HahnF #music #named #performance
MuSiC: an event-flow computer for fast simulation of digital systems (WH, KF), pp. 338–344.
DACDAC-1985-LathropK #functional #object-oriented
An extensible object-oriented mixed-mod functional simulation system (RHL, RSK), pp. 630–636.
DACDAC-1985-Lewis #hardware
A hardware engine for analogue mode simulation of MOS digital circuits (DML), pp. 345–351.
DACDAC-1985-MiyoshiKTNA #logic
Speed up techniques of logic simulation (MM, YK, OT, YN, NA), pp. 812–815.
DACDAC-1985-MokkaralaFA #approach #functional #verification
A unified approach to simulation and timing verification at the functional level (VRM, AF, RA), pp. 757–761.
ICALPICALP-1984-IbarraKR #performance #strict
Space and Time Efficient Simulations and Characterizations of Some Restricted Classes of PDAs (OHI, SMK, LER), pp. 247–259.
DACDAC-1984-DeutschN #implementation #multi
A multiprocessor implementation of relaxation-based electrical circuit simulation (JTD, ARN), pp. 350–357.
DACDAC-1984-GlazierA #hardware #logic #named
Ultimate: A hardware logic simulation engine (MEG, APA), pp. 336–342.
DACDAC-1984-JainA #fault #named
STAFAN: An alternative to fault simulation (SKJ, VDA), pp. 18–23.
DACDAC-1984-KawaiH #fault
An experimental MOS fault simulation program CSASIM (MK, JPH), pp. 2–9.
DACDAC-1984-TakahashiKYEF #logic #network
An MOS digital network model on a modified thevenin equivalent for logic simulation (TT, SK, OY, KE, HF), pp. 549–555.
DACDAC-1984-ThamWW #design #functional #multi #verification
Functional design verification by multi-level simulation (KT, RW, DW), pp. 473–478.
ICALPICALP-1983-Vitanyi #on the
On the Simulation of Many Storage Heads by a Single One (PMBV), pp. 687–694.
RERE-1983-Winkler #german
Anforderungsbeschreibung und Simulation mit Net-Modellen (PW), pp. 198–207.
DACDAC-1983-AbramoviciMM #fault
Critical path tracing — an alternative to fault simulation (MA, PRM, DTM), pp. 214–220.
DACDAC-1983-BarzilaiHSTW #logic #using
Simulating pass transistor circuits using logic simulation machines (ZB, LMH, GMS, DTT, LSW), pp. 157–163.
DACDAC-1983-BastianEFHM #specification
Symbolic Parasitic Extractor for Circuit Simulation (SPECS) (JDB, ME, PJF, CEH, LPM), pp. 346–352.
DACDAC-1983-BoardM #architecture #evaluation #interactive #parallel
An interactive simulation facility for the evaluation of shared-resource architectures (Parallel ARchitecture SIMulator — PARSIM) (JABJ, PNM), pp. 83–92.
DACDAC-1983-ChengGKW #development #functional
Functional simulation shortens the development cycle of a new computer (RC, BG, KK, JW), pp. 515–519.
DACDAC-1983-FlakeMM #algebra #logic
An algebra for logic strength simulation (PF, PM, GM), pp. 615–618.
DACDAC-1983-KozakBG #array #design
Design aids for the simulation of bipolar gate arrays (PK, AKB, AG), pp. 286–292.
DACDAC-1983-SangsterM #logic #named
Aquarius: Logic simulation on an Engineering Workstation (AS, JM), pp. 93–99.
DACDAC-1983-Ulrich #concurrent #design #verification
A design verification methodology based on concurrent simulation and clock suppression (EU), pp. 709–712.
SIGMODSIGMOD-1982-Bell #modelling #source code
Data Modelling of Scientific Simulation Programs (JLB), pp. 79–86.
ICALPICALP-1982-Vitanyi #multi #performance
Efficient Simulations of Multicounter Machines (PMBV), pp. 546–560.
SIGIRSIGIR-1982-TagueN #database #retrieval #using
Simulation of Bibliographic Retrieval Databases Using Hyperterms (JT, MJN), pp. 194–208.
DACDAC-1982-AbramoviciLM #logic
A logic simulation machine (MA, YHL, PRM), pp. 65–73.
DACDAC-1982-Denneau
The Yorktown Simulation Engine (MD), pp. 55–59.
DACDAC-1982-DesMaraisSW #functional #modelling
A functional level modelling language for digital simulation (PJD, ESYS, PSW), pp. 315–320.
DACDAC-1982-Hayes #fault
A fault simulation methodology for VLSI (JPH), pp. 393–399.
DACDAC-1982-HirakawaSM #logic
Logic simulation for LSI (KH, NS, MM), pp. 755–761.
DACDAC-1982-KronstadtP
Software support for the Yorktown Simulation Engine (EK, GFP), pp. 60–64.
DACDAC-1982-MaisselO #approach #design #documentation #hardware #interactive #synthesis
Interactive design language: A unified approach to hardware simulation, synthesis and documentation (LIM, DLO), pp. 193–201.
DACDAC-1982-NestorT #design #implementation #multi #representation
Defining and implementing a multilevel design representation with simulation applications (JAN, DET), pp. 740–746.
DACDAC-1982-Pfister
The Yorktown Simulation Engine: Introduction (GFP), pp. 51–54.
DACDAC-1982-SakaiTYOOKKY #design #interactive #logic
An Interactive Simulation System for structured logic design — ISS (TS, YT, HY, YO, YO, HK, SK, SY), pp. 747–754.
DACDAC-1982-ShivaC #composition #synthesis #using
Modular description/simulation/synthesis using DDL (SGS, JAC), pp. 321–329.
DACDAC-1982-UlrichH #modelling #network
Speed and accuracy in digital network simulation based on structural modeling (EU, DH), pp. 587–593.
STOCSTOC-1982-Pippenger #probability
Probabilistic Simulations (NP), pp. 17–26.
STOCSTOC-1982-Vitanyi #multi #realtime #turing machine
Real-Time Simulation of Multicounters by Oblivious One-Tape Turing Machines (PMBV), pp. 27–36.
ICLPILPC-1982-RoachF82 #migration #prolog
A Prolog Simulation of Migration Decision Making in a Less Developed Country (JWR, TDF), pp. 110–115.
SIGIRSIGIR-1981-TagueN #retrieval
Simulation of User Judgments in Bibliographic Retrieval Systems (JT, MJN), pp. 66–71.
DACDAC-1981-BreuerP #roadmap
Digital system simulation: Current status and future trends or darwin’s theory of simulation (MAB, ACP), pp. 269–275.
DACDAC-1981-Cory #functional #verification
Symbolic simulation for functional verification with ADLIB and SDL (WEC), pp. 82–89.
DACDAC-1981-HirschhornHB #algorithm #data type #functional
Functional level simulation in FANSIM3 — algorithms, data structures and results (SH, MH, CB), pp. 248–255.
DACDAC-1981-Krohn
Vector coding techniques for high speed digital simulation (HEK), pp. 525–529.
DACDAC-1981-Leinwand #logic #process
Process oriented logic simulation (SML), pp. 511–517.
DACDAC-1981-RaethALB #functional #logic #modelling
Functional modelling for logic simulation (PGR, JMA, GBL, JMB), pp. 791–795.
DACDAC-1981-Ruehli #analysis #logic #modelling #overview #scalability
Survey of analysis, simulation and modeling for large scale logic circuits (AER), pp. 124–129.
DACDAC-1981-Sherwood #logic #modelling
A MOS modelling technique for 4-state true-value hierarchical logic simulation or Karnough knowledge (WS), pp. 775–785.
SIGIRSIGIR-1980-TagueNW #problem #retrieval
Problems in the Simulation of Bibliographic Retrieval Systems (JT, MJN, HW), pp. 236–255.
DACDAC-1980-DyerLMS #design #using
The use of graphics processors for circuit design simulation at GTE AE Labs (JD, AL, EJM, WDS), pp. 446–450.
DACDAC-1980-GiambiasiMM #deduction #fault
Methods for generalized deductive fault simulation (NG, AM, DM), pp. 386–392.
DACDAC-1980-HanauL #approach #design #interactive #prototype
A prototyping and simulation approach to interactive computer system design (PRH, DRL), pp. 572–578.
DACDAC-1980-NashRST #functional
Functional level simulation at Raytheon (DCN, KR, PS, MT), pp. 634–641.
DACDAC-1980-ThompsonKRRSB #functional
The incorporation of functional level element routines into an existing digital simulation system (EWT, PGK, WRRJ, DR, JS, RvB), pp. 394–401.
DACDAC-1980-Ulrich #flexibility #logic #performance
Table lookup techniques for fast and flexible digital logic simulation (EU), pp. 560–563.
DACDAC-1980-UlrichLPTKEB #concurrent #fault #performance
High-speed concurrent fault simulation with vectors and scalars (EU, DL, NP, JT, MK, TE, RB), pp. 374–380.
DACDAC-1979-AkinoSKN #verification
Circuit simulation and timing verification based on MOS/LSI mask information (TA, MS, YK, TN), pp. 88–94.
DACDAC-1979-Barbacci #evaluation #set #specification #synthesis
Instruction set processor specifications for simulation, evaluation, and synthesis (MB), pp. 64–72.
DACDAC-1979-Bening #logic #physics
Developments in computer simulation of gate level physical logic (LB), pp. 561–567.
DACDAC-1979-CarterJB #design
Symbolic simulation for correct machine design (WCC, WHJJ, DB), pp. 280–286.
DACDAC-1979-El-Ziq #fault #generative #network #performance #testing
Testing of MOS combinational networks a procedure for efficient fault simulation and test generation (YMEZ), pp. 162–170.
DACDAC-1979-GardnerW #modelling
Hierarchical modeling and simulation in VISTA (RIG, PBW), pp. 403–405.
DACDAC-1979-GiambiasiMM #named #network #scalability
SILOG: A practical tool for large digital network simulation (NG, AM, DM), pp. 263–271.
DACDAC-1979-HillC #generative #multi #named
SABLE: A tool for generating structured, multi-level simulations (DDH, WMvC), pp. 272–279.
DACDAC-1979-KjelkerudT #deduction #fault #generative #logic #testing #using
Generation of hazard free tests using the D-algorithm in a timing accurate system for logic and deductive fault simulation (EK, OT), pp. 180–184.
DACDAC-1979-KjelkerudT79a #logic #modelling
Methods of modelling digital devices for logic simulation (EK, OT), pp. 235–241.
DACDAC-1979-NavabiH #performance
Efficient simulation of AHPL (ZN, FJH), pp. 255–262.
DACDAC-1979-SchilerG #energy
Computer simulation of foliage shading in building energy loads (MS, DPG), pp. 142–148.
DACDAC-1979-Wilcox #functional #logic
Digital logic simulation at the gate and functional level (PSW), pp. 242–248.
STOCSTOC-1979-Kosaraju79aa #realtime
Real-Time Simulation of Concatenable Double-Ended Queues by Double-Ended Queues (SRK), pp. 346–351.
DACDAC-1978-AliaCMB #component #functional #modelling
LSI components modelling in a three-valued functional simulation (GA, PC, EM, FB), pp. 428–438.
DACDAC-1978-BayeganA #design #editing #interactive #layout #logic
An integrated system for interactive editing of schematics, logic simulation and PCB layout design (HMB, EJA), pp. 1–8.
DACDAC-1978-CaseS #fault #logic
SALOGS-IV-A program to perform logic simulation and fault diagnosis (GRC, JDS), pp. 392–397.
DACDAC-1978-Evans
Accurate simulation of flip-flop timing characteristics (DJE), pp. 398–404.
DACDAC-1978-MalekB #fault #functional
Functional simulation and fault diagnosis (MM, AKB), pp. 340–346.
DACDAC-1978-MiaraG #deduction #fault
Dynamic and deductive fault simulation (AM, NG), pp. 439–443.
DACDAC-1978-TokoroSITIO
A module level simulation technique for systems composed of LSI’s and MSI’s (MT, MS, MI, ET, TI, HO), pp. 418–427.
SIGMODSIGMOD-1977-MunzK #concurrent #database
Concurrency in Database Systems — A Simulation Study (RM, GK), pp. 111–120.
SIGMODSIGMOD-1977-Scheuermann #concept #database
Concepts of a Data Base Simulation Language (PS), pp. 144–156.
VLDBVLDB-1977-BerildN #database #design #named
CS4: A Tool for Database Design by Infological Simulation (SB, SN), p. 533.
VLDBVLDB-1977-HultenS #analysis #database #performance #scalability
A Simulation Model for Performance Analysis of Large Shared Data Bases (CH, LS), pp. 524–532.
ICALPICALP-1977-SavitchV #linear #multi #turing machine
Linear Time Simulation of Multihead Turing Machines with Head-to-Head Jumps (WJS, PMBV), pp. 453–464.
DACDAC-1977-AbramoviciBK #concurrent #fault #functional #modelling
Concurrent fault simulation and functional level modeling (MA, MAB, KK), pp. 128–137.
DACDAC-1977-ArmstrongW
Simulation techniques for microprocessors (JRA, GW), pp. 225–229.
DACDAC-1977-Magnhagen #case study #design #experience #probability
Practical experiences from signal probability simulation of digital designs (BM), pp. 216–219.
DACDAC-1977-MorrisMG #network #scalability #using
Simulation of large communications networks using SPIN (ILM, JM, RG), pp. 194–204.
DACDAC-1977-SchulerC #fault #performance
An efficient method of fault simulation for digital circuits modeled from boolean gates and memories (DMS, RKC), pp. 230–238.
DACDAC-1977-StoreyB
Delay test simulation (TMS, JWB), pp. 492–494.
STOCSTOC-1977-LeongS #multi #realtime
New Real-Time Simulations of Multihead Tape Units (BLL, JIS), pp. 239–248.
ICSEICSE-1976-BillawalaST #data type #multi
A Data Structure and Drive Mechanism for a Table-Driven Simulation System Employing Multilevel Structural Representations of Digital Systems (NB, SAS, EWT), pp. 151–157.
DACDAC-1976-AzemaVD #design #hardware #petri net #verification
Petri nets as a common tool for design verification and hardware simulation (PA, RV, MD), pp. 109–116.
DACDAC-1976-ChappellMPS #functional
Functional simulation in the lamp system (SGC, PRM, JFP, AMS), pp. 42–47.
DACDAC-1976-ChicoixPG #network #scalability
An accurate time delay model for large digital network simulation (CC, JP, NG), pp. 54–60.
DACDAC-1976-PaulT #concept #evaluation #fault tolerance #named
SEGMA — A simulation package for conception aid and fault tolerance evaluation of computing systems (JLP, RT), pp. 278–284.
DACDAC-1976-Ulrich #logic
Non-integral event timing for digital logic simulation (EGU), pp. 61–67.
DACDAC-1975-AllevaCGP #evaluation #implementation #source code
A simulation system for implementation and evaluation of diagnostic programs of a special-purpose telecommunication switching processor (IA, MGC, RG, FP), pp. 123–133.
DACDAC-1975-GilliO #algebra #network #scalability
A new algebraic procedure for the simulation of large digital networks (LG, FO), pp. 32–41.
DACDAC-1975-HemmingH #evolution #logic #modelling
Digital logic simulation models and evolving technology (CWHJ, JMH), pp. 85–94.
DACDAC-1975-SchulerUBB #concurrent #generative #logic #random testing #testing #using
Random test generation using concurrent logic simulation (DMS, EGU, TEB, SPB), pp. 261–267.
DACDAC-1975-ThompsonB75a #implementation #logic #multi #re-engineering
The software engineering technique of data hiding as applied to multi-level model implementation of logical devices in digital simulation (EWT, NB), pp. 195–201.
DACDAC-1975-ThompsonS #fault
Three levels of accuracy for the simulation of different fault types in digital systems (EWT, SAS), pp. 105–113.
DACDAC-1974-AkgermanK #design #process
Computer-aided process design and simulation for forging of turbine blades (NA, DJK), pp. 47–51.
DACDAC-1974-Sr #performance #using
Using simulation to evaluate system performance (EKBS), pp. 359–365.
DACDAC-1974-ThompsonSBP #analysis #fault #using
Timing analysis for digital fault simulation using assignable delays (EWT, SAS, NB, RP), pp. 266–272.
DACDAC-1973-Keiner #functional #logic #testing
Functional testing A user looks at logic simulation (WLK), pp. 151–158.
DACDAC-1973-SzygendaL #functional #logic
Integrated techniques for functional and gate-level digital logic simulation (SAS, AAL), pp. 159–172.
DACDAC-1973-UlrichB #concurrent #network
The concurrent simulation of nearly identical digital networks (EGU, TEB), pp. 145–150.
DACDAC-1972-ChangDE #analysis #fault #logic #self
Logic simulation and fault analysis of a self-checking switching processor (HYC, RCD, RAE), pp. 128–137.
DACDAC-1972-Lewis #bound #detection #logic
Hazard detection by a quinary simulation of logic devices with bounded propagation delays (DWL), pp. 157–164.
DACDAC-1972-McClure #fault #logic
Fault simulation of digital logic utilizing a small host machine (RMM), pp. 104–110.
DACDAC-1972-Robison #automation #design #logic
Applications of logic simulation in design automation at Texas Instruments (JMR), pp. 138–143.
DACDAC-1972-Szygenda #generative #logic #named #testing
TEGAS2 — anatomy of a general purpose TEST GENERATION AND SIMULATION system for digital logic (SAS), pp. 116–127.
DACDAC-1972-UlrichBW #analysis #logic
Fault-test analysis techniques based on logic simulation (EGU, TEB, LRW), pp. 111–115.
DACDAC-1971-Barker #design #interactive #logic #visual notation
An interactive graphical logic design simulation system (WBB), pp. 299–303.
DACDAC-1971-HarrisonO #analysis #logic
Race analysis of digital systems without logic simulation (RAH, DJO), pp. 82–94.
DACDAC-1971-HowieT #logic #named #online
OLLS: The On-Line Logical Simulation System (HRH, RMT), pp. 314–323.
DACDAC-1971-Penz #approach #design
A computer simulation approach to elevator system design (AJP), pp. 259–268.
DACDAC-1971-TsudaKGN #analysis #named #network
NONLISA: Nonlinear network simulation and analysis program (TT, TK, SG, TN), pp. 347–357.
SOSPSOSP-1971-WinogradMH #memory management #operating system
Simulation Studies of a Virtual Memory, Time Shared, Demand Paging Operating System (JW, SJM, RH), pp. 149–155.
DACDAC-1970-Cole #automation #functional #generative #logic #source code
Automatic generation of functional logic test programs through simulation (FBC), pp. 116–127.
DACDAC-1970-MitchellG #analysis #design #monte carlo
A simulation program for monte carlo analysis and design (EELM, DG), pp. 265–270.
DACDAC-1969-Bening #logic
Accurate simulation of high speed computer logic (LCBJ), pp. 103–112.
DACDAC-1969-Dov #research
Misuse and limitations of simulation as a business research technique: Some comments (AGBD), pp. 323–331.
DACDAC-1969-Fairburn
Simulation of urban growth as a function of accessibility (DTF), pp. 297–322.
DACDAC-1969-Hartson
Digital control simulation system (HRH), pp. 113–144.
DACDAC-1969-KrolakBCG #effectiveness
A simulation model for evaluating the effectiveness of various stock market strategies (PDK, REB, AC, HG), pp. 351–356.
STOCSTOC-1969-Savitch #nondeterminism #turing machine
Deterministic Simulation of Non-Deterministic Turing Machines (WJS), pp. 247–248.
DACDAC-1967-FiskCW #design
Topographic simulation as an aid to printed circuit board design (CJF, DLC, LEW).
DACDAC-1967-Murray-Lasso #distributed
A digital computer simulation of a class of lumped and/or distributed four ports (MAML).
DACDAC-1967-Richards #automation #equation #logic #named #programming
SWAP — a programming system for automatic simulation, wiring and placement of logical equations (DLR).
DACSHARE-1966-Brennan #design
Digital simulation for control system design (RDB).
DACSHARE-1965-Fountain #requirements #testing
A general computer simulation technique for assessments and testing requirements (JHF).

Bibliography of Software Language Engineering in Generated Hypertext (BibSLEIGH) is created and maintained by Dr. Vadim Zaytsev.
Hosted as a part of SLEBOK on GitHub.