BibSLEIGH
BibSLEIGH corpus
BibSLEIGH tags
BibSLEIGH bundles
BibSLEIGH people
CC-BY
Open Knowledge
XHTML 1.0 W3C Rec
CSS 2.1 W3C CanRec
email twitter
Used together with:
driven (6)
dynam (5)
applic (5)
model (5)
program (5)

Stem allow$ (all stems)

39 papers:

CHICHI-2015-LopesJB #communication
Affordance++: Allowing Objects to Communicate Dynamic Use (PL, PJ, PB), pp. 2515–2524.
HCIHCI-IT-2015-PoirierB #named
UniWatch — Some Approaches Derived from UniGlyph to Allow Text Input on Tiny Devices Such as Connected Watches (FP, MB), pp. 554–562.
CHICHI-2014-FerreiraFK #visualisation
Sample-oriented task-driven visualizations: allowing users to make better, more confident decisions (NF, DF, ACK), pp. 571–580.
MODELSMoDELS-2014-VaupelTHSGG #development #mobile #modelling
Model-Driven Development of Mobile Applications Allowing Role-Driven Variants (SV, GT, JPH, RS, RG, MG), pp. 1–17.
MODELSMoDELS-2014-VaupelTHSGG #development #mobile #modelling
Model-Driven Development of Mobile Applications Allowing Role-Driven Variants (SV, GT, JPH, RS, RG, MG), pp. 1–17.
GCMGCM-J-2012-SmolenovaKC #graph grammar #parallel #performance
Parallel Graph Grammars with Instantiation Rules Allow Efficient Structural Factorization of Virtual Vegetation (KS, WK, PHC).
CHICHI-2013-PohlM #interactive
Focused and casual interactions: allowing users to vary their level of engagement (HP, RMS), pp. 2223–2232.
HCIDUXU-NTE-2013-KitamuraTIS #case study
Feed-In Tariff Personal Carbon Allowance: A Case Study of Psychological Change (TK, AT, HI, HS), pp. 530–539.
CBSECBSE-2012-PopPOMB #co-evolution #component #network
Property networks allowing oracle-based mode-change propagation in hierarchical components (TP, FP, MO, MM, TB), pp. 93–102.
ICEISICEIS-v4-2011-DavisC11a #data transformation #logic #metadata #modelling
Variant Logic Meta-data Management for Model Driven Applications — Allows Unlimited End User Configuration and Customisation of All Meta-data EIS Application Features (JD, EC), pp. 395–400.
KDDKDD-2011-BatistaKMR #data mining #mining
SIGKDD demo: sensors and software to allow computational entomology, an emerging application of data mining (GEAPAB, EJK, AMN, ER), pp. 761–764.
STOCSTOC-2010-DellM #polynomial #satisfiability
Satisfiability allows no nontrivial sparsification unless the polynomial-time hierarchy collapses (HD, DvM), pp. 251–260.
ICPRICPR-2010-MicoO #algorithm #constant #nearest neighbour #performance
A Constant Average Time Algorithm to Allow Insertions in the LAESA Fast Nearest Neighbour Search Index (LM, JO), pp. 3911–3914.
HPCAHPCA-2010-KahngKKS #design #reliability #trade-off
Designing a processor from the ground up to allow voltage/reliability tradeoffs (ABK, SK, RK, JS), pp. 1–11.
CHICHI-2009-BaudischC #interactive
Back-of-device interaction allows creating very small touch devices (PB, GC), pp. 1923–1932.
HCIHCI-NIMT-2009-ChenOYFT #communication
Prompter “.” Based Creating Thinking Support Communication System That Allows Hand-Drawing (LJC, JO, SY, SF, YT), pp. 783–790.
OOPSLAOOPSLA-2009-OverbeyJ #programming language #refactoring #tool support
Regrowing a language: refactoring tools allow programming languages to evolve (JLO, REJ), pp. 493–502.
ICPRICPR-2008-TorselloBP #clustering
Beyond partitions: Allowing overlapping groups in pairwise clustering (AT, SRB, MP), pp. 1–4.
ITiCSEITiCSE-2007-WhaleyG #case study #design #experience #student
Do students know best?: experiences of allowing students to become course designers (HW, SG), p. 330.
CIKMCIKM-2007-DornelesHOSM #approximate
A strategy for allowing meaningful and comparable scores in approximate matching (CFD, CAH, VMO, ASdS, ESdM), pp. 303–312.
CASECASE-2006-ChengSOYII #performance #scheduling
A fast rescheduling method in semiconductor manufacturing allowing for tardiness and scheduling stability (MC, MS, JO, MY, HI, KI), pp. 100–105.
ICPCICPC-2006-RooverMGGD #approach #behaviour #documentation #lightweight #verification
An Approach to High-Level Behavioral Program Documentation Allowing Lightweight Verification (CDR, IM, KG, KG, TD), pp. 202–211.
ICSMEICSM-2006-GoldHLM #approach #bound #concept #source code #using
Allowing Overlapping Boundaries in Source Code using a Search Based Approach to Concept Binding (NG, MH, ZL, KM), pp. 310–319.
SACSAC-2006-ZamolotskikhDC #bias #classification
A methodology for comparing classifiers that allow the control of bias (AZ, SJD, PC), pp. 582–587.
STOCSTOC-2005-Hastad #approximate
Every 2-CSP allows nontrivial approximation (JH), pp. 740–746.
DATEDATE-2002-LeeWH #design #implementation #multi #runtime
Dynamic Runtime Re-Scheduling Allowing Multiple Implementations of a Task for Platform-Based Designs (TML, WW, JH), pp. 296–301.
DATEDATE-2001-HeringLM #functional #logic #named #parallel
dibSIM: a parallel functional logic simulator allowing dynamic load balancing (KH, JL, JM), pp. 472–478.
DATEDATE-1998-MaestroMM #clustering #estimation #hardware #parallel #process
A Macroscopic Time and Cost Estimation Model Allowing Task Parallelism and Hardware Sharing for the Codesign Partitioning Process (JAM, DM, HM), pp. 218–225.
ITiCSEITiCSE-1998-Johansson #approach #programming #student
Programming by example (poster): an instructional approach allowing introductory students to quickly grasp the power and excitement of programming (PGJ), p. 284.
KDDKDD-1998-KeoghP #classification #clustering #feedback #performance #representation
An Enhanced Representation of Time Series Which Allows Fast and Accurate Classification, Clustering and Relevance Feedback (EJK, MJP), pp. 239–243.
SIGIRSIGIR-1998-MouraNZB #fault #performance
Fast Searching on Compressed Text Allowing Errors (ESdM, GN, NZ, RABY), pp. 298–306.
HCIHCI-SEC-1997-NishikawaT #database #multi
Allowing Multiple Experts to Revise a Thesaurus Database (NN, HT), pp. 371–374.
ESECESEC-1995-GaskellP #ambiguity #analysis #execution #semantics
A Structured Analysis Formalism with Execution Semantics to Allow Unambiguous Model Interpretation (CG, RP), pp. 235–253.
ICLPICLP-1995-MartensG #deduction #flexibility #termination
Ensuring Global Termination of Partial Deduction while Allowing Flexible Polyvariance (BM, JPG), pp. 597–611.
LICSLICS-1990-Cerrito #linear #logic programming #semantics #source code
A Linear Semantics for Allowed Logic Programs (SC), pp. 219–227.
DACDAC-1988-GaedeRMB #automation #concurrent #named #parallel #testing #using
CATAPULT: Concurrent Automatic Testing Allowing Parallelization and Using Limited Topology (RKG, DER, MRM, KMB), pp. 597–600.
PLDISCC-1982-LaLondeR #compilation #flexibility
A Flexible Compiler Structure That Allows Dynamic Phase Ordering (WRL, JdR), pp. 134–139.
POPLPOPL-1978-OgdenRR #complexity #concurrent
Complexity of Expressions Allowing Concurrency (WFO, WER, WCR), pp. 185–194.
ICSEICSE-1976-SilberschatzKB #concurrent #pascal #resource management
Extending Concurrent Pascal to Allow Dynamic Resource Management (Abstract) (AS, RBK, AJB), p. 236.

Bibliography of Software Language Engineering in Generated Hypertext (BibSLEIGH) is created and maintained by Dr. Vadim Zaytsev.
Hosted as a part of SLEBOK on GitHub.