BibSLEIGH
BibSLEIGH corpus
BibSLEIGH tags
BibSLEIGH bundles
BibSLEIGH people
CC-BY
Open Knowledge
XHTML 1.0 W3C Rec
CSS 2.1 W3C CanRec
email twitter
Used together with:
wire (9)
print (8)
base (8)
use (7)
router (6)

Stem multilay$ (all stems)

44 papers:

LATALATA-2015-AmanoS #bound #multi #polynomial
A Nonuniform Circuit Class with Multilayer of Threshold Gates Having Super Quasi Polynomial Size Lower Bounds Against NEXP (KA, AS), pp. 461–472.
MLDMMLDM-2015-CostaFK #multi #using
Classifying Grasslands and Cultivated Pastures in the Brazilian Cerrado Using Support Vector Machines, Multilayer Perceptrons and Autoencoders (WC, LMGF, TSK), pp. 187–198.
ICPRICPR-2014-SinghKZ #detection #difference #image #markov #multi #scalability
A Multilayer Markovian Model for Change Detection in Aerial Image Pairs with Large Time Differences (PS, ZK, JZ), pp. 924–929.
CASECASE-2013-LuSXPO #automation #graph #multi #using
Automatic building exterior mapping using multilayer feature graphs (YL, DS, YX, AGAP, SO), pp. 162–167.
HCIHIMI-HSM-2013-DamrongratKI #multi #ontology #representation #simulation #using
Increasing Situational Awareness of Indoor Emergency Simulation Using Multilayered Ontology-Based Floor Plan Representation (CD, HK, MI), pp. 39–45.
EDOCEDOC-2013-AlyCEM #interface #multi #named
XPoints: Extension Interfaces for Multilayered Applications (MA, AC, SE, MM), pp. 237–246.
ICPRICPR-2012-WangGLLG #multi #query
Tempo variation based multilayer filters for query by humming (QW, ZG, BL, GL, JG), pp. 3034–3037.
SACSAC-2012-OongI #classification #fuzzy #learning #multi #performance #testing
Multilayer Fuzzy ARTMAP: fast learning and fast testing for pattern classification (THO, NAMI), pp. 27–32.
RERE-2011-CarvalloF #component #multi #requirements
Requirements negotiation for multilayer system components (JPC, XF), pp. 285–290.
ICSEICSE-2011-GolraD #framework #lazy evaluation #modelling #multi
The lazy initialization multilayered modeling framework (FRG, FD), pp. 924–927.
DACDAC-2010-ZhangL #manycore #network
A multilayer nanophotonic interconnection network for on-chip many-core communications (XZ, AL), pp. 156–161.
DATEDATE-2010-WatanabeA #modelling #multi #performance #simulation
Equivalent circuit modeling of multilayered power/ground planes for fast transient simulation (TW, HA), pp. 1153–1158.
ICPRICPR-2010-KusakunniranWZL #multi #recognition #using
Multi-view Gait Recognition Based on Motion Regression Using Multilayer Perceptron (WK, QW, JZ, HL), pp. 2186–2189.
DACDAC-2009-XiongSZV #multi #process #statistics
Statistical multilayer process space coverage for at-speed test (JX, YS, VZ, CV), pp. 340–345.
HCIHCD-2009-MerlinHR #concept #evolution #multi
Bridging Software Evolution’s Gap: The Multilayer Concept (BM, CH, MR), pp. 266–275.
MLDMMLDM-2009-FersiniMAA #approach #multi #recognition
Audio-Based Emotion Recognition in Judicial Domain: A Multilayer Support Vector Machines Approach (EF, EM, GA, FA), pp. 594–602.
ICPRICPR-2008-MieziankoP #detection #multi
Detecting changes in multilayered orthoimages with spatiotemporal texture blocks (RM, DP), pp. 1–4.
DACDAC-2007-ZhouLS #bound #embedded #hybrid #multi #performance #using
Fast Capacitance Extraction in Multilayer, Conformal and Embedded Dielectric using Hybrid Boundary Element Method (NYZ, ZL, WS), pp. 835–840.
STOCSTOC-2003-DinurGKR #multi
A new multilayered PCP and the hardness of hypergraph vertex cover (ID, VG, SK, OR), pp. 595–601.
ICDARICDAR-2001-BottouHL #documentation #multi #performance
Efficient Conversion of Digital Documents to Multilayer Raster Formats (LB, PH, YL), pp. 444–449.
ICDARICDAR-2001-SuralD01a #fuzzy #multi #recognition #using
Recognition of an Indian Script Using Multilayer Perceptrons and Fuzzy Features (SS, PKD), pp. 1120–1125.
ICPRICPR-1998-OshitaniW #parallel #recognition
Parallel map recognition based on multilayer partitioned blackboard model (TO, TW), pp. 1604–1606.
ICDARICDAR-1997-EigenmannN #evaluation #multi #network
Modification of Hard-Limiting Multilayer Neural Networks for Confidence Evaluation (RE, JAN), pp. 1087–1091.
ICDARICDAR-v2-1995-LeeK95c #clustering #multi #network #recognition
Multiresolution recognition of handwritten numerals with wavelet transform and multilayer cluster neural network (SWL, YJK), pp. 1010–1013.
DACDAC-1994-MadhwapathySBP #approach #multi
A Unified Approach to Multilayer Over-the-Cell Routing (SM, NAS, SB, AP), pp. 182–187.
DACDAC-1993-KhooC #multi #performance
An Efficient Multilayer MCM Router Based on Four-Via Routing (KYK, JC), pp. 590–595.
ICDARICDAR-1993-Bernard #multi #recognition
Multilayer perceptron and uppercase handwritten characters recognition (IB), pp. 935–938.
DACDAC-1992-FangFL #approach #multi #performance #problem
A New Efficient Approach to Multilayer Channel Routing Problem (SCF, WSF, SLL), pp. 579–584.
CAiSECAiSE-1989-Skjellaug #interface #multi #named
IB — An Information Bus: A Multilayered Information Base Interface for Remote Applications (BS).
DACDAC-1988-Lunow #multi
A Channelless, Multilayer Router (REL), pp. 667–671.
DACDAC-1983-DalCero #automation #multi
Automatic batch processing in multilayer ceramic metallization (ND), pp. 682–685.
DACDAC-1981-TsuiS #multi
A high-density multilayer PCB router based on necessary and sufficient conditions for single row routing (RYT, RJSI), pp. 372–381.
DACDAC-1981-TsukiyamaKS #multi #on the #problem
On the layering problem of multilayer PWB wiring (ST, ESK, IS), pp. 738–745.
DACDAC-1980-NishiokaKNYCNFU #automation #multi
An automatic routing system for high density multilayer printed wiring boards (IN, TK, HN, SY, TC, TN, TF, MU), pp. 520–527.
DACDAC-1979-Foster #lookahead #multi
A “lookahead” router for multilayer printed wiring boards (JCF), pp. 486–493.
DACDAC-1977-CageS #multi
A rectangle-probe router for multilayer P.C. boards (WGC, RJSI), pp. 13–22.
DACDAC-1977-Waldvogel #hybrid #multi #using
Computer designed multilayer hybrid substrate using thick film technology (CWW), pp. 351–353.
DACDAC-1976-Rau #multi
A new philosophy for interconnection on multilayer boards (BRR), pp. 225–231.
DACDAC-1975-BrinsfieldT #design #multi
Computer aids for multilayer printed wiring board design (JGB, SRT), pp. 296–305.
DACDAC-1974-CalafioreF #layout #multi
A system for multilayer printed wiring layout (RLC, JCF), pp. 322–326.
DACDAC-1973-Foster #multi
A router for multilayer printed wiring backplanes (JCF), pp. 44–49.
DACDAC-1973-So #multi
Pin assignment of circuit cards and the routability of multilayer printed wiring backplanes (HCS), pp. 33–43.
DACDAC-1969-GinsbergMW #multi
An updated multilayer printed wiring C-A-D capability (GLG, CRMJ, EHW), pp. 145–154.
DACDAC-1967-FreemanGRW #design #multi
Multilayer printed wiring — computer aided design (MFF, AG, MR, EAW).

Bibliography of Software Language Engineering in Generated Hypertext (BibSLEIGH) is created and maintained by Dr. Vadim Zaytsev.
Hosted as a part of SLEBOK on GitHub.