Proceedings of the Fifth Working IEEE/IFIP Conference on Software Architecture
BibSLEIGH corpus
BibSLEIGH tags
BibSLEIGH bundles
BibSLEIGH people
EDIT!
CC-BY
Open Knowledge
XHTML 1.0 W3C Rec
CSS 2.1 W3C CanRec
email twitter


Proceedings of the Fifth Working IEEE/IFIP Conference on Software Architecture
WICSA, 2005.

ARCH
DBLP
Scholar
CSDL
Full names Links ISxN
@proceedings{WICSA-2005,
	address       = "Pittsburgh, Pennsylvania, USA",
	ee            = "http://www.computer.org/csdl/proceedings/wicsa/2005/2548/00/index.html",
	isbn          = "0-7695-2548-2",
	publisher     = "{IEEE Computer Society}",
	title         = "{Proceedings of the Fifth Working IEEE/IFIP Conference on Software Architecture}",
	year          = 2005,
}

Contents (69 items)

WICSA-2005-Booch #architecture
Quantitative Observation and Theoretical Construction in Software Architecture (GB), p. 3.
WICSA-2005-Koschke #architecture #reverse engineering #what
What Architects Should Know About Reverse Engineering and Rengineering (RK), pp. 4–10.
WICSA-2005-Shaw #research
Sparking Research Ideas from the Friction Between Doctrine and Reality (MS), pp. 11–16.
WICSA-2005-Chikofsky #architecture #on the #reverse engineering
On the Meeting of Software Architecture and Reverse Engineering (EJC), pp. 17–24.
WICSA-2005-WoodsR #architecture #using
Using Architectural Perspectives (EW, NR), pp. 25–35.
WICSA-2005-ZhuLGB #benchmark #generative #metric #using
Customized Benchmark Generation Using MDA (LZ, YL, IG, NBB), pp. 35–44.
WICSA-2005-OlumofinM #architecture #evaluation #product line
Extending the ATAM Architecture Evaluation to Product Line Architectures (FGO, VBM), pp. 45–56.
WICSA-2005-Schwanke #architecture #named #process #requirements
GEAR: A Good Enough Architectural Requirements Process (RWS), pp. 57–66.
WICSA-2005-TangJHN #architecture #design #impact analysis #network #predict
Predicting Change Impact in Architecture Design with Bayesian Belief Networks (AT, YJ, JH, AEN), pp. 67–76.
WICSA-2005-HofmeisterKNORA #architecture #design #industrial
Generalizing a Model of Software Architecture Design from Five Industrial Approaches (CH, PK, RLN, JHO, AR, PA), pp. 77–88.
WICSA-2005-TangBGH #architecture #design #documentation #overview
A Survey of the Use and Documentation of Architecture Design Rationale (AT, MAB, IG, JH), pp. 89–98.
WICSA-2005-WangSM #analysis #architecture #named
ACCA: An Architecture-Centric Concern Analysis Method (ZW, KS, NHM), pp. 99–108.
WICSA-2005-JansenB #architecture #design #set
Software Architecture as a Set of Architectural Design Decisions (AJ, JB), pp. 109–120.
WICSA-2005-TibermacineFS #architecture #component #development #process
Preserving Architectural Choices throughout the Component-based Software Development Process (CT, RF, SS), pp. 121–130.
WICSA-2005-JooliaBCG #component #configuration management #framework #performance #platform #runtime #specification
Mapping ADL Specifications to an Efficient and Reconfigurable Runtime Component Platform (AJ, TVB, GC, ATAG), pp. 131–140.
WICSA-2005-Klein #architecture #how #question
How Does the Architect’s Role Change as the Software Ages? (JK), p. 141.
WICSA-2005-IngstrupH #approach #architecture #declarative
A Declarative Approach to Architectural Reflection (MI, KMH), pp. 149–158.
WICSA-2005-Abi-AntounC #architecture #case study #incremental #legacy #re-engineering
A Case Study in Incremental Architecture-Based Re-engineering of a Legacy Application (MAA, WC), pp. 159–168.
WICSA-2005-MuskensBC #consistency
Generalizing Consistency Checking between Software Views (JM, RJB, MRVC), pp. 169–180.
WICSA-2005-StaffordB
Working Session Reports (JAS, JB), pp. 181–184.
WICSA-2005-ShawV #architecture #education
Software Architecture Education Session Report (MS, HvV), pp. 185–190.
WICSA-2005-BassMO #quality
Quality Session Report (LB, MM, FGO), pp. 191–192.
WICSA-2005-BassIKMW #encapsulation #quality
Encapsulating Quality Attribute Knowledge (LB, JI, MK, PM, KCW), pp. 193–194.
WICSA-2005-PiattiniCA #architecture #quality #research
Classifying Software Architecture Quality Research (MP, CC, HA), pp. 195–196.
WICSA-2005-LiLC #architecture #reliability
Octopus Architecture: A New Attempt to Achieve Reliable OS (HL, XL, PC), pp. 197–198.
WICSA-2005-Matinlassi #architecture #model transformation #quality
Quality-Driven Software Architecture Model Transformation (MM), pp. 199–200.
WICSA-2005-BaraisLMD #architecture
Providing Support for Safe Software Architecture Transformations (OB, JLL, AFLM, LD), pp. 201–202.
WICSA-2005-TekinerdoganSA #analysis #architecture #reliability #using
Software Architecture Reliability Analysis Using Failure Scenarios (BT, HS, MA), pp. 203–204.
WICSA-2005-ShaikAGSZAMF #architecture #co-evolution #design #quality
Change Propagation for Assessing Design Quality of Software Architectures (IPS, WA, RG, MS, AZ, HHA, AM, CPF), pp. 205–208.
WICSA-2005-Hofmeister #architecture
Architecting Session Report (CH), pp. 209–210.
WICSA-2005-Rosso #architecture #embedded #memory management #product line #realtime
Dynamic Memory Management for Software Product Family Architectures in Embedded Real-Time Systems (CDR), pp. 211–212.
WICSA-2005-RatcliffeCO #architecture #case study #design #monitoring
Case Study on Architecture-Centered Design for Monitoring Views at CERN (OR, SC, FO), pp. 213–214.
WICSA-2005-CapillaD #component #integration #problem #product line #web
Integration Problems of Core Components in a Web Product Line (RC, JCD), pp. 215–216.
WICSA-2005-JamwalI #architecture #flexibility
Breakable Objects: Building Blocks for Flexible Application Architectures (VJ, SI), pp. 217–218.
WICSA-2005-PerezLCCR #approach #architecture #aspect-oriented #coordination
Coordination in Software Architectures: an Aspect-Oriented Approach (JP, ML, JÁC, JHCC, IR), pp. 219–220.
WICSA-2005-LungZG #architecture #what
Reflection on Software Architecture Practices — What Works, What Remains to Be Seen, and What Are the Gaps (CHL, MZ, NG), pp. 221–222.
WICSA-2005-BrownBGSK #architecture #development #embedded #product line
Feature-Guided Architecture Development for Embedded System Families (TJB, RB, CG, ITAS, PK), pp. 223–226.
WICSA-2005-Garlan #analysis #architecture #evaluation
Software Architecture Evaluation and Analysis Session Report (DG), pp. 227–228.
WICSA-2005-RommesPA #architecture
Measuring Architecting Effort (ER, AP, PA), pp. 229–230.
WICSA-2005-BhattacharyaP #architecture #component #predict #specification
Predicting Architectural Styles from Component Specifications (SB, DEP), pp. 231–232.
WICSA-2005-AliPRC #aspect-oriented #calculus #mobile
Introducing Ambient Calculus in Mobile Aspect-Oriented Software (NA, JP, IR, JÁC), pp. 233–234.
WICSA-2005-VasconcelosW #approach #architecture #clustering #independence #set #towards
Towards a Set of Application Independent Clustering Criteria within an Architecture Recovery Approach (APVdV, CW), pp. 235–236.
WICSA-2005-KnodelLM #architecture #evaluation #summary
Static Evaluation of Software Architectures — A Short Summary (JK, ML, DM), pp. 237–238.
WICSA-2005-SmithS #analysis #architecture #automation
Extending SPQR to Architectural Analysis by Semi-Automated Training (JMS, PDS), pp. 239–242.
WICSA-2005-WoodsH #architecture
Architecture Description Languages in Practice Session Report (EW, RH), pp. 243–246.
WICSA-2005-SmedaOK #uml #using
Mapping ADLs into UML 2.0 Using a Meta ADL (AS, MO, TK), pp. 247–248.
WICSA-2005-RevillardCBO #design
Intelligent Instrument Design With ArchWare ADL (JR, SC, EB, FO), pp. 249–250.
WICSA-2005-InverardiMP #named #uml
DUALLY: Putting in Synergy UML 2.0 and ADLs (PI, HM, PP), pp. 251–252.
WICSA-2005-SantosC #approach #architecture #multi
An MDA Approach for a Multi-Layered Satellite On-Board Software Architecture (WADS, AMdC), pp. 253–256.
WICSA-2005-Clements #architecture #documentation
Software Architecture Documentation in Practice Session Report (PCC), pp. 257–258.
WICSA-2005-SagarduiAE #architecture #experience
The ADOV Method: an Experience in Selecting the Relevant Views of an Architecture in a SME (GSM, GA, LE), pp. 259–260.
WICSA-2005-GraafWD #architecture #migration
Migration of Supervisory Machine Control Architectures (BG, SW, AvD), pp. 261–262.
WICSA-2005-Riva #architecture #logic #re-engineering
Bridging the Concrete and Logical Domains for Software Architecture Reconstruction (CR), pp. 263–264.
WICSA-2005-Abi-AntounAGSN #architecture #automation #concept #implementation #incremental
Semi-Automated Incremental Synchronization between Conceptual and Implementation Level Architectures (MAA, JA, DG, BRS, NHN), pp. 265–268.
WICSA-2005-CrnkovicJ #component
Components and Services Session Report (IC, VJ), pp. 269–271.
WICSA-2005-JiangW #architecture #enterprise
Service-Oriented Architecture for Deploying and Integrating Enterprise Applications (MJ, AW), pp. 272–273.
WICSA-2005-LandBLC #architecture #case study #experience #industrial #integration
Architectural Concerns When Selecting an In-House Integration Strategy — Experiences from Industry (RL, LB, SL, IC), pp. 274–275.
WICSA-2005-TivoliG #adaptation #architecture #component #synthesis
Adaptor Synthesis for Protocol-Enhanced Component Based Architectures (MT, DG), pp. 276–277.
WICSA-2005-LudersFW #component #embedded #realtime
Software Components Services for Embedded Real-Time Systems (FL, DF, AW), pp. 278–279.
WICSA-2005-HepnerG #integration
Establishing Connectors as Integration Services (MH, RFG), pp. 280–284.
WICSA-2005-Tyree #architecture #design
Architectural Design Decisions Session Report (JT), pp. 285–286.
WICSA-2005-Sotirovski #architecture
An Architecture and Its Rationale (DMS), pp. 287–288.
WICSA-2005-AkermanT #architecture #ontology
Position on Ontology-Based Architecture (AA, JT), pp. 289–290.
WICSA-2005-KruchtenLVW #architecture
Building up and Exploiting Architectural Knowledge (PK, PL, HvV, TW), pp. 291–292.
WICSA-2005-BosloperSN #modelling
Explicit Models for Dynamic Software (IB, JS, JN), pp. 293–294.
WICSA-2005-GeorgantasMBIKKGM #architecture
The Amigo Service Architecture for the Open Networked Home Environment (NG, SBM, YDB, VI, JK, JK, AG, RM), pp. 295–296.
WICSA-2005-AnderssonEL #architecture #named
DMDA — A Dynamic Service Architecture for Scientific Computing (JA, ME, WL), pp. 297–299.
WICSA-2005-PoortAKW #architecture
Successful Architecture for Short Message Service Center (ERP, HA, AK, PHNdW), pp. 299–300.
WICSA-2005-Christensen #architecture #framework #prototype #towards
Towards an Operational Framework for Architectural Prototyping (HBC), pp. 301–302.

Bibliography of Software Language Engineering in Generated Hypertext (BibSLEIGH) is created and maintained by Dr. Vadim Zaytsev.
Hosted as a part of SLEBOK on GitHub.