BibSLEIGH
BibSLEIGH corpus
BibSLEIGH tags
BibSLEIGH bundles
BibSLEIGH people
CC-BY
Open Knowledge
XHTML 1.0 W3C Rec
CSS 2.1 W3C CanRec
email twitter
Used together with:
silicon (21)
era (6)
core (5)
chip (5)
softwar (5)

Stem dark$ (all stems)

35 papers:

DACDAC-2015-ChengZZ0SI
Core vs. uncore: the heart of darkness (HYC, JZ, JZ, YX, JS, MJI), p. 6.
DACDAC-2015-GnadSKRSH #named #variability
Hayat: harnessing dark silicon and variability for aging deceleration and balancing (DG, MS, FK, SR, DS, JH), p. 6.
DACDAC-2015-HenkelKPS #roadmap
New trends in dark silicon (JH, HK, SP, MS), p. 6.
DACDAC-2015-KhdrPSH #resource management
Thermal constrained resource management for mixed ILP-TLP workloads in dark silicon chips (HK, SP, MS, JH), p. 6.
DATEDATE-2015-BokhariJSHP #adaptation
Malleable NoC: dark silicon inspired adaptable Network-on-Chip (HB, HJ, MS, JH, SP), pp. 1245–1248.
DATEDATE-2015-HaghbayanRFLPNT #manycore #online #power management #testing
Power-aware online testing of manycore systems in the dark silicon era (MHH, AMR, MF, PL, JP, ZN, HT), pp. 435–440.
DATEDATE-2015-KapadiaP #adaptation #named #parallel #scheduling
VARSHA: variation and reliability-aware application scheduling with adaptive parallelism in the dark-silicon era (NAK, SP), pp. 1060–1065.
DATEDATE-2015-KhanSH #adaptation #manycore #power management
Power-efficient accelerator allocation in adaptive dark silicon many-core systems (MUKK, MS, JH), pp. 916–919.
DATEDATE-2015-ShafiqueGGH #manycore #variability
Variability-aware dark silicon management in on-chip many-core systems (MS, DG, SG, JH), pp. 387–392.
ICALPICALP-v1-2015-AzarC
Serving in the Dark should be done Non-Uniformly (YA, IRC), pp. 91–102.
CADECADE-2015-Martin #lessons learnt
Stumbling Around in the Dark: Lessons from Everyday Mathematics (UM), pp. 29–51.
DACDAC-2014-BokhariJSHP #design #energy #multi #named
darkNoC: Designing Energy-Efficient Network-on-Chip with Multi-Vt Cells for Dark Silicon (HB, HJ, MS, JH, SP), p. 6.
DACDAC-2014-KriebelRSSH #adaptation #fault #named
ASER: Adaptive Soft Error Resilience for Reliability-Heterogeneous Processors in the Dark Silicon Era (FK, SR, DS, MS, JH), p. 6.
DACDAC-2014-ShafiqueGHM #challenge #reliability #variability
The EDA Challenges in the Dark Silicon Era: Temperature, Reliability, and Variability Perspectives (MS, SG, JH, DM), p. 6.
DACDAC-2014-ZhanXS #fine-grained #named
NoC-Sprinting: Interconnect for Fine-Grained Sprinting in the Dark Silicon Era (JZ, YX, GS), p. 6.
DATEDATE-2014-SilvanoPXS #architecture #manycore
Voltage island management in near threshold manycore architectures to mitigate dark silicon (CS, GP, SX, ISS), pp. 1–6.
DATEDATE-2014-Taylor #design
A landscape of the new dark silicon design regime (MBT), p. 1.
DACDAC-2013-MuthukaruppanPVMV #manycore #power management #symmetry
Hierarchical power management for asymmetric multi-core in dark silicon era (TSM, MP, VV, TM, SV), p. 9.
DACDAC-2013-ZhangPFH
Lighting the dark silicon by exploiting heterogeneity on future processors (YZ, LP, XF, YH), p. 7.
DATEDATE-2013-PaternaR #problem #using
Mitigating dark-silicon problems using superlattice-based thermoelectric coolers (FP, SR), pp. 1391–1394.
DATEDATE-2013-RaghunathanTGM #multi #named #process
Cherry-picking: exploiting process variations in dark-silicon homogeneous chip multi-processors (BR, YT, SG, DM), pp. 39–44.
STOCSTOC-2013-AzarCG
The loss of serving in the dark (YA, IRC, IG), pp. 951–960.
CHICHI-2013-VongsathornOM #interactive
Bodily interaction in the dark (LV, KO, HMM), pp. 1275–1278.
SLESLE-2013-BatoryGMS #automation #design #graph grammar
Dark Knowledge and Graph Grammars in Automated Software Design (DSB, RG, BM, JS), pp. 1–18.
LCTESLCTES-2013-Beemster #c #manycore
The role of C in the dark ages of multi-core (MB), pp. 89–90.
DACDAC-2012-Taylor
Is dark silicon useful?: harnessing the four horsemen of the coming dark silicon apocalypse (MBT), pp. 1131–1136.
OnwardOnward-2012-JanesS #agile #development
The dark side of agile software development (AJ, GS), pp. 215–228.
HPCAHPCA-2012-YanLHLGL #architecture #hybrid #manycore #named #performance
AgileRegulator: A hybrid voltage regulator scheme redeeming dark silicon for power efficiency in a multicore architecture (GY, YL, YH, XL, MG, XL), pp. 287–298.
DATEDATE-2008-AltCS #algorithm #architecture #detection #hardware #realtime
Hardware/software architecture of an algorithm for vision-based real-time vehicle detection in dark environments (NA, CC, WS), pp. 176–181.
WCREWCRE-2007-Reifer #reverse engineering
The Dark Side of Software Reverse Engineering (keynote) (DJR).
HPDCHPDC-2006-dAnfrayS #architecture
RENATER dark fibre project architecture (Pd, FS), pp. 383–384.
VLDBVLDB-2003-Brodie #web #web service
Illuminating the Dark Side of Web Services (MLB), pp. 1046–1049.
KDDKDD-2000-Catlett #data mining #mining #privacy
Among those dark electronic mills: privacy and data mining (invited talk, abstract only) (JC), p. 4.
STOCSTOC-1999-Edmonds #scheduling
Scheduling in the Dark (JE), pp. 179–188.
ICSMEICSM-1994-Leung #development #object-oriented
The Dark Side of Object-Oriented Software Development (HKNL), p. 438.

Bibliography of Software Language Engineering in Generated Hypertext (BibSLEIGH) is created and maintained by Dr. Vadim Zaytsev.
Hosted as a part of SLEBOK on GitHub.