BibSLEIGH
BibSLEIGH corpus
BibSLEIGH tags
BibSLEIGH bundles
BibSLEIGH people
EDIT!
CC-BY
Open Knowledge
XHTML 1.0 W3C Rec
CSS 2.1 W3C CanRec
email twitter
manycore
Google manycore

Tag #manycore

476 papers:

CGOCGO-2020-IsmailS #performance
Efficient nursery sizing for managed languages on multi-core processors with shared caches (MI, GES), pp. 1–15.
CGOCGO-2020-YuPJLT #collaboration #named #symmetry
COLAB: a collaborative multi-factor scheduler for asymmetric multicore processors (TY, PP, VJ, HL, JT), pp. 268–279.
PLDIPLDI-2019-KongP #modelling
Model-driven transformations for multi- and many-core CPUs (MK, LNP), pp. 469–484.
ASPLOSASPLOS-2019-FernandoFAMT #approximate #named
Replica: A Wireless Manycore for Communication-Intensive and Approximate Data (VF, AF, SA, SM, JT), pp. 849–863.
ASPLOSASPLOS-2019-Liskov #named #programming
Keynote: Multicore Programming (BL), p. 1.
CGOCGO-2019-DasBS #design
A Shared BTB Design for Multicore Systems (MD, AB, BS), pp. 267–268.
CGOCGO-2019-TianQ0LR #query #sequence
Transforming Query Sequences for High-Throughput B+ Tree Processing on Many-Core Processors (RT, JQ, ZZ0, XL0, BR), pp. 96–108.
FASEFASE-2019-BezirgiannisBJP #case study #implementation #memory management
Implementing SOS with Active Objects: A Case Study of a Multicore Memory System (NB, FSdB, EBJ, KIP, SLTT), pp. 332–350.
HaskellHaskell-2018-HanH #case study #experience
A high-performance multicore IO manager based on libuv (experience report) (DH, TH), pp. 172–178.
CIKMCIKM-2018-KhattabHS #in memory #named #paradigm #polymorphism
PolyHJ: A Polymorphic Main-Memory Hash Join Paradigm for Multi-Core Machines (OK, MH, OS), pp. 1323–1332.
CIKMCIKM-2018-ZhuangJYL #classification #coordination #parallel
Naive Parallelization of Coordinate Descent Methods and an Application on Multi-core L1-regularized Classification (YZ, YCJ, GXY, CJL), pp. 1103–1112.
PLDIPLDI-2018-LinWCLDW #architecture #network
Mapping spiking neural networks onto a manycore neuromorphic architecture (CKL, AW, GNC, THL, MD, HW), pp. 78–89.
ASPLOSASPLOS-2018-RahmaniDMMJMD #coordination #named #resource management
SPECTR: Formal Supervisory Control and Coordination for Many-core Systems Resource Management (AMR, BD, TM, KM, AJ, OM, NDD), pp. 169–183.
CIKMCIKM-2017-ChengHDL #architecture #case study #in memory
A Study of Main-Memory Hash Joins on Many-core Processor: A Case with Intel Knights Landing Architecture (XC, BH, XD, CTL), pp. 657–666.
ECMFAECMFA-2017-BucaioniMCCS #modelling
Technology-Preserving Transition from Single-Core to Multi-core in Modelling Vehicular Systems (AB, SM, FC, AC, MS), pp. 285–299.
AdaEuropeAdaEurope-2017-DiazFKMHAC #analysis #bound #named #probability
MC2: Multicore and Cache Analysis via Deterministic and Probabilistic Jitter Bounding (ED, MF, LK, EM, CH, JA, FJC), pp. 102–118.
ASPLOSASPLOS-2017-MashtizadehGTMR #towards
Towards Practical Default-On Multi-Core Record/Replay (AJM, TG, DT, DM, MR), pp. 693–708.
ICFP-2016-UenoO #concurrent #functional #garbage collection #source code
A fully concurrent garbage collector for functional programs on multicore processors (KU, AO), pp. 421–433.
KDDKDD-2016-ChiangLL #classification #coordination #linear #parallel #scalability
Parallel Dual Coordinate Descent Method for Large-scale Linear Classification in Multi-core Environments (WLC, MCL, CJL), pp. 1485–1494.
AdaEuropeAdaEurope-2016-Mazzanti #ada #experience #model checking #parallel #programming
An Experience in Ada Multicore Programming: Parallelisation of a Model Checking Engine (FM), pp. 94–109.
ASPLOSASPLOS-2016-BalkindMFNZLSFP #framework #named #open source #research
OpenPiton: An Open Source Manycore Research Framework (JB, MM, YF, TMN0, YZ, AL, MS, AF, SP, XL, MM, DW), pp. 217–232.
ASPLOSASPLOS-2016-GangwaniMT #named
CASPAR: Breaking Serialization in Lock-Free Multicore Synchronization (TG, AM0, JT), pp. 789–804.
ASPLOSASPLOS-2016-WangM #named #performance #resource management #runtime
ReBudget: Trading Off Efficiency vs. Fairness in Market-Based Multicore Resource Allocation via Runtime Budget Reassignment (XW, JFM), pp. 19–32.
ASPLOSASPLOS-2016-ZhuE #named
Dirigent: Enforcing QoS for Latency-Critical Tasks on Shared Multicore Systems (HZ, ME), pp. 33–47.
CGOCGO-2016-JibajaCBM #performance #symmetry
Portable performance on asymmetric multicore processors (IJ, TC, SMB, KSM), pp. 24–35.
CBSECBSE-2015-WahlerOM #component #cyber-physical #realtime
Real-time Multi-core Components for Cyber-physical Systems (MW, MO, AM), pp. 37–42.
ICSMEICSME-2015-WahlerEFP #hardware #legacy #migration
Migrating legacy control software to multi-core hardware (MW, RE, CF, YAP), pp. 458–466.
SFMSFM-2015-ArbabJ #coordination
Coordinating Multicore Computing (FA, SSTQJ), pp. 57–96.
ICEISICEIS-v1-2015-XiMY #database #named #platform
PM-DB: Partition-based Multi-instance Database System for Multicore Platforms (FX, TM, HY), pp. 128–138.
ECOOPECOOP-2015-DongolDGS #architecture #concurrent #correctness
Defining Correctness Conditions for Concurrent Objects in Multicore Architectures (BD, JD, LG, GS), pp. 470–494.
AdaEuropeAdaEurope-2015-PerezGTT #clustering #concept #safety
A Safety Concept for an IEC-61508 Compliant Fail-Safe Wind Power Mixed-Criticality System Based on Multicore and Partitioning (JP, DG, ST, TT), pp. 3–17.
PLDIPLDI-2015-LidburyLCD #compilation #fuzzing
Many-core compiler fuzzing (CL, AL, NC, AFD), pp. 65–76.
SACSAC-2015-SaezPCCP #named #symmetry
ACFS: a completely fair scheduler for asymmetric single-isa multicore systems (JCS, AP, FC, DC, MPM), pp. 2027–2032.
CASECASE-2015-MarkovskiH #framework #question #synthesis
Is multicore supervisory controller synthesis in the Ramadge-Wonham framework feasible? (JM, HH), pp. 521–525.
CGOCGO-2015-ZhengLHWJ #approach #debugging #on the #performance
On performance debugging of unnecessary lock contentions on multicore processors: a replay-based approach (LZ, XL, BH, SW, HJ), pp. 56–67.
DACDAC-2015-BokhariJSHP #architecture #named
SuperNet: multimode interconnect architecture for manycore chips (HB, HJ, MS, JH, SP), p. 6.
DACDAC-2015-DuraisamyKCLPMM #energy #performance #pipes and filters #platform
Energy efficient MapReduce with VFI-enabled multicore platforms (KD, RGK, WC, GL, PPP, RM, DM), p. 6.
DACDAC-2015-FernandezJAQVC15a #off the shelf #resource management
Resource usage templates and signatures for COTS multicore processors (GF, JJ, JA, EQ, TV, FJC), p. 6.
DACDAC-2015-KehrQBS #communication #execution #legacy #parallel
Parallel execution of AUTOSAR legacy applications on multicore ECUs with timed implicit communication (SK, EQ, BB, GS), p. 6.
DATEDATE-2015-0001B #clustering #energy #performance
A ultra-low-energy convolution engine for fast brain-inspired vision in multicore clusters (FC, LB), pp. 683–688.
DATEDATE-2015-Bogdan #approach #challenge #cyber-physical #personalisation #platform
A cyber-physical systems approach to personalized medicine: challenges and opportunities for noc-based multicore platforms (PB), pp. 253–258.
DATEDATE-2015-CastrillonTSSJA #programming #question
Multi/many-core programming: where are we standing? (JC, LT, LS, WS, BHHJ, MAM, AP, RJ, VR, RL), pp. 1708–1717.
DATEDATE-2015-ChenM #distributed #learning #optimisation #performance
Distributed reinforcement learning for power limited many-core system performance optimization (ZC, DM), pp. 1521–1526.
DATEDATE-2015-FuZLX #memory management
Maximizing common idle time on multi-core processors with shared memory (CF, YZ, ML, CJX), pp. 900–903.
DATEDATE-2015-HaghbayanRFLPNT #online #power management #testing
Power-aware online testing of manycore systems in the dark silicon era (MHH, AMR, MF, PL, JP, ZN, HT), pp. 435–440.
DATEDATE-2015-KhanSH #adaptation #power management
Power-efficient accelerator allocation in adaptive dark silicon many-core systems (MUKK, MS, JH), pp. 916–919.
DATEDATE-2015-KimKKC #mobile #named
M-DTM: migration-based dynamic thermal management for heterogeneous mobile multi-core processors (YGK, MK, JMK, SWC), pp. 1533–1538.
DATEDATE-2015-LiaoWC #3d #online
An online thermal-constrained task scheduler for 3D multi-core processors (CHL, CHPW, KC), pp. 351–356.
DATEDATE-2015-MaHJ
A packet-switched interconnect for many-core systems with BE and RT service (RM, ZH, AJ), pp. 980–983.
DATEDATE-2015-MajumderLBP #analysis #architecture #probability
NoC-enabled multicore architectures for stochastic analysis of biomolecular reactions (TM, XL, PB, PP), pp. 1102–1107.
DATEDATE-2015-MajumderPK #architecture #biology
On-chip network-enabled many-core architectures for computational biology applications (TM, PPP, AK), pp. 259–264.
DATEDATE-2015-MunchPHH #embedded #named #realtime #scalability #using
MPIOV: scaling hardware-based I/O virtualization for mixed-criticality embedded real-time systems using non transparent bridges to (multi-core) multi-processor systems (DM, MP, OH, AH), pp. 579–584.
DATEDATE-2015-RaiT #modelling
A calibration based thermal modeling technique for complex multicore systems (DR, LT), pp. 1138–1143.
DATEDATE-2015-RawatS #architecture #concurrent #hybrid #memory management #thread
Enabling multi-threaded applications on hybrid shared memory manycore architectures (TR, AS), pp. 742–747.
DATEDATE-2015-ShafiqueGGH #variability
Variability-aware dark silicon management in on-chip many-core systems (MS, DG, SG, JH), pp. 387–392.
DATEDATE-2015-WangNRQ #realtime #scheduling #statistics
Multi-core fixed-priority scheduling of real-time tasks with statistical deadline guarantee (TW, LN, SR, GQ), pp. 1335–1340.
DATEDATE-2015-YaoWGMCZ #architecture #named
SelectDirectory: a selective directory for cache coherence in many-core architectures (YY, GW, ZG, TM, WC, NZ), pp. 175–180.
DATEDATE-2015-ZhangJSPHP #hardware #named #pipes and filters
E-pipeline: elastic hardware/software pipelines on a many-core fabric (XZ, HJ, MS, JP, JH, SP), pp. 363–368.
DATEDATE-2015-ZhuCPP #named
TAPP: temperature-aware application mapping for NoC-based many-core processors (DZ, LC, TMP, MP), pp. 1241–1244.
HPCAHPCA-2015-JimenezBBOCV #performance
Increasing multicore system efficiency through intelligent bandwidth shifting (VJ, AB, PB, FPO, FJC, MV), pp. 39–50.
HPCAHPCA-2015-WangM #approach #architecture #named #resource management #scalability
XChange: A market-based approach to scalable dynamic multi-resource allocation in multicore architectures (XW, JFM), pp. 113–125.
HPCAHPCA-2015-ZhaoY #analysis #distance #reuse #scalability
Studying the impact of multicore processor scaling on directory techniques via reuse distance analysis (MZ, DY), pp. 590–602.
PDPPDP-2015-AbadalMMACM #analysis #design
Multicast On-chip Traffic Analysis Targeting Manycore NoC Design (SA, AM, RM, EA, ACA, RM), pp. 370–378.
PDPPDP-2015-DiavastosST #data flow #named #performance
TFluxSCC: Exploiting Performance on Future Many-Core Systems through Data-Flow (AD, GS, PT), pp. 190–198.
PDPPDP-2015-Eitschberger0 #energy #scalability #scheduling
Energy-Efficient Task Scheduling in Manycore Processors with Frequency Scaling Overhead (PE, JK), pp. 541–548.
PDPPDP-2015-Holmbacka0EL #energy #modelling
Accurate Energy Modelling for Many-Core Static Schedules (SH, JK, PE, JL), pp. 525–532.
PDPPDP-2015-IliasovRXGRY #prototype #specification
A Formal Specification and Prototyping Language for Multi-core System Management (AI, AR, FX, RG, AR, AY), pp. 696–700.
PDPPDP-2015-KorotaevaN #embedded
Impact of Data Sharing on Co-Running Embedded Applications in Multi-core System (AK, WN), pp. 716–720.
PDPPDP-2015-LingCYCWL #communication #framework #named #parallel #platform
MACRON: The NoC-Based Many-Core Parallel Processing Platform and Its Applications in 4G Communication Systems (XL, YC, ZY, SC, XW, GL), pp. 396–403.
PDPPDP-2015-NgWSM #named #performance #resource management #runtime
DeFrag: Defragmentation for Efficient Runtime Resource Allocation in NoC-Based Many-core Systems (JN, XW, AKS, TSTM), pp. 345–352.
TACASTACAS-2015-DijkP #diagrams #named
Sylvan: Multi-Core Decision Diagrams (TvD, JvdP), pp. 677–691.
ICLPICLP-2015-YangSZ #bottom-up #evaluation #logic programming #parallel #source code
Parallel Bottom-Up Evaluation of Logic Programs: DeALS on Shared-Memory Multicore Machines (MY, AS, CZ), pp. 6–17.
QoSAQoSA-2014-GooijerH #case study #experience #industrial #memory management #modelling #realtime
Experiences with modeling memory contention for multi-core industrial real-time systems (TdG, KEH), pp. 43–52.
SIGMODSIGMOD-2014-ChenGLMPVK #named #scalability
Palette: enabling scalable analytics for big-memory, multicore machines (FC, TG, JL, MM, JP, KV, MK), pp. 705–708.
SIGMODSIGMOD-2014-LeisBK0 #evaluation #framework #parallel #query
Morsel-driven parallelism: a NUMA-aware query evaluation framework for the many-core age (VL, PAB, AK, TN), pp. 743–754.
IFMIFM-2014-FathabadiSB #modelling #process #runtime
Applying an Integrated Modelling Process to Run-time Management of Many-Core Systems (ASF, CFS, MJB), pp. 120–135.
SEKESEKE-2014-GrieblerAF #architecture #evaluation #interface #parallel #performance #programming #usability
Performance and Usability Evaluation of a Pattern-Oriented Parallel Programming Interface for Multi-Core Architectures (DG, DA, LGF), pp. 25–30.
QAPLQAPL-2014-KempfLM #design #formal method
Formal and Informal Methods for Multi-Core Design Space Exploration (JFK, OL, OM), pp. 78–92.
SACSAC-2014-TsaiC #3d
A thermal-throttling server in 3D multicore chips (THT, YSC), pp. 1425–1430.
ASPLOSASPLOS-2014-EyermanE #concurrent #flexibility #parallel #smt #thread #towards
The benefit of SMT in the multi-core era: flexibility towards degrees of thread-level parallelism (SE, LE), pp. 591–606.
DACDAC-2014-0001SMAKV #optimisation
Reinforcement Learning-Based Inter- and Intra-Application Thermal Optimization for Lifetime Improvement of Multicore Systems (AD, RAS, GVM, BMAH, AK, BV), p. 6.
DACDAC-2014-ChenPCWMYZC #named #parallel #simulation #thread
DAPs: Dynamic Adjustment and Partial Sampling for Multithreaded/Multicore Simulation (CCC, YCP, CFC, WSW, QM, PCY, WZ, TFC), p. 6.
DACDAC-2014-FattahPLPT #named #runtime
SHiFA: System-Level Hierarchy in Run-Time Fault-Aware Management of Many-Core Systems (MF, MP, PL, JP, HT), p. 6.
DACDAC-2014-SlijepcevicKAQC #realtime
Time-Analysable Non-Partitioned Shared Caches for Real-Time Multicore Systems (MS, LK, JA, EQ, FJC), p. 6.
DACDAC-2014-TsengHPK #energy #mobile #scheduling
User-Centric Energy-Efficient Scheduling on Multi-Core Mobile Devices (PHT, PCH, CCP, TWK), p. 6.
DACDAC-2014-XueQBYT #analysis #framework #platform #scalability
Disease Diagnosis-on-a-Chip: Large Scale Networks-on-Chip based Multicore Platform for Protein Folding Analysis (YX, ZQ, PB, FY, CYT), p. 6.
DATEDATE-2014-AlhammadP #execution #parallel #predict #thread
Time-predictable execution of multithreaded applications on multicore systems (AA, RP), pp. 1–6.
DATEDATE-2014-BortolottiBWRB #architecture #hybrid #memory management #power management #scalability
Hybrid memory architecture for voltage scaling in ultra-low power multi-core biomedical processors (DB, AB, CW, DR, LB), pp. 1–6.
DATEDATE-2014-BraojosDBAA #approach #hardware #power management
Hardware/software approach for code synchronization in low-power multi-core sensor nodes (RB, AYD, IB, GA, DA), pp. 1–6.
DATEDATE-2014-CilardoFGM #communication #scheduling #synthesis
Joint communication scheduling and interconnect synthesis for FPGA-based many-core systems (AC, EF, LG, AM), pp. 1–4.
DATEDATE-2014-DongZ #memory management #realtime #stack
Minimizing stack memory for hard real-time applications on multicore platforms (CD, HZ), pp. 1–6.
DATEDATE-2014-GiannopoulouSHT #architecture
Mapping mixed-criticality applications on multi-core architectures (GG, NS, PH, LT), pp. 1–6.
DATEDATE-2014-Huang14a #network #performance #predict
Leveraging on-chip networks for efficient prediction on multicore coherence (LH), pp. 1–4.
DATEDATE-2014-JalleKAQC #design
Bus designs for time-probabilistic multicore processors (JJ, LK, JA, EQ, FJC), pp. 1–6.
DATEDATE-2014-KangYKBHT #optimisation
Reliability-aware mapping optimization of multi-core systems with mixed-criticality (SHK, HY, SK, IB, SH, LT), pp. 1–4.
DATEDATE-2014-KhanSH #architecture #performance #power management #video
Software architecture of High Efficiency Video Coding for many-core systems with power-efficient workload balancing (MUKK, MS, JH), pp. 1–6.
DATEDATE-2014-LeeWP #configuration management #framework #named
VRCon: Dynamic reconfiguration of voltage regulators in a multicore platform (WL, YW, MP), pp. 1–6.
DATEDATE-2014-NoursPB #architecture #evaluation #performance
A dynamic computation method for fast and accurate performance evaluation of multi-core architectures (SLN, AP, NWB), pp. 1–6.
DATEDATE-2014-OdendahlGLARVH
Optimized buffer allocation in multicore platforms (MO, AG, RL, GA, BR, BV, TH), pp. 1–6.
DATEDATE-2014-SanderSDBBBMKALHRHH #hardware
Hardware virtualization support for shared resources in mixed-criticality multicore systems (OS, TS, VVD, SB, FB, JB, HUM, DK, DA, EL, JH, AR, CH, AH), pp. 1–6.
DATEDATE-2014-SilvanoPXS #architecture
Voltage island management in near threshold manycore architectures to mitigate dark silicon (CS, GP, SX, ISS), pp. 1–6.
DATEDATE-2014-WangXWCWW #power management
Characterizing power delivery systems with on/off-chip voltage regulators for many-core processors (XW, JX, ZW, KJC, XW, ZW), pp. 1–4.
DATEDATE-2014-WangZMYJDP #adaptation
Adaptive power allocation for many-core systems inspired from multiagent auction model (XW, BZ, TSTM, MY, YJ, MD, MP), pp. 1–4.
DATEDATE-2014-WuWDHYY #in memory #integration #memory management
A thermal resilient integration of many-core microprocessors and main memory by 2.5D TSI I/Os (SSW, KW, SMPD, TYH, MY, HY), pp. 1–4.
DATEDATE-2014-YasinSE #polynomial
Unified, ultra compact, quadratic power proxies for multi-core processors (MY, AS, IAME), pp. 1–4.
DATEDATE-2014-ZhangAJC #network
Thermal management of manycore systems with silicon-photonic networks (TZ, JLA, AJ, AKC), pp. 1–6.
HPCAHPCA-2014-DemetriadesC #scalability
Stash directory: A scalable directory for many-core coherence (SD, SC), pp. 177–188.
HPCAHPCA-2014-LoK
Dynamic management of TurboMode in modern multi-core chips (DL, CK), pp. 603–613.
HPDCHPDC-2014-El-HelwHB #clustering #named #pipes and filters
Glasswing: accelerating mapreduce on multi-core and many-core clusters (IEH, RFHH, HEB), pp. 295–298.
HPDCHPDC-2014-RezaeiCLCM #named
Snapify: capturing snapshots of offload applications on xeon phi manycore processors (AR, GC, CHL, STC, FM), pp. 1–12.
HPDCHPDC-2014-TangMEL0G #scalability
Data filtering for scalable high-dimensional k-NN search on multicore systems (XT, SM, DME, KCL, ZH, MG), pp. 305–310.
LCTESLCTES-2014-BebelisFG #data flow #framework #parametricity #platform
A framework to schedule parametric dataflow applications on many-core platforms (VB, PF, AG), pp. 125–134.
OSDIOSDI-2014-MullerAAC #automation #named #parallel
Pydron: Semi-Automatic Parallelization for Multi-Core and the Cloud (SCM, GA, AA, AC), pp. 645–659.
OSDIOSDI-2014-ZhengTKL #database #parallel #performance
Fast Databases with Fast Durability and Recovery Through Multicore Parallelism (WZ, ST, EK, BL), pp. 465–477.
PDPPDP-2014-AnwarDERPT #integration
Integration of AES on Heterogeneous Many-Core System (HA, MD, ME, MR, JP, HT), pp. 424–427.
PDPPDP-2014-BakkerTP #symmetry
Emulating Asymmetric MPSoCs on the Intel SCC Many-Core Processor (RB, MWvT, ADP), pp. 520–527.
PDPPDP-2014-BuonoMMV #architecture #hardware #message passing #optimisation #thread #using
Optimizing Message-Passing on Multicore Architectures Using Hardware Multi-threading (DB, TDM, GM, MV), pp. 262–270.
PDPPDP-2014-FattahRXKLPT #runtime
Mixed-Criticality Run-Time Task Mapping for NoC-Based Many-Core Systems (MF, AMR, TCX, AK, PL, JP, HT), pp. 458–465.
PDPPDP-2014-KumarL #analysis #latency
Latency Analysis of Network-on-Chip Based Many-Core Processors (SK, GL), pp. 432–439.
PDPPDP-2014-ManciniMMMT #distributed #hardware #simulation #verification
System Level Formal Verification via Distributed Multi-core Hardware in the Loop Simulation (TM, FM, AM, IM, ET), pp. 734–742.
PDPPDP-2014-TcarenkoFLPT #approach #modelling
Multi Rectangle Modeling Approach for Application Mapping on a Many-Core System (IT, MF, PL, JP, HT), pp. 452–457.
PDPPDP-2014-UddinPJ #architecture #simulation #thread
Analytical-Based High-Level Simulation of the Microthreaded Many-Core Architectures (MIU, RP, CRJ), pp. 344–351.
PPoPPPPoPP-2014-LuoLHKP #hybrid #programming #runtime
Initial study of multi-endpoint runtime for MPI+OpenMP hybrid programming model on multi-core systems (ML, XL, KH, KCK, DKP), pp. 395–396.
PPoPPPPoPP-2014-MaAC #algorithm #analysis #thread
Theoretical analysis of classic algorithms on highly-threaded many-core GPUs (LM, KA, RDC), pp. 391–392.
TACASTACAS-2014-WijsB #named #on the fly #using
GPUexplore: Many-Core On-the-Fly State Space Exploration Using GPUs (AW, DB), pp. 233–247.
VLDBVLDB-2014-BalkesenATO13 #in memory #revisited
Multi-Core, Main-Memory Joins: Sort vs. Hash Revisited (CB, GA, JT, MTÖ), pp. 85–96.
HaskellHaskell-2013-VoellmyWHY #haskell #named
Mio: a high-performance multicore io manager for GHC (AV, JW, PH, KY), pp. 129–140.
HCIHCI-AMTE-2013-HermannCW #human-computer #industrial #interactive #parallel #platform
Parallel Rendering of Human-Computer Interaction Industrial Applications on Multi-/Many-Core Platforms (SH, AC, L(W), pp. 350–360.
ECOOPECOOP-2013-GruberB #concurrent
Ownership-Based Isolation for Concurrent Actors on Multi-core Machines (OG, FB), pp. 281–301.
OOPSLAOOPSLA-2013-ClebschD #concurrent #garbage collection
Fully concurrent garbage collection of actors on many-core machines (SC, SD), pp. 553–570.
AdaEuropeAdaEurope-2013-KampenhoutH #deployment #modelling
Model-Based Deployment of Mission-Critical Spacecraft Applications on Multicore Processors (JRvK, RH), pp. 35–50.
HILTHILT-2013-MichellMP #programming #realtime
Real-time programming on accelerator many-core processors (SM, BM, LMP), pp. 23–36.
SACSAC-2013-AzarianCWB #approach #pipes and filters
An FPGA-based multi-core approach for pipelining computing stages (AA, JMPC, SW, JB), pp. 1533–1540.
SACSAC-2013-BusseSDMR #architecture #scheduling
Analyzing resource interdependencies in multi-core architectures to improve scheduling decisions (AB, JHS, MD, GM, JR), pp. 1595–1602.
SACSAC-2013-FanTCS #embedded #energy #realtime
Energy-aware real-time task synchronization in multi-core embedded systems (LFF, THT, YSC, SSS), pp. 1493–1498.
SACSAC-2013-ImJLL #configuration management #operating system
A dynamically reconfigurable operating system for manycore systems (CI, MJ, JL, SL), pp. 1622–1627.
SACSAC-2013-ShihL #kernel #named
nuKernel: MicroKernel for multi-core DSP SoCs with load sharing and priority interrupts (CSS, HYL), pp. 1525–1532.
ASPLOSASPLOS-2013-ParkBCLN #harmful #memory management
Regularities considered harmful: forcing randomness to memory accesses to reduce row buffer conflicts for multi-core, multi-bank systems (HP, SB, JC, DL, SHN), pp. 181–192.
ASPLOSASPLOS-2013-ShenSDZC #energy #fine-grained
Power containers: an OS facility for fine-grained power and energy management on multicore servers (KS, AS, SD, XZ, ZC), pp. 65–76.
ASPLOSASPLOS-2013-ViennotNN #debugging #validation
Transparent mutable replay for multicore debugging and patch validation (NV, SN, JN), pp. 127–138.
DACDAC-2013-AnagnostopoulosTBS #distributed #platform #resource management #runtime
Distributed run-time resource management for malleable applications on many-core platforms (IA, VT, AB, DS), p. 6.
DACDAC-2013-AncajasCR #3d #memory management #named
DMR3D: dynamic memory relocation in 3D multicore systems (DMA, KC, SR), p. 9.
DACDAC-2013-ChenXKGHKOA #design #scalability
Dynamic voltage and frequency scaling for shared resources in multicore processor designs (XC, ZX, HK, PVG, JH, MK, ÜYO, RZA), p. 7.
DACDAC-2013-FattahDLP #agile
Smart hill climbing for agile dynamic mapping in many-core systems (MF, MD, PL, JP), p. 6.
DACDAC-2013-MercatiBPRB #reliability #user interface
Workload and user experience-aware dynamic reliability management in multicore processors (PM, AB, FP, TSR, LB), p. 6.
DACDAC-2013-MuthukaruppanPVMV #power management #symmetry
Hierarchical power management for asymmetric multi-core in dark silicon era (TSM, MP, VV, TM, SV), p. 9.
DACDAC-2013-SinghSKH #overview #roadmap
Mapping on multi/many-core systems: survey of current and emerging trends (AKS, MS, AK, JH), p. 10.
DACDAC-2013-TajikHD #3d #architecture #named #process
VAWOM: temperature and process variation aware wearout management in 3D multicore architecture (HT, HH, ND), p. 8.
DATEDATE-2013-AmpaduZS #energy #fault tolerance
Breaking the energy barrier in fault-tolerant caches for multicore systems (PA, MZ, VS), pp. 731–736.
DATEDATE-2013-BaiS #architecture #automation #data transformation #memory management #performance
Automatic and efficient heap data management for limited local memory multicore architectures (KB, AS), pp. 593–598.
DATEDATE-2013-ChantemYHD #online #reliability #scheduling
Enhancing multicore reliability through wear compensation in online assignment and scheduling (TC, XY, XSH, RPD), pp. 1373–1378.
DATEDATE-2013-CoppolaFGK #embedded
From embedded multi-core SoCs to scale-out processors (MC, BF, JG, GK), pp. 947–951.
DATEDATE-2013-DaneshtalabEPT #interface #named #network
CARS: congestion-aware request scheduler for network interfaces in NoC-based manycore systems (MD, ME, JP, HT), pp. 1048–1051.
DATEDATE-2013-DasKV13a #communication #design #energy #fault #migration
Communication and migration energy aware design space exploration for multicore systems with intermittent faults (AD, AK, BV), pp. 1631–1636.
DATEDATE-2013-ElbayoumiHE #concurrent #diagrams #novel #platform
A novel concurrent cache-friendly binary decision diagram construction for multi-core platforms (ME, MSH, MYE), pp. 1427–1430.
DATEDATE-2013-ElfadelMA #formal method #industrial
Closed-loop control for power and thermal management in multi-core processors: formal methods and industrial practice (IME, RM, DA), pp. 1879–1881.
DATEDATE-2013-GhiribaldiBN #architecture #effectiveness
A transition-signaling bundled data NoC switch architecture for cost-effective GALS multicore systems (AG, DB, SMN), pp. 332–337.
DATEDATE-2013-JahnH #architecture #named #pipes and filters #self
Pipelets: self-organizing software pipelines for many-core architectures (JJ, JH), pp. 1516–1521.
DATEDATE-2013-KimYIBS #realtime #scheduling
Optimized scheduling of multi-IMA partitions with exclusive region for synchronized real-time multi-core systems (JEK, MKY, SI, RMB, LS), pp. 970–975.
DATEDATE-2013-KodakaTSYKTXSUTMM #power management #predict
A near-future prediction method for low power consumption on a many-core processor (TK, AT, SS, AY, TK, TT, HX, TS, HU, JT, TM, NM), pp. 1058–1059.
DATEDATE-2013-MittalBKDKP #adaptation #towards
Towards adaptive test of multi-core RF SoCs (RM, LB, YBCK, VRD, MK, RAP), pp. 743–748.
DATEDATE-2013-MiyamoriXKUST #development #power management
Development of low power many-core SoC for multimedia applications (TM, HX, TK, HU, TS, JT), pp. 773–777.
DATEDATE-2013-MushtaqAB #approach #fault tolerance #performance #platform
Efficient software-based fault tolerance approach on multicore platforms (HM, ZAA, KB), pp. 921–926.
DATEDATE-2013-NegreanKE #analysis
Timing analysis of multi-mode applications on AUTOSAR conform multi-core systems (MN, SK, RE), pp. 302–307.
DATEDATE-2013-OjailDLG #embedded #framework #lightweight #named
ARTM: a lightweight fork-join framework for many-core embedded systems (MO, RD, YL, AG), pp. 1510–1515.
DATEDATE-2013-PaoneVZSMHL #embedded #modelling #platform #simulation
Improving simulation speed and accuracy for many-core embedded platforms with ensemble models (EP, NV, VZ, CS, DM, GH, TL), pp. 671–676.
DATEDATE-2013-RaminiGBB #3d #analysis #power management #using
Contrasting wavelength-routed optical NoC topologies for power-efficient 3D-stacked multicore processors using physical-layer analysis (LR, PG, SB, DB), pp. 1589–1594.
DATEDATE-2013-SabooriA #embedded #hybrid #prototype
Hybrid prototyping of multicore embedded systems (ES, SA), pp. 1627–1630.
DATEDATE-2013-ShafiqueVH #adaptation #hybrid #power management #self
Self-adaptive hybrid dynamic power management for many-core systems (MS, BV, JH), pp. 51–56.
DATEDATE-2013-ThabetLAPD #architecture #flexibility #hardware #performance
An efficient and flexible hardware support for accelerating synchronization operations on the STHORM many-core architecture (FT, YL, CA, JMP, RD), pp. 531–534.
DATEDATE-2013-WangYWZ #3d #configuration management #network
3D reconfigurable power switch network for demand-supply matching between multi-output power converters and many-core microprocessors (KW, HY, BW, CZ), pp. 1643–1648.
DATEDATE-2013-WettinMPSG #approach #design #energy
Energy-efficient multicore chip design through cross-layer approach (PW, JM, PPP, BS, AG), pp. 725–730.
DATEDATE-2013-WildermannZT #analysis #distributed #game studies
Game-theoretic analysis of decentralized core allocation schemes on many-core systems (SW, TZ, JT), pp. 1498–1503.
DATEDATE-2013-YuZHWLT #approach #set #simulation
A critical-section-level timing synchronization approach for deterministic multi-core instruction set simulations (FWY, BHZ, YHH, HIW, CRL, RST), pp. 643–648.
DATEDATE-2013-ZhangCBACL #3d #architecture #composition #named #performance
3D-MMC: a modular 3D multi-core architecture with efficient resource pooling (TZ, AC, GB, PA, AKC, YL), pp. 1241–1246.
DATEDATE-2013-ZhaoLBT #detection #probability #runtime
Run-time probabilistic detection of miscalibrated thermal sensors in many-core systems (JZ, S(L, WB, RT), pp. 1395–1398.
HPCAHPCA-2013-ArdestaniR #named #performance #using
ESESC: A fast multicore simulator using Time-Based Sampling (EKA, JR), pp. 448–459.
HPCAHPCA-2013-DasAMKA #memory management #policy
Application-to-core mapping policies to reduce memory system interference in multi-core systems (RD, RA, OM, AK, MA), pp. 107–118.
HPCAHPCA-2013-KhanAWKJ #architecture #performance #using
Improving multi-core performance using mixed-cell cache architecture (SMK, ARA, CW, JK, DAJ), pp. 119–130.
HPCAHPCA-2013-RaoWZX #optimisation #scheduling #virtual machine
Optimizing virtual machine scheduling in NUMA multicore systems (JR, KW, XZ, CZX), pp. 306–317.
HPCAHPCA-2013-RobatmiliLEGSPBK #architecture #effectiveness #how #predict
How to implement effective prediction and forwarding for fusable dynamic multicore architectures (BR, DL, HE, MSSG, AS, AP, DB, SWK), pp. 460–471.
HPDCHPDC-2013-GillLHNGL #composition #declarative #framework #named #platform #scalability
Scalanytics: a declarative multi-core platform for scalable composable traffic analytics (HG, DL, XH, CN, TG, BTL), pp. 61–72.
HPDCHPDC-2013-ZhangODJ #clustering #framework #implementation #named
Orthrus: a framework for implementing high-performance collective I/O in the multicore clusters (XZ, JO, KD, SJ), pp. 113–114.
LCTESLCTES-2013-Beemster #c
The role of C in the dark ages of multi-core (MB), pp. 89–90.
LCTESLCTES-2013-WangCSCH #api #embedded #using
Portable mapping of openMP to multicore embedded systems using MCA APIs (CW, SC, PS, BMC, JH), pp. 153–162.
PDPPDP-2013-BahrebarFHDMS #clustering #communication
Making Communication a First-Class Citizen in Multicore Partitioning (PB, RMF, WH, LD, AM, DS), pp. 287–293.
PDPPDP-2013-BuonoDLT #parallel
Parallel Patterns for General Purpose Many-Core (DB, MD, SL, MT), pp. 131–139.
PDPPDP-2013-EbrahimiDP #algorithm #fault tolerance #performance
High Performance Fault-Tolerant Routing Algorithm for NoC-Based Many-Core Systems (ME, MD, JP), pp. 462–469.
PDPPDP-2013-HolmbackaALL #energy #operating system #performance
QoS Manager for Energy Efficient Many-Core Operating Systems (SH, DA, SL, JL), pp. 318–322.
PDPPDP-2013-HolmbackaLLL #distributed #migration #operating system #performance
Task Migration for Dynamic Power and Performance Characteristics on Many-Core Distributed Operating Systems (SH, WL, SL, JL), pp. 310–317.
PDPPDP-2013-MichailidisM #estimation #kernel #modelling #parallel #programming
Parallel Computing of Kernel Density Estimation with Different Multi-core Programming Models (PDM, KGM), pp. 77–85.
PDPPDP-2013-PetridesDCT #database #performance #query #scalability
Scalability and Efficiency of Database Queries on Future Many-Core Systems (PP, AD, CC, PT), pp. 24–28.
PDPPDP-2013-YoshinagaTHSNI #hybrid #parallel #scalability
A Delegation Mechanism on Many-Core Oriented Hybrid Parallel Computers for Scalability of Communicators and Communications in MPI (KY, YT, AH, MS, MN, YI), pp. 249–253.
PPoPPPPoPP-2013-CascavalFMPRRWB #mobile #named #parallel #web
ZOOMM: a parallel web browser engine for multicore mobile devices (CC, SF, PMO, WP, MR, BR, MW, VB), pp. 271–280.
PPoPPPPoPP-2013-FriedleyHBLM #distributed #memory management #performance #programming
Ownership passing: efficient distributed memory programming on multi-core systems (AF, TH, GB, AL, CCM), pp. 177–186.
SOSPSOSP-2013-ClementsKZMK #commutative #design #scalability
The scalable commutativity rule: designing scalable software for multicore processors (ATC, MFK, NZ, RTM, EK), pp. 1–17.
SOSPSOSP-2013-TuZKLM #database #in memory #transaction
Speedy transactions in multicore in-memory databases (ST, WZ, EK, BL, SM), pp. 18–32.
TACASTACAS-2013-WieringaH #incremental #satisfiability
Asynchronous Multi-core Incremental SAT Solving (SW, KH), pp. 139–153.
CAVCAV-2013-LaarmanODLP #abstraction #automaton #using
Multi-core Emptiness Checking of Timed Büchi Automata Using Inclusion Abstraction (AL, MCO, AED, KGL, JvdP), pp. 968–983.
VLDBVLDB-2012-AlbutiuKN #database #in memory #memory management #parallel
Massively Parallel Sort-Merge Joins in Main Memory Multi-Core Database Systems (MCA, AK, TN), pp. 1064–1075.
KDDKDD-2012-RoyTA #hardware #performance
Efficient frequent item counting in multi-core hardware (PR, JT, GA), pp. 1451–1459.
ECMFAECMFA-2012-Seidewitz #execution #uml
Executable UML: From Multi-domain to Multi-core (ES), p. 1.
OOPSLAOOPSLA-2012-SartorE #concurrent #hardware #java #performance #thread
Exploring multi-threaded Java application performance on multicore hardware (JBS, LE), pp. 281–296.
HILTHILT-2012-Taft #divide and conquer #named #programming #tutorial #using
Tutorial: multicore programming using divide-and-conquer and work stealing (STT), pp. 13–14.
PLDIPLDI-2012-NagarakatteBMM #concurrent #debugging #detection
Multicore acceleration of priority-based schedulers for concurrency bug detection (SN, SB, MMKM, MM), pp. 543–554.
ICSEICSE-2012-PankratiusSG #empirical #functional #imperative #java #programming #scala
Combining functional and imperative programming for multicore software: An empirical study evaluating Scala and Java (VP, FS, GG), pp. 123–133.
SACSAC-2012-BhattiBA #approach #platform #realtime #scheduling
A semi-partitioned real-time scheduling approach for periodic task systems on multicore platforms (MKB, CB, MA), pp. 1594–1601.
SACSAC-2012-DragoB #analysis #architecture #design #graph #named
DAG3: a tool for design and analysis of applications for multicore architectures (MLD, JB), pp. 1159–1164.
SACSAC-2012-El-ShambakeyR #bound #concurrent #embedded #realtime #trade-off
STM concurrency control for multicore embedded real-time software: time bounds and tradeoffs (MES, BR), pp. 1602–1609.
SACSAC-2012-ImJLLL #operating system #realtime
A real-time operating system for manycore systems (CI, MJ, JDL, SL, SL), pp. 1845–1846.
SACSAC-2012-TsaiC #3d #realtime #scheduling
Thermal-aware real-time task scheduling for three-dimensional multicore chip (THT, YSC), pp. 1618–1624.
DACDAC-2012-CheC #embedded
Unrolling and retiming of stream applications onto embedded multicore processors (WC, KSC), pp. 1272–1277.
DACDAC-2012-ChoiOKH #architecture #data flow #graph
Executing synchronous dataflow graphs on a SPM-based multicore architecture (JC, HO, SK, SH), pp. 664–671.
DACDAC-2012-FangMZLHZCLZ #named
Transformer: a functional-driven cycle-accurate multicore simulator (ZF, QM, KZ, YL, YH, WZ, HC, JL, BZ), pp. 106–114.
DACDAC-2012-KuangBK #network #optimisation
Traffic-aware power optimization for network applications on multicore servers (JK, LNB, RK), pp. 1006–1011.
DACDAC-2012-MelpignanoBFJLHCD #embedded #evaluation #framework #performance #platform #visual notation
Platform 2012, a many-core computing accelerator for embedded SoCs: performance evaluation of visual analytics applications (DM, LB, EF, BJ, TL, GH, FC, DD), pp. 1137–1142.
DACDAC-2012-MengKC #3d #constraints #energy #optimisation #performance
Optimizing energy efficiency of 3-D multicore systems with stacked DRAM under power and thermal constraints (JM, KK, AKC), pp. 648–655.
DACDAC-2012-RanieriVCAV #algorithm #named
EigenMaps: algorithms for optimal thermal maps extraction and sensor placement on multicore processors (JR, AV, AC, DA, MV), pp. 636–641.
DATEDATE-2012-AnagnostopoulosBKS #distributed #divide and conquer #platform #runtime
A divide and conquer based distributed run-time mapping methodology for many-core platforms (IA, AB, GK, DS), pp. 111–116.
DATEDATE-2012-BolchiniMS #adaptation #approach #architecture #fault #online
An adaptive approach for online fault management in many-core architectures (CB, AM, DS), pp. 1429–1432.
DATEDATE-2012-BoseBDGHJNRSVW #challenge #power management
Power management of multi-core chips: Challenges and pitfalls (PB, AB, JAD, MSG, MBH, HMJ, IN, JAR, JS, AV, AJW), pp. 977–982.
DATEDATE-2012-ChenMM #configuration management #online #scheduling
Online scheduling for multi-core shared reconfigurable fabric (LC, TM, TM), pp. 582–585.
DATEDATE-2012-DenizSH #embedded #verification
Verification coverage of embedded multicore applications (ED, AS, JH), pp. 252–255.
DATEDATE-2012-DoganCRBA #architecture #design #health #monitoring #power management #smarttech
Multi-core architecture design for ultra-low-power wearable health monitoring systems (AYD, JC, MR, AB, DA), pp. 988–993.
DATEDATE-2012-DrumlSWGH #estimation #smarttech
Estimation based power and supply voltage management for future RF-powered multi-core smart cards (ND, CS, RW, AG, JH), pp. 358–363.
DATEDATE-2012-FanQ #framework #platform #realtime #scheduling
Harmonic semi-partitioned scheduling for fixed-priority real-time tasks on multi-core platform (MF, GQ), pp. 503–508.
DATEDATE-2012-GaoWHZL #clustering #concurrent #debugging
A clustering-based scheme for concurrent trace in debugging NoC-based multicore systems (JG, JW, YH, LZ, XL), pp. 27–32.
DATEDATE-2012-HameedBH #adaptation #architecture #runtime
Dynamic cache management in multi-core architectures through run-time adaptation (FH, LB, JH), pp. 485–490.
DATEDATE-2012-KesslerDTNRDBTP #aspect-oriented #performance #programmable
Programmability and performance portability aspects of heterogeneous multi-/manycore systems (CWK, UD, ST, RN, AR, UD, SB, JLT, SP), pp. 1403–1408.
DATEDATE-2012-LiuFQ #framework #platform
Neighbor-aware dynamic thermal management for multi-core platform (GL, MF, GQ), pp. 187–192.
DATEDATE-2012-RichterC #delivery #reduction
Test pin count reduction for NoC-based Test delivery in multicore SOCs (MR, KC), pp. 787–792.
DATEDATE-2012-SinkarWK #optimisation #performance
Workload-aware voltage regulator optimization for power efficient multi-core processors (AAS, HW, NSK), pp. 1134–1137.
DATEDATE-2012-WernerOGHB #configuration management #distributed
Virtualized on-chip distributed computing for heterogeneous reconfigurable multi-core systems (SW, OO, DG, MH, JB), pp. 280–283.
HPCAHPCA-2012-YanLHLGL #architecture #hybrid #named #performance
AgileRegulator: A hybrid voltage regulator scheme redeeming dark silicon for power efficiency in a multicore architecture (GY, YL, YH, XL, MG, XL), pp. 287–298.
HPDCHPDC-2012-GeorgakoudisLN #migration #summary #symmetry
Dynamic binary rewriting and migration for shared-ISA asymmetric, multicore processors: summary (GG, SL, DSN), pp. 127–128.
HPDCHPDC-2012-PasettoMFPX #architecture #communication #evaluation #parallel #performance #thread
Performance evaluation of interthread communication mechanisms on multicore/multithreaded architectures (DP, MM, HF, FP, JX), pp. 131–132.
ISMMISMM-2012-ZhouD #configuration management #locality #memory management #policy
Memory management for many-core processors with software configurable locality policies (JZ, BD), pp. 3–14.
LCTESLCTES-2012-KyleBFLT #embedded #set #simulation #using
Efficiently parallelizing instruction set simulation of embedded multi-core processors using region-based just-in-time dynamic binary translation (SCK, IB, BF, HL, NPT), pp. 21–30.
OSDIOSDI-2012-Kapritsos0QCAD #all about #replication
All about Eve: Execute-Verify Replication for Multi-Core Servers (MK, YW, VQ, AC, LA, MD), pp. 237–250.
PDPPDP-2012-AldinucciADTK #data flow #metaprogramming #parallel
Parallel Patterns + Macro Data Flow for Multi-core Programming (MA, LA, MD, MT, PK), pp. 27–36.
PDPPDP-2012-AlessiMB #clustering
Accelerating the Production of Synthetic Seismograms by a Multicore Processor Cluster with Multiple GPUs (FA, AM, RB), pp. 434–441.
PDPPDP-2012-AlonsoDIMQ #energy
Saving Energy in the LU Factorization with Partial Pivoting on Multi-core Processors (PA, MFD, FDI, RM, ESQO), pp. 353–358.
PDPPDP-2012-RungerS #interactive #parallel #scalability #simulation
Interaction List Compression in Large Parallel Particle Simulations on Multicore Systems (GR, MS), pp. 190–197.
PDPPDP-2012-TaoFWM #architecture #performance #virtual machine
A Performance Study of Virtual Machines on Multicore Architectures (JT, KF, LW, HM), pp. 89–96.
PPoPPPPoPP-2012-JiangPOJ #parallel
OpenMP-style parallelism in data-centered multicore computing with R (LJ, PBP, GO, FJ), pp. 335–336.
PPoPPPPoPP-2012-WernsingS #automation #heuristic #named
RACECAR: a heuristic for automatic function specialization on multi-core heterogeneous systems (JRW, GS), pp. 321–322.
ICSTICST-2012-FangKDO #formal method #modelling
Formal Model-Based Test for AUTOSAR Multicore RTOS (LF, TK, TBND, HO), pp. 251–259.
ICSTICST-2012-HashemianKA #benchmark #challenge #metric #web
Overcoming Web Server Benchmarking Challenges in the Multi-core Era (RH, DK, MFA), pp. 648–653.
SIGMODSIGMOD-2011-BlanasLP #algorithm #design #evaluation #in memory #memory management
Design and evaluation of main memory hash join algorithms for multi-core CPUs (SB, YL, JMP), pp. 37–48.
VLDBVLDB-2011-SewallCKSD #architecture #named #parallel
PALM: Parallel Architecture-Friendly Latch-Free Modifications to B+ Trees on Many-Core Processors (JS, JC, CK, NS, PD), pp. 795–806.
VLDBVLDB-2012-KruegerKGSSCPDZ11 #database #performance #using
Fast Updates on Read-Optimized Databases Using Multi-Core CPUs (JK, CK, MG, NS, DS, JC, HP, PD, AZ), pp. 61–72.
ITiCSEITiCSE-2011-Ernst #architecture #performance #student
Preparing students for future architectures with an exploration of multi- and many-core performance (DJE), pp. 57–62.
FDGFDG-2011-NoahTB
Multi-core processing within the frontal lobe (JAN, AT, SB), pp. 280–282.
CIKMCIKM-2011-KrulisLBSS #architecture #distance #gpu #polynomial
Processing the signature quadratic form distance on many-core GPU architectures (MK, JL, CB, TS, TS), pp. 2373–2376.
SIGIRSIGIR-2011-TatikondaCJ #architecture
Posting list intersection on multicore architectures (ST, BBC, FPJ), pp. 963–972.
AdaEuropeAdaEurope-2011-Ploedereder #programming language
Programming Languages Meet Multicore (EP), pp. 189–192.
AdaEuropeAdaEurope-2011-Taft #implementation #parallel #programming #specification
Multicore Programming in ParaSail — Parallel Specification and Implementation Language (STT), pp. 196–200.
PLDIPLDI-2011-GarciaJLT #named
Kremlin: rethinking and rebooting gprof for the multicore age (SG, DJ, CML, MBT), pp. 458–469.
ASEASE-2011-BotincanDDP #memory management
Safe asynchronous multicore memory operations (MB, MD, AFD, MJP), pp. 153–162.
SACSAC-2011-BiancoGH #approach #parallel #performance
A fast approach for parallel deduplication on multicore processors (GDB, RdMG, CAH), pp. 1027–1032.
SACSAC-2011-ChuHLCHC #approach #development #verification
A pattern-based verification approach for a multi-core system development (PHC, NLH, CCL, MJC, PAH, WCC), pp. 49–53.
SACSAC-2011-DaniAS #algorithm #architecture #search-based
Applying genetic algorithms to optimize the power in tiled SNUCA chip multicore architectures (AMD, BA, YNS), pp. 1090–1091.
SACSAC-2011-LeeLS #scheduling
Preemptibility-aware responsive multi-core scheduling (JL, GL, SbS), pp. 748–749.
SACSAC-2011-MitakeKCN #embedded #realtime
Coexisting real-time OS and general purpose OS on an embedded virtualization layer for a multicore processor (HM, YK, AC, TN), pp. 629–630.
ASPLOSASPLOS-2011-FarhadKBS #approximate #architecture #distributed #source code
Orchestration by approximation: mapping stream programs onto multicore architectures (SMF, YK, BB, BS), pp. 357–368.
ASPLOSASPLOS-2011-KamruzzamanST #migration #thread #using
Inter-core prefetching for multicore processors using migrating helper threads (MK, SS, DMT), pp. 393–404.
CGOCGO-2011-LiuZDK #scheduling
On-chip cache hierarchy-aware tile scheduling for multicore machines (JL, YZ, WD, MTK), pp. 161–170.
CGOCGO-2011-SondagR #symmetry
Phase-based tuning for better utilization of performance-asymmetric multicore processors (TS, HR), pp. 11–20.
CGOCGO-2011-WuHBW #energy #virtual machine
A HW/SW co-designed heterogeneous multi-core virtual machine for energy-efficient general purpose computing (YW, SH, EB, CW), pp. 236–245.
DACDAC-2011-CheC #compilation #embedded #memory management #source code
Compilation of stream programs onto scratchpad memory based embedded multicore processors through retiming (WC, KSC), pp. 122–127.
DACDAC-2011-ChenM #configuration management
Shared reconfigurable fabric for multi-core customization (LC, TM), pp. 830–835.
DACDAC-2011-DeOrioABP #architecture #distributed #named
DRAIN: distributed recovery architecture for inaccessible nodes in multi-core chips (AD, KA, VB, LSP), pp. 912–917.
DACDAC-2011-HsuLFWHHY #analysis #design #modelling #named
PowerDepot: integrating IP-based power modeling with ESL power analysis for multi-core SoC designs (CWH, JLL, SCF, CCW, SYH, WTH, JCY), pp. 47–52.
DACDAC-2011-PatelACG #named
MARSS: a full system simulator for multicore x86 CPUs (AP, FA, SC, KG), pp. 1050–1055.
DACDAC-2011-WangMR #clustering #configuration management #energy #optimisation #realtime
Dynamic cache reconfiguration and partitioning for energy optimization in real-time multi-core systems (WW, PM, SR), pp. 948–953.
DACDAC-2011-WuWFT #distributed #scheduling #simulation
A high-parallelism distributed scheduling mechanism for multi-core instruction-set simulation (MHW, PCW, CYF, RST), pp. 339–344.
DACDAC-2011-YunKKH #embedded #parallel #simulation
Simulation environment configuration for parallel simulation of multicore embedded systems (DY, JK, SK, SH), pp. 345–350.
DATEDATE-2011-ChakrabortyR
Topologically homogeneous power-performance heterogeneous multicore systems (KC, SR), pp. 125–130.
DATEDATE-2011-ClermidyDDLV #3d #embedded
3D Embedded multi-core: Some perspectives (FC, FD, DD, WL, PV), pp. 1327–1332.
DATEDATE-2011-FuWT #approach #performance #simulation
A shared-variable-based synchronization approach to efficient cache coherence simulation for multi-core systems (CYF, MHW, RST), pp. 347–352.
DATEDATE-2011-GizopoulosPARHSMBV #architecture #detection #fault #online
Architectures for online error detection and recovery in multicore processors (DG, MP, SVA, PR, SKSH, DJS, AM, AB, XV), pp. 533–538.
DATEDATE-2011-HameedFH #3d #adaptation #architecture #runtime
Dynamic thermal management in 3D multi-core architecture through run-time adaptation (FH, MAAF, JH), pp. 299–304.
DATEDATE-2011-HanumaiahV #realtime
Reliability-aware thermal management for hard real-time applications on multi-core processors (VH, SBKV), pp. 137–142.
DATEDATE-2011-KolpeZS #clustering #power management
Enabling improved power management in multicore processors through clustered DVFS (TK, AZ, SSS), pp. 293–298.
DATEDATE-2011-LeupersEMSTC #platform #towards
Virtual Manycore platforms: Moving towards 100+ processor cores (RL, LE, GM, FS, NPT, XC), pp. 715–720.
DATEDATE-2011-LungHKC #3d #online #optimisation #throughput
Thermal-aware on-line task allocation for 3D multi-core processor throughput optimization (CLL, YLH, DMK, SCC), pp. 8–13.
DATEDATE-2011-PaternaACPDB #algorithm #energy #online #performance #platform
An efficient on-line task allocation algorithm for QoS and energy efficiency in multicore multimedia platforms (FP, AA, AC, FP, GD, LB), pp. 100–105.
DATEDATE-2011-RazaghiG #development #embedded #realtime
Host-compiled multicore RTOS simulator for embedded real-time software development (PR, AG), pp. 222–227.
DATEDATE-2011-ShafiqueBAH #configuration management #resource management #runtime
Minority-Game-based resource allocation for run-time reconfigurable multi-core processors (MS, LB, WA, JH), pp. 1261–1266.
DATEDATE-2011-StattelmannBR #analysis #performance #simulation
Fast and accurate resource conflict simulation for performance analysis of multi-core systems (SS, OB, WR), pp. 210–215.
DATEDATE-2011-YangO #adaptation #flexibility
Frugal but flexible multicore topologies in support of resource variation-driven adaptivity (CY, AO), pp. 1255–1260.
HPCAHPCA-2011-FerdmanLBF #scalability
Cuckoo directory: A scalable directory for many-core systems (MF, PLK, KB, BF), pp. 169–180.
HPCAHPCA-2011-LiZCL #architecture #energy #named #power management
SolarCore: Solar energy driven multi-core architecture power management (CL, WZ, CBC, TL), pp. 205–216.
HPCAHPCA-2011-MadanBBA #power management
A case for guarded power gating for multi-core processors (NM, AB, PB, MA), pp. 291–300.
HPCAHPCA-2011-ManikantanRG #distance #named #performance
NUcache: An efficient multicore cache organization based on Next-Use distance (RM, KR, RG), pp. 243–253.
HPCAHPCA-2011-PellauerAKPE #named #simulation #using
HAsim: FPGA-based high-detail multicore simulation using time-division multiplexing (MP, MA, MAK, AP, JSE), pp. 406–417.
HPDCHPDC-2011-HofmeyrCIK #named
Juggle: proactive load balancing on multicore computers (SAH, JAC, CI, JK), pp. 3–14.
ISMMISMM-2011-MajoG #memory management
Memory management in NUMA multicore systems: trapped between cache contention and interconnect overhead (ZM, TRG), pp. 11–20.
ISMMISMM-2011-MarlowJ #garbage collection
Multicore garbage collection with local heaps (SM, SLPJ), pp. 21–32.
ISMMISMM-2011-Mutlu #challenge #memory management
Memory systems in the many-core era: challenges, opportunities, and solution directions (OM), pp. 77–78.
LCTESLCTES-2011-GrayA #api #architecture #embedded
Targeting complex embedded architectures by combining the multicore communications API (mcapi) with compile-time virtualisation (IG, NCA), pp. 51–60.
LCTESLCTES-2011-SarkarMR #migration #predict
Predictable task migration for locked caches in multi-core systems (AS, FM, HR), pp. 131–140.
PDPPDP-2011-BaldoGGF #realtime
Multi-core Desktop Processors Make Possible Real-Time Electron Tomography (JIAB, EMG, IG, JJF), pp. 127–132.
PDPPDP-2011-CastroGMMFS #analysis #architecture #memory management #transaction
Analysis and Tracing of Applications Based on Software Transactional Memory on Multicore Architectures (MBC, KG, VMM, JFM, LGF, MS), pp. 199–206.
PDPPDP-2011-EstradaCG #adaptation #algorithm #architecture #optimisation #parallel #performance
Adaptive Parallel Interval Global Optimization Algorithms Based on their Performance for Non-dedicated Multicore Architectures (JFSE, LGC, IG), pp. 252–256.
PDPPDP-2011-EzzattiQR #framework #matrix #performance #platform
High Performance Matrix Inversion on a Multi-core Platform with Several GPUs (PE, ESQO, AR), pp. 87–93.
PDPPDP-2011-OzTKT #architecture #concurrent #thread
Quantifying Thread Vulnerability for Multicore Architectures (IO, HRT, MTK, OT), pp. 32–39.
PDPPDP-2011-SchlingmannGWU #algorithm
Connectivity-Sensitive Algorithm for Task Placement on a Many-Core Considering Faulty Regions (SS, AG, SW, TU), pp. 417–422.
PDPPDP-2011-Schuele #execution #parallel #performance #streaming
Efficient Parallel Execution of Streaming Applications on Multi-core Processors (TS), pp. 231–238.
PDPPDP-2011-WangHB #design #platform
A Wireless Network-on-Chip Design for Multicore Platforms (CW, WHH, NB), pp. 409–416.
ICSTICST-2011-LuoDQ #concurrent #detection #performance
Multicore SDK: A Practical and Efficient Deadlock Detector for Real-World Applications (ZDL, RD, YQ), pp. 309–318.
ICEISICEIS-ISAS-2010-RauberR #adaptation #architecture #execution #parallel
Adaptive Execution of Software Systems on Parallel Multicore Architectures (TR, GR), pp. 191–198.
SEKESEKE-2010-Cooke #challenge #programming
The multi-core programming challenge (DC), pp. 3–4.
ECOOPECOOP-2010-OstrowskiSB #platform #self
Self-Replicating Objects for Multicore Platforms (KO, CS, KB), pp. 452–477.
OOPSLAOOPSLA-2010-McIlroyS #architecture #named #runtime
Hera-JVM: a runtime system for heterogeneous multi-core architectures (RM, JS), pp. 205–222.
AdaEuropeAdaEurope-2010-AnderssonP #ada #algorithm #implementation #realtime #scheduling #using
Implementing Multicore Real-Time Scheduling Algorithms Based on Task Splitting Using Ada 2012 (BA, LMP), pp. 54–67.
AdaEuropeAdaEurope-2010-Baker #question #realtime #reliability #what
What to Make of Multicore Processors for Reliable Real-Time Systems? (TPB), pp. 1–18.
PLDIPLDI-2010-ZhouD #approach #named #object-oriented
Bamboo: a data-centric, object-oriented approach to many-core software (JZ, BD), pp. 388–399.
AdaSIGAda-2010-LiYBWZL #ada #fault tolerance #monitoring
Extending Ada to support multi-core based monitoring and fault tolerance (YL, LY, LB, LW, JZ, XL), pp. 53–62.
ICSEICSE-2010-PankratiusTH #challenge #re-engineering
Multicore software engineering: the next challenge in software engineering (VP, WFT, PH), p. 487.
SACSAC-2010-ChangLHCSYHK #embedded #modelling
SysML-based requirement modeling environment for multicore embedded system (CHC, CWL, NLH, WCC, CS, CTY, PAH, CSK), pp. 2224–2228.
SACSAC-2010-DaniVAS
Accelerating multi-core simulators (AMD, KV, BA, YNS), pp. 2377–2382.
SACSAC-2010-FacchinettiF #architecture #clustering #realtime
Resource partitioning for real-time processing on a multicore architecture (TF, MF), pp. 359–360.
SACSAC-2010-WeiYKHC #energy #realtime #scheduling
Energy-efficient real-time scheduling of multimedia tasks on multi-core processors (YHW, CYY, TWK, SHH, YHC), pp. 258–262.
ASPLOSASPLOS-2010-EbrahimiLMP #configuration management #memory management
Fairness via source throttling: a configurable and high-performance fairness substrate for multi-core memory systems (EE, CJL, OM, YNP), pp. 335–346.
ASPLOSASPLOS-2010-WeeratungeZJ #concurrent #debugging
Analyzing multicore dumps to facilitate concurrency bug reproduction (DW, XZ, SJ), pp. 155–166.
ASPLOSASPLOS-2010-ZhuravlevBF #scheduling
Addressing shared resource contention in multicore processors via scheduling (SZ, SB, AF), pp. 129–142.
CGOCGO-2010-StrattonGMAMHH #compilation #fine-grained #performance #source code #thread
Efficient compilation of fine-grained SPMD-threaded programs for multicore CPUs (JAS, VG, JM, BA, MM, ZH, WmWH), pp. 111–119.
DACDAC-2010-ChenXDM #modelling #performance
Performance and power modeling in a multi-programmed multi-core environment (XC, CX, RPD, ZMM), pp. 813–818.
DACDAC-2010-CohenR #compilation #embedded
Processor virtualization and split compilation for heterogeneous multicore embedded systems (AC, ER), pp. 102–107.
DACDAC-2010-GeMQ #distributed #migration
Distributed task migration for thermal management in many-core systems (YG, PM, QQ), pp. 579–584.
DACDAC-2010-IhrigMJ #automation #design #modelling
Automated modeling and emulation of interconnect designs for many-core chip multiprocessors (CJI, RGM, AKJ), pp. 431–436.
DACDAC-2010-KochteSWZ #fault #performance #simulation
Efficient fault simulation on many-core processors (MAK, MS, HJW, CGZ), pp. 380–385.
DACDAC-2010-SchranzhoferPCTC #analysis #modelling #resource management #worst-case
Worst-case response time analysis of resource access models in multi-core systems (AS, RP, JJC, LT, MC), pp. 332–337.
DACDAC-2010-TruongB #architecture #design #modelling
Circuit modeling for practical many-core architecture design exploration (DT, BMB), pp. 627–628.
DACDAC-2010-YuP #clustering #memory management #platform
Off-chip memory bandwidth minimization through cache partitioning for multi-core platforms (CY, PP), pp. 132–137.
DACDAC-2010-ZhangL #network
A multilayer nanophotonic interconnection network for on-chip many-core communications (XZ, AL), pp. 156–161.
DACDAC-2010-ZhaoDX #3d #cost analysis #design
Cost-aware three-dimensional (3D) many-core multiprocessor design (JZ, XD, YX), pp. 126–131.
DATEDATE-2010-BonfiettiBLM #approach #performance #scheduling
An efficient and complete approach for throughput-maximal SDF allocation and scheduling on multi-core platforms (AB, LB, ML, MM), pp. 897–902.
DATEDATE-2010-ChenLJC #distributed #memory management #using
Supporting Distributed Shared Memory on multi-core Network-on-Chips using a dual microcoded controller (XC, ZL, AJ, SC), pp. 39–44.
DATEDATE-2010-ChenS #implementation #named #parallel #scalability
pSHS: A scalable parallel software implementation of Montgomery multiplication for multicore systems (ZC, PS), pp. 843–848.
DATEDATE-2010-ChePC #compilation #source code
Compilation of stream programs for multicore processors that incorporate scratchpad memories (WC, AP, KSC), pp. 1118–1123.
DATEDATE-2010-CollinsVC #code generation #parallel #recursion
Recursion-driven parallel code generation for multi-core platforms (RLC, BV, LPC), pp. 190–195.
DATEDATE-2010-EguiaTSPT #behaviour #design #modelling
General behavioral thermal modeling and characterization for multi-core microprocessor design (TJAE, SXDT, RS, EHP, MT), pp. 1136–1141.
DATEDATE-2010-LiSC #logic
Exploiting local logic structures to optimize multi-core SoC floorplanning (CHL, SS, LPC), pp. 1291–1296.
DATEDATE-2010-LoiB #3d #distributed #framework #interface #memory management #performance
An efficient distributed memory interface for many-core platform with 3D stacked DRAM (IL, LB), pp. 99–104.
DATEDATE-2010-MarianiAVYPSZ #design #framework #industrial #resource management #runtime
An industrial design space exploration framework for supporting run-time resource management on multi-core systems (GM, PA, GV, CYC, GP, CS, VZ), pp. 196–201.
DATEDATE-2010-MarongiuRB #memory management #performance
Efficient OpenMP data mapping for multicore platforms with vertically stacked memory (AM, MR, LB), pp. 105–110.
DATEDATE-2010-MullerBGRNZB #design #implementation #recognition
Design of an automotive traffic sign recognition system targeting a multi-core SoC implementation (MM, AGB, JG, WR, DN, JMZ, OB), pp. 532–537.
DATEDATE-2010-PellizzoniSCCT #analysis #memory management
Worst case delay analysis for memory interference in multicore systems (RP, AS, JJC, MC, LT), pp. 741–746.
DATEDATE-2010-VadlamaniZBT #adaptation #composition #fault #using
Multicore soft error rate stabilization using adaptive dual modular redundancy (RV, JZ, WPB, RT), pp. 27–32.
DATEDATE-2010-ZhangYDHRL #symmetry
Performance-asymmetry-aware topology virtualization for defect-tolerant NoC-based many-core processors (LZ, YY, JD, YH, SR, XL), pp. 1566–1571.
HPCAHPCA-2010-LeeLSKKS #clustering
COMIC++: A software SVM system for heterogeneous multicore accelerator clusters (JL, JL, SS, JK, SK, ZS), pp. 1–12.
HPCAHPCA-2010-LiBKKRH #architecture #operating system
Operating system support for overlapping-ISA heterogeneous multi-core architectures (TL, PB, RCK, DAK, DR, SH), pp. 1–12.
HPDCHPDC-2010-HuLZHX #clustering #scheduling #virtual machine
I/O scheduling model of virtual machine based on multi-core dynamic partitioning (YH, XL, JZ, JH, LX), pp. 142–154.
LCTESLCTES-2010-WernsingS #adaptation #framework
Elastic computing: a framework for transparent, portable, and adaptive multi-core heterogeneous computing (JRW, GS), pp. 115–124.
PDPPDP-2010-AldinucciMT #performance
Efficient Smith-Waterman on Multi-core with FastFlow (MA, MM, MT), pp. 195–199.
PDPPDP-2010-AlonsoRL #case study #clustering #implementation #matrix #parallel
Experimental Study of Six Different Implementations of Parallel Matrix Multiplication on Heterogeneous Computational Clusters of Multicore Processors (PA, RR, ALL), pp. 263–270.
PDPPDP-2010-BakerHEW #api #programming
A Light-weight API for Portable Multicore Programming (CGB, MAH, HCE, ABW), pp. 601–606.
PDPPDP-2010-CostaBMB #query #scheduling
Scheduling Metric-Space Queries Processing on Multi-Core Processors (VGC, RJB, MM, CB), pp. 187–194.
PDPPDP-2010-CuencaGGQ #analysis #compilation #performance
Analysis of the Influence of the Compiler on Multicore Performance (JC, LPG, DG, MQ), pp. 170–174.
PDPPDP-2010-LeytonP #algorithm #named #programming
Skandium: Multi-core Programming with Algorithmic Skeletons (ML, JMP), pp. 289–296.
PDPPDP-2010-MadrugaFN #architecture #parallel #performance #symmetry
Parallel Shared-Memory Workloads Performance on Asymmetric Multi-core Architectures (FLM, HCdF, POAN), pp. 163–169.
PDPPDP-2010-RayoBMPD #embedded #parallel #power management #requirements #thread
Balancing Task Resource Requirements in Embedded Multithreaded Multicore Processors to Reduce Power Consumption (DBR, JSB, HHM, SP, JD), pp. 200–204.
PPoPPPPoPP-2010-GuoZCS #adaptation #named #scalability
SLAW: a scalable locality-aware adaptive work-stealing scheduler for multi-core systems (YG, YZ, VC, VS), pp. 341–342.
TACASTACAS-2010-DonaldsonKR #analysis #automation #memory management
Automatic Analysis of Scratch-Pad Memory Code for Heterogeneous Multicore Processors (AFD, DK, PR), pp. 280–295.
SIGMODSIGMOD-2009-HanL #optimisation #order #query
Dependency-aware reordering for parallelizing query optimization in multi-core CPUs (WSH, JL), pp. 45–58.
VLDBVLDB-2009-DasAAA #architecture #concurrent #data type #thread
Thread Cooperation in Multicore Architectures for Frequency Counting over Multiple Data Streams (SD, SA, DA, AEA), pp. 217–228.
VLDBVLDB-2009-KimSCKNBLSD #implementation #performance
Sort vs. Hash Revisited: Fast Join Implementation on Modern Multi-Core CPUs (CK, ES, JC, TK, ADN, ADB, VWL, NS, PD), pp. 1378–1389.
VLDBVLDB-2009-LeeDCLZ #database #named
MCC-DB: Minimizing Cache Conflicts in Multi-core Processors for Databases (RL, XD, FC, QL, XZ), pp. 373–384.
VLDBVLDB-2009-TatikondaP #mining
Mining Tree-Structured Data on Multicore Systems (ST, SP), pp. 694–705.
ICFPICFP-2009-MarlowJS #haskell #runtime
Runtime support for multicore Haskell (SM, SLPJ, SS), pp. 65–78.
SIGIRSIGIR-2009-TatikondaJCP #on the #performance
On efficient posting list intersection with multicore processors (ST, FJ, BBC, VP), pp. 738–739.
OOPSLAOOPSLA-2009-HaABM #concurrent #dynamic analysis #framework #hardware
A concurrent dynamic analysis framework for multicore hardware (JH, MA, SMB, KSM), pp. 155–174.
OOPSLAOOPSLA-2009-ZhaoSZWLS #java #platform
Allocation wall: a limiting factor of Java applications on emerging multi-core platforms (YZ, JS, KZ, HW, HL, LS), pp. 361–376.
PLDIPLDI-2009-InoueKN #case study #memory management
A study of memory management for web-based applications on multicore processors (HI, HK, TN), pp. 386–396.
SACSAC-2009-MathuriyaBHH #named #predict #scalability
GTfold: a scalable multicore code for RNA secondary structure prediction (AM, DAB, CEH, SCH), pp. 981–988.
SACSAC-2009-VasudevanE #compilation #concurrent
Celling SHIM: compiling deterministic concurrency to a heterogeneous multicore (NV, SAE), pp. 1626–1631.
SACSAC-2009-WeiCM #design #energy #metric #realtime
Designing a multi-core hard real-time test bed for energy measurement experiments (TW, XC, PM), pp. 1998–1999.
ASPLOSASPLOS-2009-SulemanMQP #architecture #execution #symmetry
Accelerating critical section execution with asymmetric multi-core architectures (MAS, OM, MKQ, YNP), pp. 253–264.
ASPLOSASPLOS-2009-WellsCS #reliability
Mixed-mode multicore reliability (PMW, KC, GSS), pp. 169–180.
CCCC-2009-CampanoniSAC #compilation #jit
Dynamic Look Ahead Compilation: A Technique to Hide JIT Compilation Latencies in Multicore Environment (SC, MS, GA, SCR), pp. 220–235.
CGOCGO-2009-ChoiLCMM #compilation #embedded #realtime
Stream Compilation for Real-Time Embedded Multicore Systems (YC, YL, NC, SAM, TNM), pp. 210–220.
DACDAC-2009-ChenJ #performance #scheduling
Efficient program scheduling for heterogeneous multi-core processors (JC, LKJ), pp. 927–930.
DACDAC-2009-ChouCWCCWW #3d
No cache-coherence: a single-cycle ring interconnection for multi-core L1-NUCA sharing on 3D chips (SHC, CCC, CNW, YCC, TFC, CCW, JSW), pp. 587–592.
DACDAC-2009-HanumaiahRVC #constraints #throughput
Throughput optimal task allocation under thermal constraints for multi-core processors (VH, RR, SBKV, KSC), pp. 776–781.
DACDAC-2009-LeeK #optimisation #throughput #using
Optimizing throughput of power- and thermal-constrained multicore processors using DVFS and per-core power-gating (JL, NSK), pp. 47–50.
DACDAC-2009-LuZSZ #algorithm #parallel
Multicore parallel min-cost flow algorithm for CAD applications (YL, HZ, LS, XZ), pp. 832–837.
DACDAC-2009-WenCCS #architecture #concurrent #debugging #detection #named
NUDA: a non-uniform debugging architecture and non-intrusive race detection for many-core (CNW, SHC, TFC, APS), pp. 148–153.
DACDAC-2009-ZengYGP #named
MPTLsim: a simulator for X86 multicore processors (HZ, MTY, KG, DVP), pp. 226–231.
DATEDATE-2009-Berkel #mobile
Multi-core for mobile phones (CHvB), pp. 1260–1265.
DATEDATE-2009-ChangHL #adaptation #concurrent #embedded #named #testing
pTest: An adaptive testing tool for concurrent software on embedded multicore processors (SWC, KYH, JKL), pp. 1012–1017.
DATEDATE-2009-CoskunAARL #3d #architecture
Dynamic thermal management in 3D multicore architectures (AKC, JLA, DA, TSR, YL), pp. 1410–1415.
DATEDATE-2009-Flamand #towards
Strategic directions towards multicore application specific computing (EF), p. 1266.
DATEDATE-2009-HanumaiahVC #constraints #performance
Performance optimal speed control of multi-core processors under thermal constraints (VH, SBKV, KSC), pp. 1548–1551.
DATEDATE-2009-JerrayaN #comprehension #embedded #tutorial
Embedded tutorial — Understanding multicore technologies (AAJ, GN), p. 1051.
DATEDATE-2009-KodakaSTONKMUAOKTM #design #implementation #scalability #thread
Design and implementation of scalable, transparent threads for multi-core media processor (TK, SS, TT, RO, NN, KK, TM, YU, HA, YO, TK, YT, NM), pp. 1035–1039.
DATEDATE-2009-KolligOH #framework
Heterogeneous multi-core platform for consumer multimedia applications (PK, CO, TH), pp. 1254–1259.
DATEDATE-2009-LombardiMB #clustering #realtime #robust #scheduling
Robust non-preemptive hard real-time scheduling for clustered multicore platforms (ML, MM, LB), pp. 803–808.
DATEDATE-2009-MadduriVBT #monitoring
A monitor interconnect and support subsystem for multicore processors (SM, RV, WB, RT), pp. 761–766.
DATEDATE-2009-PelcatMAN #architecture #scalability
Scalable compile-time scheduler for multi-core architectures (MP, PM, SA, JFN), pp. 1552–1555.
DATEDATE-2009-SartoriK #architecture #distributed #power management
Distributed peak power management for many-core architectures (JS, RK), pp. 1556–1559.
DATEDATE-2009-WagnerB #hardware #named
Caspar: Hardware patching for multicore processors (IW, VB), pp. 658–663.
DATEDATE-2009-YeoK #behaviour
Temperature-aware scheduler based on thermal behavior grouping in multicore systems (IY, EJK), pp. 946–951.
DATEDATE-2009-YiHZEA #architecture #scheduling
An ILP formulation for task mapping and scheduling on multi-core architectures (YY, WH, XZ, ATE, TA), pp. 33–38.
DATEDATE-2009-ZuoFQWJNLYB
Group-caching for NoC based multicore cache coherent systems (ZW, FS, QZ, WJ, JL, ND, LX, YAT, BQ), pp. 755–760.
HPCAHPCA-2009-DeOrioWB #design #memory management #named #validation
Dacota: Post-silicon validation of the memory subsystem in multi-core designs (AD, IW, VB), pp. 405–416.
HPCAHPCA-2009-KumarHM
Characterization of Direct Cache Access on multi-core systems and 10GbE (AK, RH, SM), pp. 341–352.
HPCAHPCA-2009-Patt #interface
Multi-core demands multi-interfaces (YNP), pp. 147–148.
HPDCHPDC-2009-YiMEJT #abstraction #clustering #parallel
Harnessing parallelism in multicore clusters with the all-pairs and wavefront abstractions (LY, CM, SJE, KJ, DT), pp. 1–10.
LCTESLCTES-2009-MankinKA #embedded #memory management #transaction
Software transactional memory for multicore embedded systems (JM, DRK, JA), pp. 90–98.
LCTESLCTES-2009-SarkarMRM #migration #realtime
Push-assisted migration of real-time tasks in multi-core processors (AS, FM, HR, SM), pp. 80–89.
PDPPDP-2009-AndradeFBP #programming
Task-Parallel versus Data-Parallel Library-Based Programming in Multicore Systems (DA, BBF, JCB, DAP), pp. 101–110.
PDPPDP-2009-BadiaPAL #architecture #memory management #modelling #programming
Impact of the Memory Hierarchy on Shared Memory Architectures in Multicore Programming Models (RMB, JMP, EA, JL), pp. 437–445.
PDPPDP-2009-Barker #cpu #memory management
Realities of Multi-Core CPU Chips and Memory Contention (DPB), pp. 446–453.
PDPPDP-2009-PadronABD #architecture #performance
High Performance Global Illumination on Multi-core Architectures (EJP, MA, MB, RD), pp. 93–100.
PDPPDP-2009-RabenseifnerHJ #clustering #hybrid #parallel #programming
Hybrid MPI/OpenMP Parallel Programming on Clusters of Multi-Core SMP Nodes (RR, GH, GJ), pp. 427–436.
PDPPDP-2009-SeppanenM #case study #experience #industrial #legacy #migration
Porting Legacy Applications to Multicore: Experiences from an Industrial System (AS, TM), pp. 127–132.
PDPPDP-2009-TuFZZ #clustering #message passing #modelling
Accurate Analytical Models for Message Passing on Multi-core Clusters (BT, JF, JZ, XZ), pp. 133–139.
PPoPPPPoPP-2009-BaskaranVBRRS #effectiveness #parallel #scheduling
Compiler-assisted dynamic scheduling for effective parallelization of loop nests on multicore processors (MMB, NV, UB, JR, AR, PS), pp. 219–228.
PPoPPPPoPP-2009-Dennis #how #programmable
How to build programmable multi-core chips (JBD), pp. 283–284.
PPoPPPPoPP-2009-LongFZ #architecture
Architectural support for cilk computations on many-core architectures (GL, DF, JZ), pp. 285–286.
PPoPPPPoPP-2009-Patt #interface
Multi-core demands multi-interfaces (YNP), pp. 99–100.
SOSPSOSP-2009-AltekarS #debugging #named
ODR: output-deterministic replay for multicore debugging (GA, IS), pp. 193–206.
SOSPSOSP-2009-BaumannBDHIPRSS #architecture #kernel #scalability
The multikernel: a new OS architecture for scalable multicore systems (AB, PB, PÉD, TLH, RI, SP, TR, AS, AS), pp. 29–44.
CAVCAV-2009-Benini #performance #predict #question
Predictability vs. Efficiency in the Multicore Era: Fight of Titans or Happy Ever after? (LB), p. 50.
VLDBVLDB-2008-ChhuganiNLMHCBKD #architecture #cpu #implementation #performance #sorting
Efficient implementation of sorting on multi-core SIMD CPU architecture (JC, ADN, VWL, WM, MH, YKC, AB, SK, PD), pp. 1313–1324.
VLDBVLDB-2008-QiaoRRHL #in memory
Main-memory scan sharing for multi-core CPUs (LQ, VR, FR, PJH, GML), pp. 610–621.
ITiCSEITiCSE-2008-ErnstS #concurrent #student
Concurrent CS: preparing students for a multicore world (DJE, DES), pp. 230–234.
ICEISICEIS-ISAS2-2008-RauberR #architecture #modelling #parallel #workflow
Models for Parallel Workflow Processing on Multi-Core Architectures (TR, GR), pp. 220–227.
PLDIPLDI-2008-KudlurM #execution #platform #source code
Orchestrating the execution of stream programs on multicore platforms (MK, SAM), pp. 114–124.
SACSAC-2008-GuoLPHCDW #design #memory management
Hierarchical memory system design for a heterogeneous multi-core processor (JG, McL, ZP, LH, FC, KD, ZW), pp. 1504–1508.
ASPLOSASPLOS-2008-GummarajuCTR #named #programming #using
Streamware: programming general-purpose multicore processors using streams (JG, JC, YT, MR), pp. 297–307.
ASPLOSASPLOS-2008-LindermanCWM #named #programming
Merge: a programming model for heterogeneous multi-core systems (MDL, JDC, HW, THYM), pp. 287–296.
ASPLOSASPLOS-2008-WellsCS #adaptation #fault
Adapting to intermittent faults in multicore systems (PMW, KC, GSS), pp. 255–264.
CGOCGO-2008-ZhaoCW #analysis #named #pipes and filters #profiling
Pipa: pipelined profiling and analysis on multi-core systems (QZ, IC, WFW), pp. 185–194.
DACDAC-2008-DongLY #named #parallel #simulation
WavePipe: parallel transient simulation of analog and digital circuits on multi-core shared-memory machines (WD, PL, XY), pp. 238–243.
DACDAC-2008-Garland #gpu #matrix
Sparse matrix computations on manycore GPU’s (MG), pp. 2–6.
DACDAC-2008-HaritanHYPWNWM #challenge #design #exclamation #question #what
Multicore design is the challenge! what is the solution? (EH, TH, HY, PGP, WW, AN, DW, MM), pp. 128–130.
DACDAC-2008-HuangSSRS #design #perspective
Many-core design from a thermal perspective (WH, MRS, KS, RJR, KS), pp. 746–749.
DACDAC-2008-JungRP #modelling #probability
Stochastic modeling of a thermally-managed multi-core system (HJ, PR, MP), pp. 728–733.
DACDAC-2008-SapatnekarHKDKMPS
Reinventing EDA with manycore processors (SSS, EH, KK, AD, DK, SM, DP, TS), pp. 126–127.
DACDAC-2008-YeoLK #predict
Predictive dynamic thermal management for multicore systems (IY, CCL, EJK), pp. 734–739.
DATEDATE-2008-Brand #design #optimisation
Optimization of Design Flows for Multi-Core x86 Microprocessors in 45 and 32nm Technologies under Productivity Considerations (HJB), pp. 938–939.
DATEDATE-2008-KangK #design #framework #machine learning #named #optimisation #performance
Magellan: A Search and Machine Learning-based Framework for Fast Multi-core Design Space Exploration and Optimization (SK, RK), pp. 1432–1437.
DATEDATE-2008-MassasP #comparison #memory management #policy
Comparison of memory write policies for NoC based Multicore Cache Coherent Systems (PGdM, FP), pp. 997–1002.
DATEDATE-2008-MuraliMAGBBM #optimisation #platform #using
Temperature Control of High-Performance Multi-core Platforms Using Convex Optimization (SM, AM, DA, RG, SPB, LB, GDM), pp. 110–115.
DATEDATE-2008-WagnerB #adaptation #design #named #verification
MCjammer: Adaptive Verification for Multi-core Designs (IW, VB), pp. 670–675.
DATEDATE-2008-ZhangHXL #fault #using
Defect Tolerance in Homogeneous Manycore Processors Using Core-Level Redundancy with Unified Topology (LZ, YH, QX, XL), pp. 891–896.
HPCAHPCA-2008-Hill
Amdahl’s Law in the multicore era (MDH), p. 187.
HPCAHPCA-2008-LinLDZZS #clustering #simulation
Gaining insights into multicore cache partitioning: Bridging the gap between simulation and real systems (JL, QL, XD, ZZ, XZ, PS), pp. 367–378.
PDPPDP-2008-Quintana-OrtiQCGZ #algorithm #architecture #scheduling
Scheduling of QR Factorization Algorithms on SMP and Multi-Core Architectures (GQO, ESQO, EC, RAvdG, FGVZ), pp. 301–310.
PDPPDP-2008-TaoKK #architecture
Evaluating the Cache Architecture of Multicore Processors (JT, MK, WK), pp. 12–19.
PPoPPPPoPP-2008-ChengCHT #classification #platform #scalability #using
Scalable packet classification using interpreting: a cross-platform multi-core solution (HC, ZC, BH, XT), pp. 33–42.
PPoPPPPoPP-2008-TanFZRG #architecture #experience #memory management #optimisation
Experience on optimizing irregular computation for memory hierarchy in manycore architecture (GT, DF, JZ, AR, GRG), pp. 279–280.
PPoPPPPoPP-2008-TatikondaP #adaptation #approach #architecture #memory management #mining
An adaptive memory conscious approach for mining frequent trees: implications for multi-core architectures (ST, SP), pp. 263–264.
PLDIPLDI-2007-WangCCJTGYLW #architecture #named #parallel #programming #thread
EXOCHI: architecture and programming environment for a heterogeneous multi-core multithreaded system (PHW, JDC, GNC, HJ, XT, MG, NYY, GYL, HW), pp. 156–166.
AdaSIGAda-2007-Nyberg #question
Multi-core + multi-tasking = multi-opportunity? (KAN), pp. 79–82.
SACSAC-2007-KyungPKJKP #design #framework #monitoring #performance #platform
Performance monitor unit design for an AXI-based multi-core SoC platform (HmK, GHP, JWK, WJ, TJK, SBP), pp. 1565–1572.
DACDAC-2007-AgarwalL
The KILL Rule for Multicore (AA, ML), pp. 750–753.
DACDAC-2007-Darringer #automation #challenge #design
Multi-Core Design Automation Challenges (JAD), pp. 760–764.
DACDAC-2007-SarnoHLLLRCLY #named #question
Corezilla: Build and Tame the Multicore Beast? (LS, WmWH, CL, ML, JRL, JR, GC, CL, TY), pp. 632–633.
DATEDATE-2007-HumenayTS #performance #process #symmetry
Impact of process variations on multicore performance symmetry (EH, DT, KS), pp. 1653–1658.
DATEDATE-2007-TangX #debugging #framework #platform
A multi-core debug platform for NoC-based systems (ST, QX), pp. 870–875.
HPCAHPCA-2007-Pawlowski #challenge #perspective #research
Petascale Computing Research Challenges — A Manycore Perspective (SP), p. 96.
HPCAHPCA-2007-RangerRPBK #pipes and filters
Evaluating MapReduce for Multi-core and Multiprocessor Systems (CR, RR, AP, GRB, CK), pp. 13–24.
HPCAHPCA-2007-ZhongLM #architecture #hybrid #parallel #thread
Extending Multicore Architectures to Exploit Hybrid Parallelism in Single-thread Applications (HZ, SAL, SAM), pp. 25–36.
LCTESLCTES-2007-ChoAG #interface #modelling #synthesis #transaction
Interface synthesis for heterogeneous multi-core systems from transaction level models (HC, SA, DG), pp. 140–142.
PPoPPPPoPP-2007-Adl-TabatabaiKS #programming #transaction
Transactional programming in a multi-core environment (ARAT, CK, BS), p. 272.
PPoPPPPoPP-2007-PutzeSS #library #named #standard
MCSTL: the multi-core standard template library (FP, PS, JS), pp. 144–145.
PPoPPPPoPP-2006-HuTH #algorithm #network #parallel #thread
High-performance IPv6 forwarding algorithm for multi-core and multithreaded network processor (XH, XT, BH), pp. 168–177.
PPoPPPPoPP-2006-SahaAHMH #memory management #named #performance #runtime #transaction
McRT-STM: a high performance software transactional memory system for a multi-core runtime (BS, ARAT, RLH, CCM, BH), pp. 187–197.
CCCC-2005-EnnalsSM #clustering #network
Task Partitioning for Multi-core Network Processors (RE, RS, AM), pp. 76–90.
HPCAHPCA-2004-Michaud #capacity #execution #migration
Exploiting the Cache Capacity of a Single-Chip Multi-Core Processor with Execution Migration (PM), pp. 186–197.
DATEDATE-2003-StolbergBFMFMKKP #architecture #named
HiBRID-SoC: A Multi-Core System-on-Chip Architecture for Multimedia Signal Processing Applications (HJS, MB, LF, SM, SF, XM, MBK, HK, PP), pp. 20008–20013.
DACDAC-2002-CesarioBGLNPYJD #approach #component #design
Component-based design approach for multicore SoCs (WOC, AB, LG, DL, GN, YP, SY, AAJ, MDN), pp. 789–794.

Bibliography of Software Language Engineering in Generated Hypertext (BibSLEIGH) is created and maintained by Dr. Vadim Zaytsev.
Hosted as a part of SLEBOK on GitHub.