BibSLEIGH
BibSLEIGH corpus
BibSLEIGH tags
BibSLEIGH bundles
BibSLEIGH people
CC-BY
Open Knowledge
XHTML 1.0 W3C Rec
CSS 2.1 W3C CanRec
email twitter
Used together with:
cach (23)
memori (11)
data (10)
energi (6)
system (6)

Stem conscious$ (all stems)

57 papers:

SIGIRSIGIR-2014-TangJY #optimisation #ranking #runtime
Cache-conscious runtime optimization for ranking ensembles (XT, XJ, TY), pp. 1123–1126.
SIGMODSIGMOD-2013-TruongBDS #named #xml
MESSIAH: missing element-conscious SLCA nodes search in XML data (BQT, SSB, CED, AS), pp. 37–48.
HCIDHM-SET-2013-BerberianSC
Causal Attribution and Control: Between Consciousness and Psychical Half-Shadow Application to Flight Operations (BB, JCS, LC), pp. 11–20.
SIGIRSIGIR-2013-AlabduljalilTY #optimisation #performance #similarity
Cache-conscious performance optimization for similarity search (MA, XT, TY), pp. 713–722.
HPCAHPCA-2013-KoibuchiFMC #random
Layout-conscious random topologies for HPC off-chip interconnects (MK, IF, HM, HC), pp. 484–495.
ICPRICPR-2012-TirkazYS #memory management #recognition #sketching
Memory conscious sketched symbol recognition (CT, BAY, TMS), pp. 314–317.
SACSAC-2012-MatsubaraMYUIK #algorithm #named #performance #re-engineering
CCDR-PAID: more efficient cache-conscious PAID algorithm by data reconstruction (YM, JM, GY, YU, SI, HK), pp. 193–198.
HCIHIMI-v1-2011-YokoyamaYH #recognition
Care Giving System Based on Consciousness Recognition (NY, TY, SH), pp. 659–668.
OOPSLAOOPSLA-2011-TianZS #integration #optimisation #towards
A step towards transparent integration of input-consciousness into dynamic program optimizations (KT, EZZ, XS), pp. 445–462.
PLDIPLDI-2010-BaekC #approximate #energy #framework #named #programming #using
Green: a framework for supporting energy-conscious programming using controlled approximation (WB, TMC), pp. 198–209.
ISMMISMM-2010-BegB #approach #graph
A graph theoretic approach to cache-conscious placement of data for direct mapped caches (MB, PvB), pp. 113–120.
VLDBVLDB-2009-DenevMSW #framework #named #web
SHARC: Framework for Quality-Conscious Web Archiving (DD, AM, MS, GW), pp. 586–597.
PPoPPPPoPP-2008-TatikondaP #adaptation #approach #architecture #manycore #memory management #mining
An adaptive memory conscious approach for mining frequent trees: implications for multi-core architectures (ST, SP), pp. 263–264.
DACDAC-2007-XueOK #parallel
A Memory-Conscious Code Parallelization Scheme (LX, ÖÖ, MTK), pp. 230–233.
DATEDATE-2007-NiuQ #energy #interactive #realtime #scheduling
Interactive presentation: Peripheral-conscious scheduling on energy minimization for weakly hard real-time systems (LN, GQ), pp. 791–796.
PLDIPLDI-2007-LiZYZ #compilation #energy #named #network #performance
UCC: update-conscious compilation for energy efficiency in wireless sensor networks (WL, YZ, JY, JZ), pp. 383–393.
PPoPPPPoPP-2007-BuehrerPTKS #architecture #mining #towards
Toward terabyte pattern mining: an architecture-conscious solution (GB, SP, ST, TMK, JHS), pp. 2–12.
PLDIPLDI-2006-ChilimbiS #data type
Cache-conscious coallocation of hot data streams (TMC, RS), pp. 252–262.
CIKMCIKM-2006-ChuHCC #clustering #on the
On subspace clustering with density consciousness (YHC, JWH, KTC, MSC), pp. 804–805.
SIGIRSIGIR-2006-CaverleeLB #approach #distributed #query
Distributed query sampling: a quality-conscious approach (JC, LL, JB), pp. 340–347.
SACSAC-2006-MuhammadMA #communication #scheduling
Contentions-conscious dynamic but deterministic scheduling of computational and communication tasks (MF, FM, MA), pp. 1487–1492.
ISMMISMM-2006-SchneiderAN #memory management #parallel #scalability #thread
Scalable locality-conscious multithreaded memory allocation (SS, CDA, DSN), pp. 84–94.
DACDAC-2005-LiK #architecture
Locality-conscious workload assignment for array-based computations in MPSOC architectures (FL, MTK), pp. 95–100.
VLDBVLDB-2005-GhotingBPKNCD #mining
Cache-conscious Frequent Pattern Mining on a Modern Processor (AG, GB, SP, DK, ADN, YKC, PD), pp. 577–588.
SASSAS-2005-ChenKK #execution #memory management #reliability
Memory Space Conscious Loop Iteration Duplication for Reliable Execution (GC, MTK, MK), pp. 52–69.
CIKMCIKM-2005-LeonardiB #approach #database #detection #documentation #relational #using #xml
Detecting changes on unordered XML documents using relational databases: a schema-conscious approach (EL, SSB), pp. 509–516.
CIKMCIKM-2005-ZhaoBG #mining #query #xml
Mining conserved XML query paths for dynamic-conscious caching (QZ, SSB, LG), pp. 219–220.
DATEDATE-v1-2004-AndreiSEPA #energy #reduction
Overhead-Conscious Voltage Selection for Dynamic and Leakage Energy Reduction of Time-Constrained Systems (AA, MTS, PE, ZP, BMAH), pp. 518–525.
DATEDATE-v1-2004-ChangYL
Value-Conscious Cache: Simple Technique for Reducing Cache Access Power (YJC, CLY, FL), pp. 16–21.
DATEDATE-v1-2004-ThepayasuwanD #architecture #layout #synthesis
Layout Conscious Bus Architecture Synthesis for Deep Submicron Systems on Chip (NT, AD), pp. 108–113.
VLDBVLDB-2004-AbiteboulABCFMS #distributed #peer-to-peer #privacy
An Electronic Patient Record “on Steroids”: Distributed, Peer-to-Peer, Secure and Privacy-conscious (SA, BA, OB, BC, IF, TM, AS), pp. 1273–1276.
VLDBVLDB-2004-ManegoldBKN #clustering
Cache-Conscious Radix-Decluster Projections (SM, PAB, NN), pp. 684–695.
VLDBVLDB-2003-HankinsP #adaptation
Data Morphing: An Adaptive, Cache-Conscious Storage Technique (RAH, JMP), pp. 417–428.
DACDAC-2002-RakhmatovVC #scalability
Battery-conscious task sequencing for portable devices including voltage/clock scaling (DNR, SBKV, CC), pp. 189–194.
VLDBVLDB-2002-LifantsevC #scalability #web
I/O-Conscious Data Preparation for Large-Scale Web Search Engines (ML, TcC), pp. 382–393.
ICPRICPR-v2-2002-VeeramachaneniFLN #classification #polynomial
Style-Conscious Quadratic Field Classifier (SV, HF, CLL, GN), pp. 72–75.
ICPRICPR-v4-2002-Sarkar #algorithm #classification
An Iterative Algorithm for Optimal Style Conscious Field Classification (PS), p. 243–?.
POPLPOPL-2002-PetrankR
The hardness of cache conscious data placement (EP, DR), pp. 101–112.
LCTESLCTES-SCOPES-2002-SaputraKVIHHK #compilation #energy #scalability
Energy-conscious compilation based on voltage scaling (HS, MTK, NV, MJI, JSH, CHH, UK), pp. 2–11.
DATEDATE-2001-KulkarniGMCM #embedded #layout #multi
Cache conscious data layout organization for embedded multimedia applications (CK, CG, MM, FC, HDM), pp. 686–693.
VLDBVLDB-2001-ChaHKK #concurrent #in memory #multi
Cache-Conscious Concurrency Control of Main-Memory Indexes on Shared-Memory Multiprocessor Systems (SKC, SH, KK, KK), pp. 181–190.
SIGMODSIGMOD-2000-RaoR #in memory #memory management
Making B+-Trees Cache Conscious in Main Memory (JR, KAR), pp. 475–486.
DACDAC-1999-ShinC #realtime #scheduling
Power Conscious Fixed Priority Scheduling for Hard Real-Time Systems (YS, KC), pp. 134–139.
VLDBVLDB-1999-RaoR #in memory #memory management
Cache Conscious Indexing for Decision-Support in Main Memory (JR, KAR), pp. 78–89.
PLDIPLDI-1999-ChilimbiDL
Cache-Conscious Structure Definition (TMC, BD, JRL), pp. 13–24.
PLDIPLDI-1999-ChilimbiHL #layout
Cache-Conscious Structure Layout (TMC, MDH, JRL), pp. 1–12.
ICALPICALP-1999-Bruijn #memory management
A Model for Associative Memory, a Basis for Thinking and Consciousness (NGdB), pp. 74–89.
DATEDATE-1998-RabaeyW #configuration management #energy
An Energy-Conscious Exploration Methodology for Reconfigurable DSPs (JMR, MW), pp. 341–342.
ASPLOSASPLOS-1998-CalderKJA
Cache-Conscious Data Placement (BC, CK, SJ, TMA), pp. 139–149.
ISMMISMM-1998-ChilimbiL #garbage collection #using
Using Generational Garbage Collection To Implement Cache-Conscious Data Placement (TMC, JRL), pp. 37–48.
DACDAC-1997-KimC #synthesis #using
Power-conscious High Level Synthesis Using Loop Folding (DK, KC), pp. 441–445.
TOOLSTOOLS-PACIFIC-1997-Griffiths
“self”-Conscious Objects in Object-Z (AG), pp. 210–224.
PPDPPLILP-1995-McEvoyH #linear #locality #logic #multi
Local Linear Logic for Locality Consciousness in Multiset Transformation (HM, PHH), pp. 357–379.
HPCAHPCA-1995-McKeeW
Access Ordering and Memory-Conscious Cache Utilization (SAM, WAW), pp. 253–262.
VLDBVLDB-1994-ShatdalKN #algorithm #query #relational
Cache Conscious Algorithms for Relational Query Processing (AS, CK, JFN), pp. 510–521.
SIGMODSIGMOD-1993-HongJC #approach #realtime #scheduling #transaction
Real-Time Transaction Scheduling: A Cost Conscious Approach (DH, TJ, SC), pp. 197–206.
HTHT-1989-JoyceKMM #hypermedia
Hypertext, Narrative, and Consciousness (MJ, NK, JM, SM), pp. 383–384.

Bibliography of Software Language Engineering in Generated Hypertext (BibSLEIGH) is created and maintained by Dr. Vadim Zaytsev.
Hosted as a part of SLEBOK on GitHub.