BibSLEIGH
BibSLEIGH corpus
BibSLEIGH tags
BibSLEIGH bundles
BibSLEIGH people
EDIT!
CC-BY
Open Knowledge
XHTML 1.0 W3C Rec
CSS 2.1 W3C CanRec
email twitter
architecture
Google architecture

Tag #architecture

4285 papers:

POPLPOPL-2020-RaadWNV #semantics
Persistency semantics of the Intel-x86 architecture (AR, JW, GN, VV), p. 31.
ASPLOSASPLOS-2020-HeoWLBJHL #named
IIU: Specialized Architecture for Inverted Index Search (JH, JW, YL, SB, JJ, TJH, JWL), pp. 1233–1245.
ASPLOSASPLOS-2020-HuLL0Z0XDLSX #framework #learning #named
DeepSniffer: A DNN Model Extraction Framework Based on Learning Architectural Hints (XH, LL, SL, LD0, PZ, YJ0, XX, YD, CL, TS, YX), pp. 385–399.
ASPLOSASPLOS-2020-HyunKCKR #named #performance
NeuMMU: Architectural Support for Efficient Address Translations in Neural Processing Units (BH, YK, YC, JK, MR), pp. 1109–1124.
ASPLOSASPLOS-2020-KalinskyKE #graph #relational
The TrieJax Architecture: Accelerating Graph Operations Through Relational Joins (OK, BK, YE), pp. 1217–1231.
ASPLOSASPLOS-2020-KimPCKOMK #named #performance
Evanesco: Architectural Support for Efficient Data Sanitization in Modern Flash-Based Storage Systems (MK, JP, GC, YK, LO, OM, JK), pp. 1311–1326.
ASPLOSASPLOS-2020-LiD0 #design #performance #quantum #towards
Towards Efficient Superconducting Quantum Processor Architecture Design (GL, YD, YX0), pp. 1031–1045.
ASPLOSASPLOS-2020-MaZCSWQ #data type #framework #implementation #named #performance #persistent #symmetry
AsymNVM: An Efficient Framework for Implementing Persistent Data Structures on Asymmetric NVM Architecture (TM, MZ, KC, ZS, YW, XQ), pp. 757–773.
ASPLOSASPLOS-2020-MinBLNK #effectiveness #named #performance #scalability
CryoCache: A Fast, Large, and Cost-Effective Cache Architecture for Cryogenic Computing (DM, IB, GhL, SN, JK), pp. 449–464.
ASPLOSASPLOS-2020-RiaziLPD #named
HEAX: An Architecture for Computing on Encrypted Data (MSR, KL, BP, WD), pp. 1295–1309.
ASPLOSASPLOS-2020-TorkMS #named #network
Lynx: A SmartNIC-driven Accelerator-centric Architecture for Network Servers (MT, LM, MS), pp. 117–131.
ASPLOSASPLOS-2020-WangHZYZMQ #named
DNNGuard: An Elastic Heterogeneous DNN Accelerator Architecture against Adversarial Attacks (XW, RH, BZ, FY, JZ, DM, XQ), pp. 19–34.
ASPLOSASPLOS-2020-ZhangBBBL #consistency #memory management #named
Peacenik: Architecture Support for Not Failing under Fail-Stop Memory Consistency (RZ, SB, VB, MDB, BL), pp. 317–333.
ECSAECSA-2019-BuschFEK #component #quality
Assessing the Quality Impact of Features in Component-Based Software Architectures (AB, DF, ME, AK), pp. 211–219.
ECSAECSA-2019-LujicT #data-driven
Architecturing Elastic Edge Storage Services for Data-Driven Decision Making (IL, HLT0), pp. 97–105.
ECSAECSA-2019-MalkiZ
Guiding Architectural Decision Making on Service Mesh Based Microservice Architectures (AEM, UZ), pp. 3–19.
ECSAECSA-2019-MayrhoferMZGWE #adaptation #physics
Assessing Adaptability of Software Architectures for Cyber Physical Production Systems (MM, CMD, AZ, OG, GW, AE), pp. 143–158.
ECSAECSA-2019-NtentosZPSLM #data transformation
Supporting Architectural Decision Making on Data Management in Microservice Architectures (EN, UZ, KP, DS0, FL0, SM), pp. 20–36.
ECSAECSA-2019-NunesSS #approach #transaction
From a Monolith to a Microservices Architecture: An Approach Based on Transactional Contexts (LN, NS0, ARS), pp. 37–52.
ECSAECSA-2019-PigazziniFM #case study #industrial #migration #tool support
Tool Support for the Migration to Microservice Architecture: An Industrial Case Study (IP, FAF, AM), pp. 247–263.
ECSAECSA-2019-PowerW #case study
An Exploratory Study of Naturalistic Decision Making in Complex Software Architecture Environments (KP, RWB), pp. 55–70.
ECSAECSA-2019-SanctisST #internet
QoS-Based Formation of Software Architectures in the Internet of Things (MDS, RS, CT), pp. 178–194.
ECSAECSA-2019-Sozer #clustering #composition #effectiveness #multi
Evaluating the Effectiveness of Multi-level Greedy Modularity Clustering for Software Architecture Recovery (HS), pp. 71–87.
ECSAECSA-2019-WagemannKS #multi #optimisation #product line
ADOOPLA - Combining Product-Line- and Product-Level Criteria in Multi-objective Optimization of Product Line Architectures (TW, RTK, KS), pp. 126–142.
ECSAECSA-2019-Yasaweerasinghelage #optimisation #performance #security
Optimising Architectures for Performance, Cost, and Security (RY, MS, HYP, IW), pp. 161–177.
ICSAICSA-2019-ArcelliCPET #modelling #performance #runtime #traceability
Exploiting Architecture/Runtime Model-Driven Traceability for Performance Improvement (DA, VC, DDP, RE, MT0), pp. 81–90.
ICSAICSA-2019-EismannGWKK #modelling #performance #statistics
Integrating Statistical Response Time Models in Architectural Performance Models (SE, JG, JW, JvK, SK), pp. 71–80.
ICSAICSA-2019-GerkingS #component #cyber-physical #data flow #policy #refinement #security #verification
Component-Based Refinement and Verification of Information-Flow Security Policies for Cyber-Physical Microservice Architectures (CG, DS), pp. 61–70.
ICSAICSA-2019-GonzalezAM #empirical #industrial #security
Architectural Security Weaknesses in Industrial Control Systems (ICS) an Empirical Study Based on Disclosed Software Vulnerabilities (DG, FA, MM), pp. 31–40.
ICSAICSA-2019-JahicEMA #development #embedded
Mitigating the Influence of Embedded Software Development Environments and Toolsets (ESDT) on Software Architecture (JJ, PE, UM, POA), pp. 111–120.
ICSAICSA-2019-JahicKAW #concurrent #implementation #testing
Testing the Implementation of Concurrent AUTOSAR Drivers Against Architecture Decisions (JJ, VK, POA, GW), pp. 171–180.
ICSAICSA-2019-MartenssonSMB #towards
Continuous Architecture: Towards the Goldilocks Zone and Away from Vicious Circles (TM, DS, AM, JB), pp. 131–140.
ICSAICSA-2019-RademacherSZ #aspect-oriented #modelling
Aspect-Oriented Modeling of Technology Heterogeneity in Microservice Architecture (FR, SS, AZ), pp. 21–30.
ICSAICSA-2019-SeifermannHR #data-driven
Data-Driven Software Architecture for Analyzing Confidentiality (SS, RH, RHR), pp. 1–10.
ICSAICSA-2019-SionDLWEVJ #design
An Architectural View for Data Protection by Design (LS, PD, DVL, KW, IE, PV, WJ), pp. 11–20.
ICSAICSA-2019-TianLB #case study #developer #how #smell #stack overflow
How Developers Discuss Architecture Smells? An Exploratory Study on Stack Overflow (FT, PL0, MAB), pp. 91–100.
ICSAICSA-2019-UllahB #adaptation #approach #big data #security
An Architecture-Driven Adaptation Approach for Big Data Cyber Security Analytics (FU, MAB), pp. 41–50.
ICSAICSA-2019-VerdecchiaML #android #empirical #guidelines
Guidelines for Architecting Android Apps: A Mixed-Method Empirical Study (RV, IM, PL), pp. 141–150.
ICSAICSA-2019-WeberLTDGS #framework #multitenancy #platform
A Platform Architecture for Multi-Tenant Blockchain-Based Systems (IW, QL0, ABT, AD, MG, MS), pp. 101–110.
ICSAICSA-2019-WohlrabEPH #consistency #guidelines
Improving the Consistency and Usefulness of Architecture Descriptions: Guidelines for Architects (RW, UE, PP, RH), pp. 151–160.
ICSAICSA-2019-WohlrabPKH #agile #case study #interface #on the
On Interfaces to Support Agile Architecting in Automotive: An Exploratory Case Study (RW, PP, EK, RH), pp. 161–170.
ICSAICSA-2019-ZhangLJZZ #industrial
Microservice Architecture in Reality: An Industrial Inquiry (HZ0, SL, ZJ, CZ, CZ), pp. 51–60.
EDMEDM-2019-Woodruff #education #interactive #machine learning #predict #student
Predicting student academic outcomes in UK secondary phase education: an architecture for machine learning and user interaction (MW).
ICSMEICSME-2019-Dobrean #automation #mobile
Automatic Examining of Software Architectures on Mobile Applications Codebases (DD), pp. 595–599.
ICSMEICSME-2019-EdwardsJS #issue tracking #named
Sciit: Aligning Source Control Management and Issue Tracking Architectures (NE, DJ, TS), pp. 402–405.
ICSMEICSME-2019-GalsterTB #maintenance #recommendation
Supporting Software Architecture Maintenance by Providing Task-Specific Recommendations (MG, CT, KB), pp. 370–372.
ICSMEICSME-2019-SasAF #case study #evolution #smell
Investigating Instability Architectural Smells Evolution: An Exploratory Case Study (DS, PA, FAF), pp. 557–567.
SANERSANER-2019-SierraTST #question #self #technical debt
Is Self-Admitted Technical Debt a Good Indicator of Architectural Divergences? (GS, AT, ES, NT), pp. 534–543.
SCAMSCAM-2019-BergerSK #security
The Architectural Security Tool Suite - ARCHSEC (BJB, KS, RK), pp. 250–255.
SCAMSCAM-2019-MondalRS #analysis #automation #case study #natural language #using
An Exploratory Study on Automatic Architectural Change Analysis Using Natural Language Processing Techniques (AKM, BR, KAS), pp. 62–73.
CIAACIAA-2019-HanKMS #automaton #finite #simulation
A General Architecture of Oritatami Systems for Simulating Arbitrary Finite Automata (YSH, HK, YM, SS), pp. 146–157.
DLTDLT-2019-Seki
Single-Stranded Architectures for Computing (SS), pp. 41–56.
FMFM-2019-MarmsolerB #modelling #named #proving
APML: An Architecture Proof Modeling Language (DM, GB), pp. 611–630.
CoGVS-Games-2019-GiariskanisPM #3d #design #multimodal #music #named
ARCHIMUSIC3D: Multimodal Playful Transformations between Music and Refined Urban Architectural Design (FG, PP, KM), pp. 1–4.
CoGVS-Games-2019-Hohl #game studies #interactive #learning #visualisation
Game-Based Learning - Developing a Business Game for Interactive Architectural Visualization (WH), pp. 1–4.
CoGVS-Games-2019-KharvariH #3d #artificial reality #game studies #using #visualisation
The Role of Serious Gaming using Virtual Reality Applications for 3D Architectural Visualization (FK, WH), pp. 1–2.
ECIRECIR-p2-2019-BelkacemMDB #symmetry
Asymmetry Sensitive Architecture for Neural Text Matching (TB, JGM, TD, MB), pp. 62–69.
ICMLICML-2019-Abu-El-HaijaPKA #graph #higher-order #named
MixHop: Higher-Order Graph Convolutional Architectures via Sparsified Neighborhood Mixing (SAEH, BP, AK, NA, KL, HH, GVS, AG), pp. 21–29.
ICMLICML-2019-AkimotoSYUSN #adaptation #probability
Adaptive Stochastic Natural Gradient Method for One-Shot Neural Architecture Search (YA, SS, NY, KU, SS, KN), pp. 171–180.
ICMLICML-2019-HoCSDA #design #generative #modelling
Flow++: Improving Flow-Based Generative Models with Variational Dequantization and Architecture Design (JH, XC0, AS, YD, PA), pp. 2722–2730.
ICMLICML-2019-WiqvistMPF #approximate #learning #network #statistics #summary
Partially Exchangeable Networks and Architectures for Learning Summary Statistics in Approximate Bayesian Computation (SW, PAM, UP, JF), pp. 6798–6807.
ICMLICML-2019-YingKCR0H #named #towards
NAS-Bench-101: Towards Reproducible Neural Architecture Search (CY, AK, EC, ER, KM0, FH), pp. 7105–7114.
ICMLICML-2019-ZhouYWP #approach #named
BayesNAS: A Bayesian Approach for Neural Architecture Search (HZ, MY, JW0, WP), pp. 7603–7613.
KDDKDD-2019-JinSH #named #performance
Auto-Keras: An Efficient Neural Architecture Search System (HJ, QS, XH), pp. 1946–1956.
MoDELSMoDELS-2019-BrandG #adaptation #approach #evaluation #modelling #runtime
Modeling Approach and Evaluation Criteria for Adaptable Architectural Runtime Model Instances (TB, HG), pp. 227–232.
MoDELSMoDELS-2019-BurguenoCG #model transformation #network
An LSTM-Based Neural Network Architecture for Model Transformations (LB, JC, SG), pp. 294–299.
MoDELSMoDELS-2019-JumagaliyevE #evolution #modelling #multitenancy
A Modelling Language to Support the Evolution of Multi-tenant Cloud Data Architectures (AJ, YE), pp. 139–149.
MoDELSMoDELS-2019-PhilippSE #analysis #modelling #synthesis
Model-Based Resource Analysis and Synthesis of Service-Oriented Automotive Software Architectures (PO, SK, ES), pp. 128–138.
MoDELSMoDELS-2019-ProcterW #design #modelling
Guided Architecture Trade Space Exploration: Fusing Model Based Engineering & Design by Shopping (SP, LW), pp. 117–127.
PLDIPLDI-2019-Dasgupta0KAR #semantics #set
A complete formal semantics of x86-64 user-level instruction set architecture (SD, DP0, TK, VSA, GR), pp. 1133–1148.
ESEC-FSEESEC-FSE-2019-RueckertBKSMF #case study #experience #industrial
Architectural decision forces at work: experiences in an industrial consultancy setting (JR, AB, HK, TS, AM, CF), pp. 996–1005.
ESEC-FSEESEC-FSE-2019-SantosSCGM #approach
Achilles' heel of plug-and-Play software architectures: a grounded theory based approach (JCSS, AS, TC, SG, MM), pp. 671–682.
ICSE-2019-GhorbaniGM #consistency #detection #java #nondeterminism
Detection and repair of architectural inconsistencies in Java (NG, JG, SM), pp. 560–571.
ASPLOSASPLOS-2019-0002R #latency #throughput
uops.info: Characterizing Latency, Throughput, and Port Usage of Instructions on Intel Microarchitectures (AA0, JR), pp. 673–686.
ASPLOSASPLOS-2019-GallagherBCAYAH #named
Morpheus: A Vulnerability-Tolerant Secure Architecture Based on Ensembles of Moving Target Defenses with Churn (MG, LB, SC, ZBA, SFY, MTA, AH, ZX, BK, VB, SM, MT, TMA), pp. 469–484.
ASPLOSASPLOS-2019-JiZXLWHZX #configuration management #named #stack
FPSA: A Full System Stack Solution for Reconfigurable ReRAM-based NN Accelerator Architecture (YJ0, YZ, XX, SL, PW0, XH0, YZ, YX0), pp. 733–747.
ASPLOSASPLOS-2019-TangZQC #message passing #named #performance
pLock: A Fast Lock for Architectures with Explicit Inter-core Message Passing (XT, JZ, XQ, WC), pp. 765–778.
ASPLOSASPLOS-2019-ZhangGFABNOA #security
Architectural Support for Containment-based Security (HZ, SG, JF, SA, SRB, NPN, TO, DIA), pp. 361–377.
CASECASE-2019-ToquicaBM #industrial #web
Web Compliant Open Architecture For Teleoperation of Industrial Robots (JST, DB, JMSTM), pp. 1408–1414.
CGOCGO-2019-FuH
Translating Traditional SIMD Instructions to Vector Length Agnostic Architectures (SYF, WCH), p. 275.
ICTSSICTSS-2019-SamsonV #automation #component #generative #testing
Automatic Generation of Test Oracles from Component Based Software Architectures (MS, TV), pp. 261–269.
ECSAECSA-2018-AlkhabbasSD #approach #internet #named
ECo-IoT: An Architectural Approach for Realizing Emergent Configurations in the Internet of Things (FA, RS, PD), pp. 86–102.
ECSAECSA-2018-Antonino0MFBBKN #embedded #prototype #re-engineering
Enabling Continuous Software Engineering for Embedded Systems Architectures with Virtual Prototypes (POA, MJ0, AM, FF, TB, AB, TK0, EYN), pp. 115–130.
ECSAECSA-2018-AvritzerFJRSH #approach #assessment #automation #deployment #performance #testing
A Quantitative Approach for the Assessment of Microservice Architecture Deployment Alternatives by Automated Performance Testing (AA, VF, AJ, BR, HS, AvH), pp. 159–174.
ECSAECSA-2018-BuchgeherWH #automation #framework #platform #scalability
A Platform for the Automated Provisioning of Architecture Information for Large-Scale Service-Oriented Software Systems (GB, RW, HH), pp. 203–218.
ECSAECSA-2018-CastellanosCR #big data #data analysis #modelling
Executing Architectural Models for Big Data Analytics (CC, DC, JDR), pp. 364–371.
ECSAECSA-2018-Christensen #assessment #automation #feedback #named
Crunch: Automated Assessment of Microservice Architecture Assignments with Formative Feedback (HBC), pp. 175–190.
ECSAECSA-2018-GerkingS #composition #cyber-physical #data flow #security #towards
Towards Preserving Information Flow Security on Architectural Composition of Cyber-Physical Systems (CG, DS), pp. 147–155.
ECSAECSA-2018-HeijenkBLVS #agile #empirical #evolution
Empirical Insights into the Evolving Role of Architects in Decision-Making in an Agile Context (FH, MvdB, HL, HvV, RS), pp. 247–264.
ECSAECSA-2018-KerdoudiTS #case study
Spotlighting Use Case Specific Architectures (MLK, CT, SS), pp. 236–244.
ECSAECSA-2018-MalakutiGK #design
A Catalogue of Architectural Decisions for Designing IIoT Systems (SM, TG, HK), pp. 103–111.
ECSAECSA-2018-MartinFKR
Guidance of Architectural Changes in Technical Systems with Varying Operational Modes (LM, NAF, AK, RHR), pp. 37–45.
ECSAECSA-2018-MartiniFBR #case study #identification #scalability #smell
Identifying and Prioritizing Architectural Debt Through Architectural Smells: A Case Study in a Large Software Company (AM, FAF, AB, RR), pp. 320–335.
ECSAECSA-2018-MucciniM
IoT Architectural Styles - A Systematic Mapping Study (HM, MTM), pp. 68–85.
ECSAECSA-2018-Oquendo #self
Formally Describing Self-organizing Architectures for Systems-of-Systems on the Internet-of-Things (FO), pp. 20–36.
ECSAECSA-2018-Plakidas0Z #case study #evolution #industrial #migration #multi #platform
Software Migration and Architecture Evolution with Industrial Platforms: A Multi-case Study (KP, DS0, UZ), pp. 336–343.
ECSAECSA-2018-PowerW #case study #comprehension #industrial
Understanding Architecture Decisions in Context - An Industry Case Study of Architects' Decision-Making Context (KP, RWB), pp. 284–299.
ECSAECSA-2018-SprayS #abstraction #embedded
Abstraction Layered Architecture: Writing Maintainable Embedded Code (JS, RS), pp. 131–146.
ECSAECSA-2018-TumaS #analysis
Two Architectural Threat Analysis Techniques Compared (KT, RS), pp. 347–363.
ECSAECSA-2018-WeynsI0M #adaptation
Applying Architecture-Based Adaptation to Automate the Management of Internet-of-Things (DW, MUI, DH0, NM), pp. 49–67.
ECSAECSA-2018-WuCKMLCGLZ #case study #experience #metric #multi
Software Architecture Measurement - Experiences from a Multinational Company (WW, YC, RK, RM, ZL, RC, YG, WL, JZ), pp. 303–319.
ICSAICSA-2018-AlshuqayranAE #empirical #towards
Towards Micro Service Architecture Recovery: An Empirical Study (NA, NA, RE), pp. 47–56.
ICSAICSA-2018-Apel #development #game studies #online
Reducing Development Overheads with a Generic and Model-Centric Architecture for Online Games (SA), pp. 21–28.
ICSAICSA-2018-ArcelliCDP #approach #multi #named #refactoring
EASIER: An Evolutionary Approach for Multi-objective Software ArchItecturE Refactoring (DA, VC, MD, DDP), pp. 105–114.
ICSAICSA-2018-ArtacBNGPT #approach #data-driven #development #modelling
Infrastructure-as-Code for Data-Intensive Architectures: A Model-Driven Development Approach (MA, TB, EDN, MG, DPP, DAT), pp. 156–165.
ICSAICSA-2018-Chen #delivery #named
Microservices: Architecting for Continuous Delivery and DevOps (LC), pp. 39–46.
ICSAICSA-2018-CortellessaET #bidirectional #co-evolution #model transformation #modelling #petri net #uml
Availability-Driven Architectural Change Propagation Through Bidirectional Model Transformations Between UML and Petri Net Models (VC, RE, MT0), pp. 125–134.
ICSAICSA-2018-FrancescoLM #industrial #migration #overview #towards
Migrating Towards Microservice Architectures: An Industrial Survey (PDF, PL, IM), pp. 29–39.
ICSAICSA-2018-GarciaMPBW #collaboration #distributed
An Architecture for Decentralized, Collaborative, and Autonomous Robots (SG0, CM, PP, TB, RW), pp. 75–84.
ICSAICSA-2018-KoziolekBD #automation #industrial #process #self
Self-Commissioning Industrial IoT-Systems in Process Automation: A Reference Architecture (HK, AB, JD), pp. 196–205.
ICSAICSA-2018-KugeleHP #communication
Data-Centric Communication and Containerization for Future Automotive Software Architectures (SK, DH, JP), pp. 65–74.
ICSAICSA-2018-LeLSM #empirical #open source
An Empirical Study of Architectural Decay in Open-Source Software (DML, DL, AS, NM), pp. 176–185.
ICSAICSA-2018-NaabRK #case study #ecosystem #experience
Architecting a Software-Based Ecosystem for the Automotive Aftermarket: An Experience Report (MN, DR, JK), pp. 57–64.
ICSAICSA-2018-ShahbazianLLBM #design
Recovering Architectural Design Decisions (AS, YKL, DML, YB, NM), pp. 95–104.
ICSAICSA-2018-SolimanSGZR #community #developer #online
Improving the Search for Architecture Knowledge in Online Developer Communities (MS, ARS, MG, OZ, MR), pp. 186–195.
ICSAICSA-2018-SzvetitsZ #analysis #design #modelling #multi #runtime
Architectural Design Decisions for Systems Supporting Model-Based Analysis of Runtime Events: A Qualitative Multi-method Study (MS, UZ), pp. 115–124.
ICSAICSA-2018-Yasaweerasinghelage #data analysis #modelling #performance #predict #privacy #simulation #using
Predicting the Performance of Privacy-Preserving Data Analytics Using Architecture Modelling and Simulation (RY, MS, IW, HYP), pp. 166–175.
ICPCICPC-2018-MoCKF #evolution
Assessing an architecture's ability to support feature evolution (RM, YC, RK, QF), pp. 297–307.
MSRMSR-2018-ShahbazianNM #implementation #predict #towards
Toward predicting architectural significance of implementation issues (AS, DN, NM), pp. 215–219.
SANERSANER-2018-Vogel-HeuserFFU #analysis #automation #composition #industrial
Modularity and architecture of PLC-based software for automated production systems: An analysis in industrial companies (journal-first abstract) (BVH, JF, SF, SU, SR), p. 473.
SCAMSCAM-2018-PaceTG #predict #research #smell #towards #using
[Research Paper] Towards Anticipation of Architectural Smells Using Link Prediction Techniques (JADP, AT, DG), pp. 62–71.
FMFM-2018-CimattiST #specification #verification
Formal Specification and Verification of Dynamic Parametrized Architectures (AC, IS, ST), pp. 625–644.
SEFMSEFM-2018-TonderG #synthesis
Cross-Architecture Lifter Synthesis (RvT, CLG), pp. 155–170.
AIIDEAIIDE-2018-LeeTZXDA #composition #learning
Modular Architecture for StarCraft II with Deep Reinforcement Learning (DL, HT, JOZ, HX, TD, PA), pp. 187–193.
AIIDEAIIDE-2018-SifaYRB #bottom-up #comparative #evaluation #game studies #matrix #online #recommendation
Matrix and Tensor Factorization Based Game Content Recommender Systems: A Bottom-Up Architecture and a Comparative Online Evaluation (RS, RY, RR, CB), pp. 102–108.
AIIDEAIIDE-2018-YoonAHK #design #mining
Design Mining for Minecraft Architecture (EY, EA, BH, RAK), pp. 250–256.
CoGCIG-2018-TakanoOIHT #game studies #hybrid
Applying Hybrid Reward Architecture to a Fighting Game AI (YT, WO, SI, TH, RT), pp. 1–4.
CIKMCIKM-2018-Balaneshinkordan #ad hoc #documentation #retrieval
Attentive Neural Architecture for Ad-hoc Structured Document Retrieval (SB, AK, FN), pp. 1173–1182.
ECIRECIR-2018-DurRF #benchmark #challenge #dataset #lessons learnt #metric
Reproducing a Neural Question Answering Architecture Applied to the SQuAD Benchmark Dataset: Challenges and Lessons Learned (AD, AR, PF), pp. 102–113.
ICMLICML-2018-BajgarKK #performance
A Boo(n) for Evaluating Architecture Performance (OB, RK, JK), pp. 344–352.
ICMLICML-2018-BenderKZVL #comprehension
Understanding and Simplifying One-Shot Architecture Search (GB, PJK, BZ, VV, QVL), pp. 549–558.
ICMLICML-2018-CaiYZHY #network #performance
Path-Level Network Transformation for Efficient Architecture Search (HC, JY, WZ0, SH, YY0), pp. 677–686.
ICMLICML-2018-ChoromanskiRSTW #evolution #optimisation #policy #scalability
Structured Evolution with Compact Architectures for Scalable Policy Optimization (KC, MR, VS, RET, AW), pp. 969–977.
ICMLICML-2018-EspeholtSMSMWDF #distributed #named #scalability
IMPALA: Scalable Distributed Deep-RL with Importance Weighted Actor-Learner Architectures (LE, HS, RM, KS, VM, TW, YD, VF, TH, ID, SL, KK), pp. 1406–1415.
ICMLICML-2018-LuZLD #difference #equation #finite #network
Beyond Finite Layer Neural Networks: Bridging Deep Architectures and Numerical Differential Equations (YL, AZ, QL, BD0), pp. 3282–3291.
ICMLICML-2018-PhamGZLD #parametricity #performance
Efficient Neural Architecture Search via Parameter Sharing (HP, MYG, BZ, QVL, JD), pp. 4092–4101.
ICPRICPR-2018-BiswasMGBP #documentation #hybrid #recognition #robust
A Hybrid Deep Architecture for Robust Recognition of Text Lines of Degraded Printed Documents (CB, PSM, KG, UB, SKP), pp. 3174–3179.
ICPRICPR-2018-LuoY #network #performance #segmentation
Fast Skin Lesion Segmentation via Fully Convolutional Network with Residual Architecture and CRF (WL, MY), pp. 1438–1443.
ICPRICPR-2018-MuhammadWCA #classification #image
Pre-trained VGGNet Architecture for Remote-Sensing Image Scene Classification (UM, WW, SPC, SA), pp. 1622–1627.
ICPRICPR-2018-PengSCCXJ #detection #multi #using
Detecting Heads using Feature Refine Net and Cascaded Multi-scale Architecture (DP, ZS, ZC, ZC, LX, LJ), pp. 2528–2533.
ICPRICPR-2018-ZhangCZ #network #recognition
Temporal Inception Architecture for Action Recognition with Convolutional Neural Networks (WZ, JC, HZ), pp. 3216–3221.
MoDELSMoDELS-2018-EderBVIK #automation #deployment #distributed #framework #hardware #synthesis
From Deployment to Platform Exploration: Automatic Synthesis of Distributed Automotive Hardware Architectures (JE, AB, SV, AI, MK), pp. 438–446.
MoDELSMoDELS-2018-HolmesZ #modelling #refactoring #requirements
Refactoring Architecture Models for Compliance with Custom Requirements (TH, UZ), pp. 267–277.
MoDELSMoDELS-2018-KinneerH #clustering #difference #metric
Dissimilarity Measures for Clustering Space Mission Architectures (CK, SJIH), pp. 392–402.
AdaEuropeAdaEurope-2018-MunariVV #agile
Microservice-Based Agile Architectures: An Opportunity for Specialized Niche Technologies (SM, SV, TV), pp. 158–174.
PLDIPLDI-2018-LinWCLDW #manycore #network
Mapping spiking neural networks onto a manycore neuromorphic architecture (CKL, AW, GNC, THL, MD, HW), pp. 78–89.
POPLPOPL-2018-DongolJR #memory management #transaction
Transactions in relaxed memory architectures (BD, RJ, JR), p. 29.
ASEASE-2018-MoSCRKN #analysis #automation #case study #experience
Experiences applying automated architecture analysis tool suites (RM, WS, YC, SR, RK, MN), pp. 779–789.
ICSE-2018-RehmanMNUT #case study #industrial
Roles and impacts of hands-on software architects in five industrial case studies (IR, MM, MN, AAU, MT), pp. 117–127.
ASPLOSASPLOS-2018-Chong #algorithm #quantum
Quantum Computing is Getting Real: Architecture, PL, and OS Roles in Closing the Gap between Quantum Algorithms and Machines (FTC), p. 285.
ASPLOSASPLOS-2018-ColinRL #configuration management #energy
A Reconfigurable Energy Storage Architecture for Energy-harvesting Devices (AC, ER, BL), pp. 767–781.
ASPLOSASPLOS-2018-LinZHSHTM #constraints
The Architectural Implications of Autonomous Driving: Constraints and Acceleration (SCL, YZ, CHH, MS, MEH, LT, JM), pp. 751–766.
CASECASE-2018-ArboPAD #assembly #constraints
A System Architecture for Constraint-Based Robotic Assembly with CAD Information (MHA, YP, EA, WD), pp. 690–696.
CASECASE-2018-FantiMRFF #in the cloud
A Cloud Computing Architecture for Eco Route Planning of Heavy Duty Vehicles (MPF, AMM, GR, GF, AF), pp. 730–735.
CASECASE-2018-KastnerKSJH #communication #cyber-physical #design #performance
Design of an efficient Communication Architecture for Cyber-Physical Production Systems (FK, DK, CS, BJ, MH), pp. 829–835.
CASECASE-2018-Lieberoth-Leden #automation #coordination #flexibility
Control Architecture and Transport Coordination for Autonomous Logistics Modules in Flexible Automated Material Flow Systems (CLL, JF, JF, BVH), pp. 736–743.
CASECASE-2018-OriveABM #industrial #monitoring #network #resource management
Passive Network State Monitoring for Dynamic Resource Management in Industry 4.0 Fog Architectures (AO, AA, JB, MM), pp. 1414–1419.
CASECASE-2018-RibeiroRPS #approach #design #using
An Approach for Architectural Design of Automotive Systems using MARTE and SysML (FGCR, AR, CEP, MSS), pp. 1574–1580.
CASECASE-2018-RuppertSWP #case study #comparative #distributed #flexibility
Comparative study of flexible and decentralized agent-based and service-oriented control architectures for production systems (SR, FS, TW, JP), pp. 316–321.
CASECASE-2018-SygullaWSBHWR #realtime
An EtherCAT-Based Real-Time Control System Architecture for Humanoid Robots (FS, RW, PS, TFCB, ACH, DW, DR), pp. 483–490.
CASECASE-2018-TanziniJSNA #embedded
Embedded Architecture of a Hydraulic Demolition Machine for Robotic Teleoperation in the Construction Sector (MT, JMJV, MS, MN, CAA), pp. 506–513.
CASECASE-2018-TelschigSK #distributed #embedded #realtime
A Real-Time Container Architecture for Dependable Distributed Embedded Applications (KT, AS, AK), pp. 1367–1374.
CASECASE-2018-TomzikX #distributed
Architecture of a Cloud-Based Control System Decentralised at Field Level (DAT, XWX), pp. 353–358.
CASECASE-2018-UnverdorbenBL
Reference Architectures for Future Production Systems in the Field of Discrete Manufacturing (SU, BB, AL), pp. 869–874.
CGOCGO-2018-JiangA
Conflict-free vectorization of associative irregular applications with recent SIMD architectural advances (PJ, GA), pp. 175–187.
ECSAECSA-2017-FilhoRAB #consistency #design #exception #using
Preventing Erosion in Exception Handling Design Using Static-Architecture Conformance Checking (JLMF, LSR, RMCA, RB), pp. 67–83.
ECSAECSA-2017-LeighWZ
Software Architecture Risk Containers (AL, MW, AZ), pp. 171–179.
ECSAECSA-2017-SharafAMA #cyber-physical #framework #modelling #simulation
An Architecture Framework for Modelling and Simulation of Situational-Aware Cyber-Physical Systems (MS, MA, HM, MA), pp. 95–111.
ECSAECSA-2017-TrubianiM #analysis #collaboration #modelling #nondeterminism #reduction
Continuous Rearchitecting of QoS Models: Collaborative Analysis for Uncertainty Reduction (CT, RM), pp. 40–48.
ECSAECSA-2017-WoodsB
A Model for Prioritization of Software Architecture Effort (EW, RB), pp. 183–190.
ECSAECSA-2017-YangLAEHP #case study #industrial
Architectural Assumptions and Their Management in Industry - An Exploratory Study (CY0, PL0, PA, UE, RH, PP), pp. 191–207.
ECSAECSA-2017-ZalewskiBR #bias #on the
On Cognitive Biases in Architecture Decision Making (AZ, KB, AR), pp. 123–137.
ICSAICSA-2017-AlkhabbasSD #internet
Architecting Emergent Configurations in the Internet of Things (FA, RS, PD), pp. 221–224.
ICSAICSA-2017-BedjetiLLBH #modelling
Modeling Context with an Architecture Viewpoint (AB, PL, GAL, RCdB, RH), pp. 117–120.
ICSAICSA-2017-ButtingKRW #component #difference #semantics
Semantic Differencing for Message-Driven Component & Connector Architectures (AB, OK, BR, AW), pp. 145–154.
ICSAICSA-2017-CzepaTZKWR #behaviour #constraints #empirical #on the #semantics
On the Understandability of Semantic Constraints for Behavioral Software Architecture Compliance: A Controlled Experiment (CC, HT, UZ, TTTK, EW, CR), pp. 155–164.
ICSAICSA-2017-FrancescoML #industrial #research #roadmap
Research on Architecting Microservices: Trends, Focus, and Potential for Industrial Adoption (PDF, IM, PL), pp. 21–30.
ICSAICSA-2017-GiaimoB #design #self
Design Criteria to Architect Continuous Experimentation for Self-Driving Vehicles (FG, CB0), pp. 203–210.
ICSAICSA-2017-GortonXYLZ #knowledge base #towards
Experiments in Curation: Towards Machine-Assisted Construction of Software Architecture Knowledge Bases (IG, RX, YY, HL, GZ), pp. 79–88.
ICSAICSA-2017-HammadBM #android
Determination and Enforcement of Least-Privilege Architecture in Android (MH, HB, SM), pp. 59–68.
ICSAICSA-2017-HassanAB #approach #metamodelling
Microservice Ambients: An Architectural Meta-Modelling Approach for Microservice Granularity (SH, NA, RB), pp. 1–10.
ICSAICSA-2017-KlockWGJ #clustering #set
Workload-Based Clustering of Coherent Feature Sets in Microservice Architectures (SK, JMEMvdW, JPG, SJ), pp. 11–20.
ICSAICSA-2017-MucciniS #named #physics
CAPS: Architecture Description of Situational Aware Cyber Physical Systems (HM, MS), pp. 211–220.
ICSAICSA-2017-MusilESISMB #integration #scalability
Continuous Architectural Knowledge Integration: Making Heterogeneous Architectural Knowledge Available in Large-Scale Organizations (JM, FJE, MS, TBI, DS0, AM, SB), pp. 189–192.
ICSAICSA-2017-PhamRGL #bidirectional
Bidirectional Mapping between Architecture Model and Code for Synchronization (VCP, AR, SG, SL), pp. 239–242.
ICSAICSA-2017-RostamiHBR #impact analysis #information management #process
Architecture-Based Change Impact Analysis in Information Systems and Business Processes (KR, RH, AB, RHR), pp. 179–188.
ICSAICSA-2017-RoyMRSW #analysis #framework #towards
Towards a Reference Architecture for Cloud-Based Plant Genotyping and Phenotyping Analysis Frameworks (BR, AKM, CKR, KAS, KW), pp. 41–50.
ICSAICSA-2017-SantosPMGVS #comprehension #empirical #php #security
Understanding Software Vulnerabilities Related to Architectural Security Tactics: An Empirical Investigation of Chromium, PHP and Thunderbird (JCSS, AP, MM, MG, JVV, AS), pp. 69–78.
ICSAICSA-2017-SolimanGR #community #developer #ontology
Developing an Ontology for Architecture Knowledge from Developer Communities (MS, MG, MR), pp. 89–92.
ICSAICSA-2017-TaivalsaariMPS #modelling #web
Comparing the Built-In Application Architecture Models in the Web Browser (AT, TM, CP, KS), pp. 51–54.
ICSAICSA-2017-TangRPH #aspect-oriented #overview #perspective
Human Aspects in Software Architecture Decision Making: A Literature Review (AT, MR, BP, TMH), pp. 107–116.
ICSAICSA-2017-XuWSZBBPR #design #taxonomy
A Taxonomy of Blockchain-Based Systems for Architecture Design (XX, IW, MS, LZ, JB, LB, CP, PR), pp. 243–252.
ICSAICSA-2017-Yasaweerasinghelage #latency #modelling #predict #simulation #using
Predicting Latency of Blockchain-Based Systems Using Architectural Modelling and Simulation (RY, MS, IW), pp. 253–256.
ICSAICSA-2017-ZhengCA #consistency #product line #source code #traceability
Mapping Features to Source Code through Product Line Architecture: Traceability and Conformance (YZ, CC, HUA), pp. 225–234.
JCDLJCDL-2017-ToepferS #automation #invariant
Descriptor-Invariant Fusion Architectures for Automatic Subject Indexing (MT0, CS), pp. 31–40.
ICPCICPC-2017-HuZLG #clone detection #compilation #detection
Binary code clone detection across architectures and compiling configurations (YH, YZ0, JL, DG), pp. 88–98.
AIIDEAIIDE-2017-HartHGOXW #simulation
Dante Agent Architecture for Force-On-Force Wargame Simulation and Training (BH, DH, RG, FJO, PGX, JW), pp. 200–206.
CoGCIG-2017-GuimaraesSJ #game studies #named #social
CiF-CK: An architecture for social NPCS in commercial games (MG, PS0, AJ), pp. 126–133.
FDGFDG-2017-BauerBP #design #game studies #learning #problem
Dragon architect: open design problems for guided learning in a creative computational thinking sandbox game (AB0, EB, ZP), p. 6.
FDGFDG-2017-CheongPPB #interactive
A database-centric architecture for interactive storytelling (YGC, KP, WHP, BCB), p. 4.
CIKMCIKM-2017-ChengHDL #case study #in memory #manycore
A Study of Main-Memory Hash Joins on Many-core Processor: A Case with Intel Knights Landing Architecture (XC, BH, XD, CTL), pp. 657–666.
CIKMCIKM-2017-PangLGXXC #information retrieval #named #ranking
DeepRank: A New Deep Architecture for Relevance Ranking in Information Retrieval (LP, YL, JG, JX0, JX, XC), pp. 257–266.
CIKMCIKM-2017-SinghMTSW #automation
A Neural Candidate-Selector Architecture for Automatic Structured Clinical Text Annotation (GS, IJM, JT, JST, BCW), pp. 1519–1528.
ICMLICML-2017-FoersterGSCS #network
Input Switched Affine Networks: An RNN Architecture Designed for Interpretability (JNF, JG, JSD, JC, DS), pp. 1136–1145.
ICMLICML-2017-LeiJBJ #graph #kernel #sequence
Deriving Neural Architectures from Sequence and Graph Kernels (TL0, WJ, RB, TSJ), pp. 2024–2033.
ICMLICML-2017-Luo #learning #network
Learning Deep Architectures via Generalized Whitened Neural Networks (PL0), pp. 2238–2246.
ICMLICML-2017-ZhaoYKJB #learning
Learning Sleep Stages from Radio Signals: A Conditional Adversarial Architecture (MZ, SY, DK, TSJ, MTB), pp. 4100–4109.
ECMFAECMFA-2017-ButtingHHKRW
Systematic Language Extension Mechanisms for the MontiArc Architecture Description Language (AB, AH, LH, OK, BR, AW), pp. 53–70.
ECMFAECMFA-2017-Kusmenko0RW #cyber-physical #modelling
Modeling Architectures of Cyber-Physical Systems (EK, AR, BR, MvW), pp. 34–50.
MoDELSMoDELS-2017-DenneyPW #development #modelling #safety
Model-Driven Development of Safety Architectures (ED, GP, IW), pp. 156–166.
OOPSLAOOPSLA-2017-Reid #specification #validation
Who guards the guards? formal validation of the Arm v8-m architecture specification (AR), p. 24.
ASEASE-2017-Busari #analysis #modelling #requirements #search-based #towards
Towards search-based modelling and analysis of requirements and architecture decisions (SAB), pp. 1026–1029.
ASEASE-2017-PaixaoKHRH #developer #question
Are developers aware of the architectural impact of their changes? (MP, JK, DH, CR, MH), pp. 95–105.
ESEC-FSEESEC-FSE-2017-AdzicC
Serverless computing: economic and architectural impact (GA, RC), pp. 884–889.
ESEC-FSEESEC-FSE-2017-FieldingTEGWKO #design #rest #web
Reflections on the REST architectural style and “principled design of the modern web architecture” (impact paper award) (RTF, RNT, JRE, MMG, JW, RK, PO), pp. 4–14.
ESEC-FSEESEC-FSE-2017-GalsterAMT #agile #question
Reference architectures and Scrum: friends or foes? (MG, SA, SMF, DT), pp. 896–901.
ESEC-FSEESEC-FSE-2017-HarmsRI #guidelines
Guidelines for adopting frontend architectures and patterns in microservices-based systems (HH, CR, LLI), pp. 902–907.
ESEC-FSEESEC-FSE-2017-Oliveira #co-evolution #dependence #fine-grained #named #refactoring #using
DRACO: discovering refactorings that improve architecture using fine-grained co-change dependencies (MCdO0), pp. 1018–1021.
ICSE-2017-BusariL #analysis #lightweight #named #requirements
RADAR: a lightweight tool for requirements and architecture decision analysis (SAB, EL), pp. 552–562.
ICSE-2017-GopalakrishnanS #predict #question #source code #topic
Can latent topics in source code predict missing architectural tactics? (RG, PS, MM, MG), pp. 15–26.
ASPLOSASPLOS-2017-CalciuSBA #black box #concurrent #data type
Black-box Concurrent Data Structures for NUMA Architectures (IC, SS0, MB0, MKA), pp. 207–221.
ASPLOSASPLOS-2017-CoxB #multi #performance
Efficient Address Translation for Architectures with Multiple Page Sizes (GC, AB), pp. 435–448.
ASPLOSASPLOS-2017-FerraiuoloXZMS #analysis #data flow #hardware #security #verification
Verification of a Practical Hardware Security Architecture Through Static Information Flow Analysis (AF, RX, DZ, ACM, GES), pp. 555–568.
ASPLOSASPLOS-2017-KimKKKKNOCL #lightweight
Typed Architectures: Architectural Support for Lightweight Scripting (CK, JK, SK, DYK, NK, GN, YHO, HGC, JWL), pp. 77–90.
ASPLOSASPLOS-2017-McMahanCNRGHS #analysis #composition
An Architecture Supporting Formal and Compositional Binary Analysis (JM, MC, LN, JR, SYG, BH, TS), pp. 177–191.
CASECASE-2017-CuiVZBB #adaptation #network #self
A software architecture supporting self-adaptation of wireless control networks (YC, RMV, XZ, JB, ESB), pp. 346–351.
CASECASE-2017-WeiHCMY
The essential elements of intelligent Manufacturing System Architecture (SW, JH, YC, YM, YY), pp. 1006–1011.
CGOCGO-2017-EggerLKMCLKHC #configuration management #energy
A space- and energy-efficient code Compression/Decompression technique for coarse-grained reconfigurable architectures (BE, HL, DK, MSM, YC, YL, SK, SH, KC), pp. 197–209.
CGOCGO-2017-QasemAR #data transformation #memory management
Characterizing data organization effects on heterogeneous memory architectures (AQ, AMA, GR), pp. 160–170.
CGOCGO-2017-ZhangWZHC #clustering #fine-grained #named
FinePar: irregularity-aware fine-grained workload partitioning on integrated architectures (FZ0, BW0, JZ, BH, WC), pp. 27–38.
CBSECBSE-2016-CrnkovicMMS #component #cyber-physical #on the #using
On the Use of Component-Based Principles and Practices for Architecting Cyber-Physical Systems (IC, IM, HM, MS), pp. 23–32.
CBSECBSE-2016-StevaneticZ #component #experience #metric #modelling #using
Exploring the Understandability of Components in Architectural Component Models Using Component Level Metrics and Participants' Experience (SS, UZ), pp. 1–6.
CBSECBSE-2016-WulfWH #parallel #throughput
Increasing the Throughput of Pipe-and-Filter Architectures by Integrating the Task Farm Parallelization Pattern (CW, CCW, WH), pp. 13–22.
ECSAECSA-2016-AlsharaSTBDS #component #object-oriented #source code
Materializing Architecture Recovered from Object-Oriented Source Code in Component-Based Languages (ZA, ADS, CT, HLB, CD, AS), pp. 309–325.
ECSAECSA-2016-AngelovMG #agile #challenge #question #what
Architects in Scrum: What Challenges Do They Face? (SA, MM, MG), pp. 229–237.
ECSAECSA-2016-BrogiCCDNGPPS #multi #named
SeaClouds: An Open Reference Architecture for Multi-cloud Governance (AB, JC, JC, FD, EDN, MG, DP, EP, JS), pp. 334–338.
ECSAECSA-2016-CavalcanteQTOBL #model checking #statistics
Statistical Model Checking of Dynamic Software Architectures (EC, JQ, LMT, FO, TB, AL), pp. 185–200.
ECSAECSA-2016-DasanayakeMAO #collaboration #empirical
An Empirical Study on Collaborative Architecture Decision Making in Software Teams (SD, JM, SA, MO), pp. 238–246.
ECSAECSA-2016-ErsoyKAS #clustering #re-engineering #using
Using Hypergraph Clustering for Software Architecture Reconstruction of Data-Tier Software (EE, KK, MA, HS), pp. 326–333.
ECSAECSA-2016-Gerostathopoulos #adaptation #cyber-physical #self
Architectural Homeostasis in Self-Adaptive Software-Intensive Cyber-Physical Systems (IG, DS, FP, TB, AK), pp. 113–128.
ECSAECSA-2016-HassanQO #evolution #framework #realtime
Evolution Style: Framework for Dynamic Evolution of Real-Time Software Architecture (AH, AQ, MO0), pp. 166–174.
ECSAECSA-2016-HeimKRRW #configuration management
Retrofitting Controlled Dynamic Reconfiguration into the Architecture Description Language MontiArcAutomaton (RH, OK, JOR, BR, AW), pp. 175–182.
ECSAECSA-2016-HolsteinW #multi #towards
Towards an Architecture for an UI-Compositor for Multi-OS Environments (TH, JW), pp. 138–145.
ECSAECSA-2016-KaptoEKT #analysis #approach #detection #evolution #source code
Inferring Architectural Evolution from Source Code Analysis - A Tool-Supported Approach for the Detection of Architectural Tactics (CK, GEB, SK, CT), pp. 149–165.
ECSAECSA-2016-MeCL #quality
A Long Way to Quality-Driven Pattern-Based Architecting (GM, CC, PL), pp. 39–54.
ECSAECSA-2016-Mesli-KesraouiK #diagrams #verification
Formal Verification of Software-Intensive Systems Architectures Described with Piping and Instrumentation Diagrams (SMK, DK, FO, AB, AT, PB), pp. 210–226.
ECSAECSA-2016-Oquendo #challenge #research
Software Architecture Challenges and Emerging Research in Software-Intensive Systems-of-Systems (FO), pp. 3–21.
ECSAECSA-2016-OquendoLB
Executing Software Architecture Descriptions with SysADL (FO, JCL, TB), pp. 129–137.
ECSAECSA-2016-RostN #developer #documentation #effectiveness #why
Task-Specific Architecture Documentation for Developers - Why Separation of Concerns in Architecture Documentation is Counterproductive for Developers (DR, MN), pp. 102–110.
ECSAECSA-2016-SchmerlGSBMCG #analysis #android #modelling #security
Architecture Modeling and Analysis of Security in Android Systems (BRS, JG, AS, HB, SM, JC, DG), pp. 274–290.
ECSAECSA-2016-SchriekWTB #design #game studies #reasoning
Software Architecture Design Reasoning: A Card Game to Help Novice Designers (CS, JMEMvdW, AT, FB), pp. 22–38.
ECSAECSA-2016-SchroderRS #process
Architecture Enforcement Concerns and Activities - An Expert Study (SS, MR, MS), pp. 247–262.
ECSAECSA-2016-SobhyBMK #perspective
Diversifying Software Architecture for Sustainability: A Value-Based Perspective (DS, RB, LLM, RK), pp. 55–63.
QoSAQoSA-2016-JamshidiSPAME #fuzzy #self
Fuzzy Self-Learning Controllers for Elasticity Management in Dynamic Cloud Architectures (PJ, AMS, CP, HA, AM, GE), pp. 70–79.
QoSAQoSA-2016-JohnsenLHPT #assurance #framework #named #quality
AQAF: An Architecture Quality Assurance Framework for Systems Modeled in AADL (AJ, KL, KH, PP, MT), pp. 31–40.
QoSAQoSA-2016-PitakratOHG #approach #online #predict
An Architecture-Aware Approach to Hierarchical Online Failure Prediction (TP, DO, AvH, LG), pp. 60–69.
WICSAWICSA-2016-BersaniMTJN
Continuous Architecting of Stream-Based Systems (MMB, FM, DAT, PJ, AN), pp. 146–151.
WICSAWICSA-2016-BuchgeherKHSH #approach #enterprise #testing
Improving Testing in an Enterprise SOA with an Architecture-Based Approach (GB, CK, WH, MS, HH), pp. 231–240.
WICSAWICSA-2016-BuresHKAS #approach #physics #statistics
Statistical Approach to Architecture Modes in Smart Cyber Physical Systems (TB, PH, JK, RAA, DS), pp. 168–177.
WICSAWICSA-2016-DarvasK
System Architecture Recovery Based on Software Structure Model (ÁD, RK), pp. 109–114.
WICSAWICSA-2016-ErnstPBD #legacy
Creating Software Modernization Roadmaps: The Architecture Options Workshop (NAE, MP, FB, PD), pp. 71–80.
WICSAWICSA-2016-FengKCMX #analysis #approach #security #towards
Towards an Architecture-Centric Approach to Security Analysis (QF, RK, YC, RM, LX0), pp. 221–230.
WICSAWICSA-2016-FontanaRZRC #case study #detection #experience
An Experience Report on Detecting and Repairing Software Architecture Erosion (FAF, RR, MZ, CR, RC), pp. 21–30.
WICSAWICSA-2016-GallidabinoPIMS #design #on the
On the Architecture of Liquid Software: Technology Alternatives and Design Space (AG, CP, VI, TM, KS, JPV, AT), pp. 122–127.
WICSAWICSA-2016-GalsterW #empirical #how #question #research
Empirical Research in Software Architecture: How Far have We Come? (MG, DW), pp. 11–20.
WICSAWICSA-2016-GerlitzK16a #analysis #matlab #modelling
Architectural Analysis of MATLAB/Simulink Models with Artshop (TG, SK), pp. 307–310.
WICSAWICSA-2016-GesvindrB #as a service #design #performance
Architectural Tactics for the Design of Efficient PaaS Cloud Applications (DG, BB), pp. 158–167.
WICSAWICSA-2016-Hofig #analysis #component #dependence #summary #tutorial
Tutorial Summary for Dependability Analysis in the Context of Component-Based System Architectures (KH), p. 255.
WICSAWICSA-2016-KazmanCHH #design #summary #tutorial #using
Tutorial Summary for Designing Software Architectures Using ADD 3.0 (RK, HC, SH, OH), p. 253.
WICSAWICSA-2016-LeCCM
Relating Architectural Decay and Sustainability of Software Systems (DML, CC, RC, NM), pp. 178–181.
WICSAWICSA-2016-MartiniB #agile #case study #framework #multi #scalability
A Multiple Case Study of Continuous Architecting in Large Agile Companies: Current Gaps and the CAFFEA Framework (AM, JB), pp. 1–10.
WICSAWICSA-2016-MonotOSW #embedded #realtime
Modern Software Architecture for Embedded Real-Time Devices: High Value, Little Overhead (AM, MO, CS, MW), pp. 201–210.
WICSAWICSA-2016-NicolaescuL #behaviour #consistency #re-engineering
Behavior-Based Architecture Reconstruction and Conformance Checking (AN, HL), pp. 152–157.
WICSAWICSA-2016-NordSDFTO #dependence
Missed Architectural Dependencies: The Elephant in the Room (RLN, RSS, JD, PHF, LT, IO), pp. 41–50.
WICSAWICSA-2016-OquendoLB #behaviour #specification
Specifying Architecture Behavior with SysADL (FO, JCL, TB), pp. 140–145.
WICSAWICSA-2016-SantosMMZ #named #research #traceability
BUDGET: A Tool for Supporting Software Architecture Traceability Research (JCSS, MM, IM, WZ), pp. 303–306.
WICSAWICSA-2016-SchultisEL #ecosystem
Architecture-Violation Management for Internal Software Ecosystems (KBS, CE, DL), pp. 241–246.
WICSAWICSA-2016-Seifermann #analysis #data flow
Architectural Data Flow Analysis (SS), pp. 270–271.
WICSAWICSA-2016-SobernigZ #design #using
Distilling Architectural Design Decisions and Their Relationships Using Frequent Item-Sets (SS, UZ), pp. 61–70.
WICSAWICSA-2016-SolimanGSR #case study #community #developer #stack overflow
Architectural Knowledge for Technology Decisions in Developer Communities: An Exploratory Study with StackOverflow (MS, MG, ARS, MR), pp. 128–133.
WICSAWICSA-2016-SutterFWBCDZ
A Reference Architecture for Software Protection (BDS, PF, BW, CB, MC, Jd, MZ), pp. 291–294.
WICSAWICSA-2016-TaspolatogluH #analysis #security
Context-Based Architectural Security Analysis (ET, RH), pp. 281–282.
WICSAWICSA-2016-ValdeonCT
Defeasible Argumentation of Software Architectures (JMCV, ARC, MT), pp. 115–121.
WICSAWICSA-2016-YuanM #component #detection #interactive #mining #security
Mining Software Component Interactions to Detect Security Threats at the Architectural Level (EY, SM), pp. 211–220.
CSEETCSEET-2016-GeorgasPM #learning #runtime #using #visualisation
Supporting Software Architecture Learning Using Runtime Visualization (JCG, JDP, MJM), pp. 101–110.
EDMEDM-2016-MacLellanHPK #education #learning
The Apprentice Learner architecture: Closing the loop between learning theory and educational data (CJM, EH, RP, KRK), pp. 151–158.
ICSMEICSME-2016-AnicheBTDG #mvc #set #smell
A Validated Set of Smells in Model-View-Controller Architectures (MFA, GB, CT, AvD, MAG), pp. 233–243.
ICSMEICSME-2016-FontanaPRZ #automation #detection #smell
Automatic Detection of Instability Architectural Smells (FAF, IP, RR, MZ), pp. 433–437.
ICSMEICSME-2016-GovinAEDM #case study #how #industrial
How Can We Help Software Rearchitecting Efforts? Study of an Industrial Case (BG, NA, AE, SD, AM), pp. 509–518.
SANERSANER-2016-HuZLG #comparison #comprehension #semantics
Cross-Architecture Binary Semantics Understanding via Similar Code Comparison (YH, YZ0, JL, DG), pp. 57–67.
SCAMSCAM-2016-AnicheTZDG #metric #named
SATT: Tailoring Code Metric Thresholds for Different Software Architectures (MFA, CT, AZ, AvD, MAG), pp. 41–50.
FMFM-2016-HouSTLH #case study #execution #formal method #set
An Executable Formalisation of the SPARCv8 Instruction Set Architecture: A Case Study for the LEON3 Processor (ZH, DS, AT, YL0, KCH), pp. 388–405.
ICMLICML-2016-CisseAB #named
ADIOS: Architectures Deep In Output Space (MC, MAS, SB), pp. 2770–2779.
ICMLICML-2016-PezeshkiFBCB #network
Deconstructing the Ladder Network Architecture (MP, LF, PB, ACC, YB), pp. 2368–2376.
ICMLICML-2016-WangSHHLF #learning #network
Dueling Network Architectures for Deep Reinforcement Learning (ZW0, TS, MH, HvH, ML, NdF), pp. 1995–2003.
ICMLICML-2016-WiatowskiTSGB #feature model
Discrete Deep Feature Extraction: A Theory and New Architectures (TW, MT, AS, PG, HB), pp. 2149–2158.
ICPRICPR-2016-LangenkamperN #classification #detection #learning #online #realtime
COATL - a learning architecture for online real-time detection and classification assistance for environmental data (DL, TWN), pp. 597–602.
ICPRICPR-2016-MurguiaRA #adaptation #dataset #evaluation #modelling #network #parallel
Evaluation of the background modeling method Auto-Adaptive Parallel Neural Network Architecture in the SBMnet dataset (MICM, JARQ, GRA), pp. 137–142.
ICPRICPR-2016-SharmaCH #framework #semantics
A unified framework for semantic matching of architectural floorplans (DS, CC, GH), pp. 2422–2427.
ICPRICPR-2016-ShwetaE0B #identification #interactive #learning
A deep learning architecture for protein-protein Interaction Article identification (S, AE, SS0, PB), pp. 3128–3133.
POPLPOPL-2016-FlurGPSSMDS #concurrent #modelling
Modelling the ARMv8 architecture, operationally: concurrency and ISA (SF, KEG, CP, SS, AS, LM, WD, PS), pp. 608–621.
FSEFSE-2016-ChandramohanXXL #named
BinGo: cross-architecture cross-OS binary search (MC, YX, ZX, YL0, CYC, HBKT), pp. 678–689.
FSEFSE-2016-LinPCDZZ #interactive #recommendation #refactoring #search-based
Interactive and guided architectural refactoring with search-based recommendation (YL0, XP0, YC, DD, DZ, WZ), pp. 535–546.
ICSE-2016-AnishBSCDWG #requirements
Probing for requirements knowledge to stimulate architectural thinking (PRA, BB, AS, JCH, MD, RJW, SG), pp. 843–854.
ICSE-2016-MoCKXF #complexity #maintenance #metric
Decoupling level: a new metric for architectural maintenance complexity (RM, YC, RK, LX0, QF), pp. 499–510.
ICSE-2016-XiaoCKMF #identification
Identifying and quantifying architectural debt (LX0, YC, RK, RM, QF), pp. 488–498.
ASPLOSASPLOS-2016-AbadalCAT #communication #named #performance
WiSync: An Architecture for Fast Synchronization through On-Chip Wireless Communication (SA, ACA, EA, JT), pp. 3–17.
ASPLOSASPLOS-2016-LiaqatJLGTLGS #developer #energy #mobile #named #performance
Sidewinder: An Energy Efficient and Developer Friendly Heterogeneous Architecture for Continuous Mobile Sensing (DL, SJ, EdL, AG, WT, KL, IDMG, MS), pp. 205–215.
ASPLOSASPLOS-2016-MuralidharanRHG #adaptation
Architecture-Adaptive Code Variant Tuning (SM, AR, MWH, MG, PR), pp. 325–338.
CASECASE-2016-KussPHDH #industrial #overview #synthesis
Manufacturing knowledge for industrial robot systems: Review and synthesis of model architecture (AK, JRDP, RH, TD, MH), pp. 348–354.
CASECASE-2016-SteinmetzW #interactive
Skill parametrization approaches and skill architecture for human-robot interaction (FS, RW), pp. 280–285.
CASECASE-2016-YangLWC #hybrid #predict
A hybrid tool life prediction scheme in cloud architecture (HCY, YYL, MNW, FTC), pp. 1160–1165.
CCCC-2016-MajetiMBS #automation #cpu #generative #gpu #kernel #layout
Automatic data layout generation and kernel mapping for CPU+GPU architectures (DM, KSM, RB, VS), pp. 240–250.
CGOCGO-2016-ChenJA
Exploiting recent SIMD architectural advances for irregular applications (LC, PJ, GA), pp. 47–58.
CBSECBSE-2015-KramerLMSB #component #consistency #contract #modelling
Change-Driven Consistency for Component Code, Architectural Models, and Contracts (MEK, ML, DM, SS, EB), pp. 21–26.
CBSECBSE-2015-RuchkinSG #abstraction #hybrid #source code
Architectural Abstractions for Hybrid Programs (IR, BRS, DG), pp. 65–74.
ECSAECSA-2015-AbbasA #adaptation #case study #product line #reasoning #self
Architectural Reasoning Support for Product-Lines of Self-adaptive Software Systems — A Case Study (NA, JA), pp. 20–36.
ECSAECSA-2015-AlvaresRS #component #configuration management
High-Level Language Support for Reconfiguration Control in Component-Based Architectures (FA, ÉR, LS), pp. 3–19.
ECSAECSA-2015-AngelovB #agile #approach #development #education
An Approach to Software Architecting in Agile Software Development Projects in Education (SA, PdB), pp. 157–168.
ECSAECSA-2015-BaresiS #approach
An Architecture-Centric Approach for Dynamic Smart Spaces (LB, AS), pp. 277–284.
ECSAECSA-2015-BelleEDKM #polynomial #problem
The Layered Architecture Recovery as a Quadratic Assignment Problem (ABB, GEB, CD, SK, HM), pp. 339–354.
ECSAECSA-2015-FernandezAP #security
Revisiting Architectural Tactics for Security (EBF, HA, GPG), pp. 55–69.
ECSAECSA-2015-GalsterA #agile #comprehension #development #using
Understanding the Use of Reference Architectures in Agile Software Development Projects (MG, SA), pp. 268–276.
ECSAECSA-2015-GroherW #requirements
Collecting Requirements and Ideas for Architectural Group Decision-Making Based on Four Approaches (IG, RW), pp. 181–192.
ECSAECSA-2015-JagroepWSBVB #case study #energy
An Energy Consumption Perspective on Software Architecture — A Case Study on Architectural Change (EJ, JMEMvdW, RS, LB, RvV, SB), pp. 239–247.
ECSAECSA-2015-Jansen #challenge #ecosystem #interface
Opening the Ecosystem Flood Gates: Architecture Challenges of Opening Interfaces Within a Product Portfolio (SJ), pp. 121–136.
ECSAECSA-2015-KallelTTDK #automation #component #constraints #specification
Automatic Translation of Architecture Constraint Specifications into Components (SK, BT, CT, CD, AHK), pp. 322–338.
ECSAECSA-2015-KiwelekarW #learning
Learning Objectives for a Course on Software Architecture (AWK, HSW), pp. 169–180.
ECSAECSA-2015-MucciniTR #on the #social
On the Social Dimensions of Architectural Decisions (HM, DAT, VSR), pp. 137–145.
ECSAECSA-2015-PahlJ #formal method #modelling #roadmap #towards
Software Architecture for the Cloud — A Roadmap Towards Control-Theoretic, Model-Based Cloud Architecture (CP, PJ), pp. 212–220.
ECSAECSA-2015-RostWNLS #agile #case study #development #experience #industrial
Distilling Best Practices for Agile Development from Architecture Methodology — Experiences from Industrial Application (DR, BW, MN, TL, HS), pp. 259–267.
ECSAECSA-2015-ShahinB #design #quality
Improving the Quality of Architecture Design Through Peer-Reviews and Recombination (MS, MAB), pp. 70–86.
ECSAECSA-2015-StierKGR #analysis #energy #modelling #performance
Model-Based Energy Efficiency Analysis of Software Architectures (CS, AK, HG, RHR), pp. 221–238.
ECSAECSA-2015-TahriDP #deployment #distributed #feature model #modelling #smarttech #using
Using Feature Models for Distributed Deployment in Extended Smart Home Architecture (AT, LD, JP), pp. 285–293.
ECSAECSA-2015-TrubianiGE #analysis #modelling #nondeterminism #performance #traceability
Exploiting Traceability Uncertainty Between Software Architectural Models and Performance Analysis Results (CT, AG, AE), pp. 305–321.
ECSAECSA-2015-WangC #network #performance #social
A Specialised Social Network Software Architecture for Efficient Household Water Use Management (ZW, AC), pp. 146–153.
QoSAQoSA-2015-DurisicST #identification #set #standard
Identifying Optimal Sets of Standardized Architectural Features: A Method and its Automotive Application (DD, MS, MT), pp. 103–112.
QoSAQoSA-2015-KjaergaardK #mobile #on the
On Architectural Qualities and Tactics for Mobile Sensing (MBK, MK), pp. 63–72.
QoSAQoSA-2015-LewisL
A Catalog of Architectural Tactics for Cyber-Foraging (GAL, PL), pp. 53–62.
QoSAQoSA-2015-RostamiSHR #assessment
Architecture-based Assessment and Planning of Change Requests (KR, JS, RH, RHR), pp. 21–30.
QoSAQoSA-2015-WuLG #modelling #performance
Exploring Performance Models of Hadoop Applications on Cloud Architecture (XW, YL, IG), pp. 93–101.
WICSAWICSA-2015-BarnettVT #concept #mobile
A Conceptual Model for Architecting Mobile Applications (SB, RV, AT), pp. 105–114.
WICSAWICSA-2015-CaraccioloLN #approach #consistency
A Unified Approach to Architecture Conformance Checking (AC, MFL, ON), pp. 41–50.
WICSAWICSA-2015-CavalcanteBO #implementation
Supporting Dynamic Software Architectures: From Architectural Description to Implementation (EC, TVB, FO), pp. 31–40.
WICSAWICSA-2015-Chen #delivery #towards
Towards Architecting for Continuous Delivery (LC), pp. 131–134.
WICSAWICSA-2015-CoutoTCL #abstract syntax tree #migration #syntax
Migrating to an Extensible Architecture for Abstract Syntax Trees (LDC, PWVTJ, JWC, KL), pp. 145–154.
WICSAWICSA-2015-EliassonHPL
Architecting in the Automotive Domain: Descriptive vs Prescriptive Architecture (UE, RH, PP, JL), pp. 115–118.
WICSAWICSA-2015-GortonKN #database #scalability
Architecture Knowledge for Evaluating Scalable Databases (IG, JK, AN), pp. 95–104.
WICSAWICSA-2015-GroherW #case study
A Study on Architectural Decision-Making in Context (IG, RW), pp. 11–20.
WICSAWICSA-2015-Harper0
Exploring Software Architecture Context (KEH, JZ), pp. 123–126.
WICSAWICSA-2015-LiLA #identification #technical debt
Architectural Technical Debt Identification Based on Architecture Decisions and Change Scenarios (ZL, PL, PA), pp. 65–74.
WICSAWICSA-2015-MartiniB #technical debt
The Danger of Architectural Technical Debt: Contagious Debt and Vicious Circles (AM, JB), pp. 1–10.
WICSAWICSA-2015-MoCKX #automation #detection #smell
Hotspot Patterns: The Formal Definition and Automatic Detection of Architecture Smells (RM, YC, RK, LX), pp. 51–60.
WICSAWICSA-2015-MusilMWB #framework
An Architecture Framework for Collective Intelligence Systems (JM, AM, DW, SB), pp. 21–30.
WICSAWICSA-2015-NaabBLHEMCK #case study #design #ecosystem #experience #mobile #prototype #scalability #why
Why Data Needs more Attention in Architecture Design — Experiences from Prototyping a Large-Scale Mobile App Ecosystem (MN, SB, TL, SH, AE, DM, RC, FK), pp. 75–84.
WICSAWICSA-2015-PoortV #case study #cost analysis #experience
Architecting in a Solution Costing Context: Early Experiences with Solution-Based Estimating (EP, EvdV), pp. 127–130.
WICSAWICSA-2015-SolimanRZ #design
Enriching Architecture Knowledge with Technology Design Decisions (MS, MR, UZ), pp. 135–144.
WICSAWICSA-2015-TamburriN #social
When Software Architecture Leads to Social Debt (DAT, EDN), pp. 61–64.
WICSAWICSA-2015-ZimmermannWKG #in the cloud #modelling #problem
Architectural Decision Guidance Across Projects — Problem Space Modeling, Decision Backlog Management and Cloud Computing Knowledge (OZ, LW, HK, TG), pp. 85–94.
DRRDRR-2015-BideaultMCP #regular expression #using #word
Spotting handwritten words and REGEX using a two stage BLSTM-HMM architecture (GB, LM, CC, TP).
DRRDRR-2015-MiouletBCPB #multi #network #recognition
Exploring multiple feature combination strategies with a recurrent neural network architecture for off-line handwriting recognition (LM, GB, CC, TP, SB).
DRRDRR-2015-YousefiSBS #2d #comparison #recognition
A comparison of 1D and 2D LSTM architectures for the recognition of handwritten Arabic (MRY, MRS, TMB, DS).
VLDBVLDB-2015-CrottyGDKBCZ #compilation #workflow
An Architecture for Compiling UDF-centric Workflows (AC, AG, KD, TK, CB, , SZ), pp. 1466–1477.
VLDBVLDB-2015-GoelPABMFGMBL #realtime #scalability #towards
Towards Scalable Real-time Analytics: An Architecture for Scale-out of OLxP Workloads (AKG, JP, NA, PB, SM, FF, FG, CM, TB, WL), pp. 1716–1727.
VLDBVLDB-2015-MukherjeeCCDGHH #database #distributed #in memory
Distributed Architecture of Oracle Database In-memory (NM, SC, MC, DD, MG, SH, AH, HJ, JK, KK, TL, JL, NM, VM, AM, AW, JY, MZ), pp. 1630–1641.
ICSMEICSME-2015-GaoH #named #web
ArchFLoc: Locating and explaining architectural features in running web applications (YG, DH), pp. 333–335.
ICSMEICSME-2015-ValeM #dynamic analysis #mining #named #using
Keecle: Mining key architecturally relevant classes using dynamic analysis (LdNV, MdAM), pp. 566–570.
MSRMSR-2015-KouroshfarMBXMC #case study #evolution #quality
A Study on the Role of Software Architecture in the Evolution and Quality of Software (EK, MM, HB, LX, SM, YC), pp. 246–257.
MSRMSR-2015-LeBGLSM #empirical #open source
An Empirical Study of Architectural Change in Open-Source Software Systems (DML, PB, JG, DL, AS, NM), pp. 235–245.
MSRMSR-2015-MirakhorliC #debugging
Modifications, Tweaks, and Bug Fixes in Architectural Tactics (MM, JCH), pp. 377–380.
MSRMSR-2015-WermelingerY #dataset #evolution
An Architectural Evolution Dataset (MW, YY), pp. 502–505.
SANERSANER-2015-Mirakhorli #how #question #re-engineering #what #why
Software architecture reconstruction: Why? What? How? (MM), p. 595.
FMFM-2015-BringerCML #design #privacy #reasoning
Privacy by Design in Practice: Reasoning about Privacy Properties of Biometric System Architectures (JB, HC, DLM, RL), pp. 90–107.
SFMSFM-2015-Alglave #modelling
Modeling of Architectures (JA), pp. 97–145.
AIIDEAIIDE-2015-ChurchillB #game studies #robust #scalability
Hierarchical Portfolio Search: Prismata's Robust AI Architecture for Games with Large Search Spaces (DC, MB), pp. 16–22.
CoGCIG-2015-ChauvinLDN #process
Making sense of emergent narratives: An architecture supporting player-triggered narrative processes (SC, GL, JYD, SN), pp. 91–98.
CHICHI-2015-SchwarzMH #feedback #generative #interactive #probability #user interface
An Architecture for Generating Interactive Feedback in Probabilistic User Interfaces (JS, JM, SEH), pp. 2545–2554.
HCIDUXU-IXD-2015-Alomran #web
Building Information Architecture Criteria for Assessing and Evaluating Universities’ Web Portals (HIA), pp. 131–141.
HCIDUXU-IXD-2015-EndaraL #design #interface #mobile
Designing an Interface Agent-Based Architecture for Creating a Mobile System of Medical Care (AEE, CJPdL), pp. 606–615.
HCIDUXU-IXD-2015-KoshiyamaPR #analysis #repository #usability
Analysis of Usability and Information Architecture of the UFRN Institutional Repository (DK, ALSdP, JGSR), pp. 197–207.
HCIHCI-UC-2015-FaliagkaLRS #usability
Usability and Aesthetics: The Case of Architectural Websites (EF, EL, MR, SS), pp. 54–64.
HCIHIMI-IKD-2015-PiconeP #synthesis
A New Information Architecture: A Synthesis of Structure, Flow, and Dialectic (RARP, BP), pp. 320–331.
HCILCT-2015-VallsRF #design #education #game studies #roadmap
E-Learning and Serious Games — New Trends in Architectural and Urban Design Education (FV, ER, DF), pp. 632–643.
ICEISICEIS-v2-2015-DuarteOB #approach
Smart Cities — An Architectural Approach (AD, CO, JB), pp. 563–573.
ICEISICEIS-v2-2015-KhlifKKD #approach #multi #uml
A UML-based Approach for Multi-scale Software Architectures (IK, MHK, AHK, KD), pp. 374–381.
ICEISICEIS-v2-2015-NetoKCBM #android #case study #design
Unveiling the Architecture and Design of Android Applications — An Exploratory Study (ECN, UK, RC, RB, LM), pp. 201–211.
ICEISICEIS-v2-2015-SmirnovP #hybrid #network #peer-to-peer #privacy #recommendation
Privacy-preserving Hybrid Peer-to-Peer Recommendation System Architecture — Locality-Sensitive Hashing in Structured Overlay Network (AVS, AP), pp. 532–542.
ICEISICEIS-v3-2015-BhatRM #enterprise #evolution #metric #tool support
Tool Support for Analyzing the Evolution of Enterprise Architecture Metrics (MB, TR, FM), pp. 154–161.
ICEISICEIS-v3-2015-CruzV #enterprise #towards
Towards a Reference Enterprise Application Architecture for the Customer Relationship Management Domain (AC, AV), pp. 185–195.
ICEISICEIS-v3-2015-GeorgeF #component #enterprise
Enterprise Architecture Components for Cloud Service Consumers (EG, GF), pp. 360–365.
ICEISICEIS-v3-2015-NetoH #composition #lifecycle #web #web service
e-Business Architecture for Web Service Composition based on e-Contract Lifecycle (JBN, CH), pp. 276–283.
ICEISICEIS-v3-2015-PonsardM #case study #enterprise #lessons learnt
Driving the Adoption of Enterprise Architecture Inside Small Companies — Lessons Learnt from a Long Term Case Study (CP, AM), pp. 334–339.
ICEISICEIS-v3-2015-SilvaMLFNS #experience #health #information management #modelling #using
An Experience of using SoaML for Modeling a Service-Oriented Architecture for Health Information Systems (FGS, JSSdM, JdSL, JMSF, RPCdN, MSS), pp. 322–327.
ICEISICEIS-v3-2015-Syynimaa #enterprise #modelling
Modelling the Resistance of Enterprise Architecture Adoption — Linking Strategic Level of Enterprise Architecture to Organisational Changes and Change Resistance (NS), pp. 143–153.
ICEISICEIS-v3-2015-WissotzkiTS #enterprise #overview
A Survey on Enterprise Architecture Management in Small and Medium Enterprises (MW, FT, AS), pp. 213–220.
ICMLICML-2015-JozefowiczZS #empirical #network
An Empirical Exploration of Recurrent Network Architectures (RJ, WZ, IS), pp. 2342–2350.
KDDKDD-2015-ChangHTQAH #network
Heterogeneous Network Embedding via Deep Architectures (SC, WH, JT, GJQ, CCA, TSH), pp. 119–128.
KDDKDD-2015-Schleier-Smith #agile #machine learning #realtime
An Architecture for Agile Machine Learning in Real-Time Applications (JSS), pp. 2059–2068.
KDDKDD-2015-VeeriahDQ #learning #predict
Deep Learning Architecture with Dynamically Programmed Layers for Brain Connectome Prediction (VV, RD, GJQ), pp. 1205–1214.
SEKESEKE-2015-AdjoyanS #product line
An Architecture Description Language for Dynamic Service-Oriented Product Lines (SA, AS), pp. 231–236.
SEKESEKE-2015-AdornesGLF #distributed #domain-specific language #memory management #pipes and filters
A Unified MapReduce Domain-Specific Language for Distributed and Shared Memory Architectures (DA, DG, CL, LGF), pp. 619–624.
SEKESEKE-2015-CheP #design #development #evaluation #paradigm
An Evaluation Study of Architectural Design Decision Paradigms in Global Software Development (MC, DEP), pp. 158–163.
SEKESEKE-2015-DingLTV #communication #empirical
Causes of Architecture Changes: An Empirical Study through the Communication in OSS Mailing Lists (WD, PL, AT, HvV), pp. 403–408.
SEKESEKE-2015-FariasGSOV #composition #towards
Toward an Architecture for Model Composition Techniques (KF, LG, MS, TCO, MV), pp. 656–659.
SEKESEKE-2015-LaserRDOZ #case study #evolution #experience #product line
Architectural Evolution of a Software Product Line: an experience report (ML, EMR, ARPD, FMdO, AFZ), pp. 217–222.
SEKESEKE-2015-LiuL
An Exploration of System Architecture on Integrating Building Management System in High-Rise Building (ZL, YL), pp. 342–345.
SEKESEKE-2015-MokniHUVZ #component #evolution #multi
An evolution management model for multi-level component-based software architectures (AM, MH, CU, SV, HYZ), pp. 674–679.
SEKESEKE-2015-RamosCRSAP #analysis #recommendation
Recommendation in the Digital TV Domain: an Architecture based on Textual Description Analysis (FBAR, AAMC, RRdS, GS, HOdA, AP), pp. 99–104.
SEKESEKE-2015-RibeiroFVOVF #named #reuse #semantics
APRImora: A Semantic Architecture for Patterns Reuse (AAdAR, JLF, LFdMV, AdPO, RMMBV, EJdSF), pp. 465–470.
SPLCSPLC-2015-FederleFCV #design #named #product line #search-based
OPLA-tool: a support tool for search-based product line architecture design (ÉLF, TdNF, TEC, SRV), pp. 370–373.
SPLCSPLC-2015-McGeeM #composition #cyber-physical
Composition of proof-carrying architectures for cyber-physical systems (ETM, JDM), pp. 419–426.
SPLCSPLC-2015-SmileySD #evolution #industrial #product line
Evolving an industrial analytics product line architecture (KS, WS, AD), pp. 263–272.
SPLCSPLC-2015-TraskR #modelling #product line
Leveraging model driven engineering in software product line architectures (BT, AR), p. 392.
ECOOPECOOP-2015-DongolDGS #concurrent #correctness #manycore
Defining Correctness Conditions for Concurrent Objects in Multicore Architectures (BD, JD, LG, GS), pp. 470–494.
AdaEuropeAdaEurope-2015-NelissenPP #monitoring #novel #performance #runtime
A Novel Run-Time Monitoring Architecture for Safe and Efficient Inline Monitoring (GN, DP, LMP), pp. 66–82.
ASEASE-2015-Salama #adaptation #self
Stability of Self-Adaptive Software Architectures (MS), pp. 886–889.
ASEASE-2015-WangD #behaviour #message passing #using
A Message-Passing Architecture without Public Ids Using Send-to-Behavior (ESSW, ZD), pp. 902–905.
ESEC-FSEESEC-FSE-2015-KoskiM #lessons learnt #quality #requirements
Requirements, architecture, and quality in a mission critical system: 12 lessons learned (AK, TM), pp. 1018–1021.
ESEC-FSEESEC-FSE-2015-NasrBAFBSD #matrix #named
MatrixMiner: a red pill to architect informal product descriptions in the matrix (SBN, GB, MA, JBFF, BB, NS, JMD), pp. 982–985.
ESEC-FSEESEC-FSE-2015-Xiao
Quantifying architectural debts (LX), pp. 1030–1033.
ICSEICSE-v1-2015-WatermanNA #agile #how
How Much Up-Front? A Grounded theory of Agile Architecture (MW, JN, GA), pp. 347–357.
ICSEICSE-v2-2015-Assuncao #migration #product line #search-based
Search-Based Migration of Model Variants to Software Product Line Architectures (WKGA), pp. 895–898.
ICSEICSE-v2-2015-Caracciolo #approach #automation #constraints #testing
A Unified Approach to Automatic Testing of Architectural Constraints (AC), pp. 871–874.
ICSEICSE-v2-2015-Cavalcante #development #on the
On the Architecture-Driven Development of Software-Intensive Systems-of-Systems (EC), pp. 899–902.
ICSEICSE-v2-2015-GoldsteinS #automation #validation
Automatic and Continuous Software Architecture Validation (MG, IS), pp. 59–68.
ICSEICSE-v2-2015-Hachem #analysis #data access #modelling #towards
Towards Model Driven Architecture and Analysis of System of Systems Access Control (JEH), pp. 867–870.
ICSEICSE-v2-2015-KazmanCMFXHFS #case study #technical debt
A Case Study in Locating the Architectural Roots of Technical Debt (RK, YC, RM, QF, LX, SH, VF, AS), pp. 179–188.
ICSEICSE-v2-2015-LutellierCGTRMK #dependence #using
Comparing Software Architecture Recovery Techniques Using Accurate Dependencies (TL, DC, JG, LT, DR, NM, RK), pp. 69–78.
ICSEICSE-v2-2015-RupakhetiC #case study #education #experience #student
Teaching Software Architecture to Undergraduate Students: An Experience Report (CRR, SVC), pp. 445–454.
ICSEICSE-v2-2015-SchroederH0HLM #case study #design #evaluation #industrial #multi #product line #self
Design and Evaluation of a Customizable Multi-Domain Reference Architecture on Top of Product Lines of Self-Driving Heavy Vehicles — An Industrial Case Study (JS, DH, CB, CJH, LL, AM), pp. 189–198.
ICSEICSE-v2-2015-SongENCFC #adaptation #on the
On Architectural Diversity of Dynamic Adaptive Systems (HS, AE, VN, FC, FF, SC), pp. 595–598.
SACSAC-2015-Ahn #object-oriented #re-engineering #runtime
Reconstruction of runtime software architecture for object-oriented systems (HA), pp. 1668–1669.
SACSAC-2015-BassoMJV #design #evaluation #privacy #requirements #web
Requirements, design and evaluation of a privacy reference architecture for web applications and services (TB, RM, MJ, MV), pp. 1425–1432.
SACSAC-2015-CamaraGS0 #adaptation #game studies #model checking #probability #self
Optimal planning for architecture-based self-adaptation via model checking of stochastic games (JC, DG, BRS, AP), pp. 428–435.
SACSAC-2015-ConstantinouS #evolution #metric #reuse
Architectural stability and evolution measurement for software reuse (EC, IS), pp. 1580–1585.
SACSAC-2015-DiasGKT #3d #adaptation #clustering #collaboration
A dynamic-adaptive architecture for 3d collaborative virtual environments based on graphic clusters (DRCD, MdPG, TWK, LCT), pp. 480–487.
SACSAC-2015-DiazCMR #model checking #verification #web #web service
Model-checking verification of publish-subscribe architectures in web service contexts (GD, MEC, HM, VVR), pp. 1688–1695.
SACSAC-2015-GassaraRJ #approach #deployment #modelling #multi
A multi-scale modeling approach for software architecture deployment (AG, IBR, MJ), pp. 1405–1410.
SACSAC-2015-GoncalvesON
A meta-process to construct software architectures for system of systems (MBG, FO, EYN), pp. 1411–1416.
SACSAC-2015-GuessiMAON #named #ontology
OntolAD: a formal ontology for architectural descriptions (MG, DAM, GA, FO, EYN), pp. 1417–1424.
SACSAC-2015-GuessiNBFON #overview
A systematic literature review on the description of software architectures for systems of systems (MG, VVGN, TB, KRF, FO, EYN), pp. 1433–1440.
SACSAC-2015-JoshiSIY #behaviour #design #embedded #functional #modelling
Mapping functional behavior onto architectural model in a model driven embedded system design (PJ, SKS, JPT, HY), pp. 1624–1630.
SACSAC-2015-Khenfri #approach #optimisation #synthesis
A holistic optimization approach for the synthesis of AUTOSAR E/E architecture (FK), pp. 1960–1961.
SACSAC-2015-KhlifKKD #approach #modelling #multi #towards
Towards a multi-scale modeling approach for software architectures (IK, MHK, AHK, KD), pp. 1468–1470.
SACSAC-2015-LeeKKE #algorithm #hybrid #memory management #named
M-CLOCK: migration-optimized page replacement algorithm for hybrid DRAM and PCM memory architecture (ML, DK, JK, YIE), pp. 2001–2006.
SACSAC-2015-SilvaBNCS #design #quality
A decision-making tool to support architectural designs based on quality attributes (ICLS, PHSB, BFdSN, EC, AAS), pp. 1457–1463.
SACSAC-2015-TizzeiABC #case study #product line #tool support #using
Architecting cloud tools using software product line techniques: an exploratory study (LPT, LGA, MdB, RFGC), pp. 1441–1448.
SACSAC-2015-VianaTVAGA #as a service #platform
A service-oriented architecture for billing resources in IaaS cloud platforms (NPV, FT, RV, RMCA, VCG, REA), pp. 1719–1721.
ASPLOSASPLOS-2015-AgrawalDPSF
Architectural Support for Dynamic Linking (VA, AD, TP, YS, MF), pp. 691–702.
ASPLOSASPLOS-2015-ChisnallRWWVMRD #automaton #c
Beyond the PDP-11: Architectural Support for a Memory-Safe C Abstract Machine (DC, CR, RNMW, JW, MV, SWM, MR, BD, PGN), pp. 117–130.
ASPLOSASPLOS-2015-DautenhahnKDCA #kernel #operating system
Nested Kernel: An Operating System Architecture for Intra-Kernel Privilege Separation (ND, TK, WD, JC, VSA), pp. 191–206.
ASPLOSASPLOS-2015-DhawanHRVCSKPD #metadata
Architectural Support for Software-Defined Metadata Processing (UD, CH, RR, NV, SC, JMS, TFKJ, BCP, AD), pp. 487–502.
ASPLOSASPLOS-2015-Lee #cyber-physical
Architectural Support for Cyber-Physical Systems (EAL), p. 1.
ASPLOSASPLOS-2015-MaSSLYHXYCWZB #on-demand #programmable
Supporting Differentiated Services in Computers via Programmable Architecture for Resourcing-on-Demand (PARD) (JM, XS, NS, YL, ZY, BH, TX, ZY, YC, HW, LZ, YB), pp. 131–143.
CASECASE-2015-AndersenDBH #flexibility #performance #reuse
An architecture for efficient reuse in flexible production scenarios (RHA, LD, ABB, JH), pp. 151–157.
CASECASE-2015-LiYTC #fault
Extracting relevant features for diagnosing machine tool faults in cloud architecture (YYL, HCY, HT, FTC), pp. 1434–1439.
CASECASE-2015-NakhaeiniaPHK #hybrid #mobile #navigation
A hybrid control architecture for autonomous mobile robot navigation in unknown dynamic environment (DN, PP, TSH, BK), pp. 1274–1281.
CGOCGO-2015-HasabnisQS #code generation #correctness #specification
Checking correctness of code generator architecture specifications (NH, RQ, RS), pp. 167–178.
CGOCGO-2015-KimHSLH #concurrent #cpu #modelling #programming #scheduling #thread
Locality-centric thread scheduling for bulk-synchronous programming models on CPU architectures (HSK, IEH, JAS, SSL, WmWH), pp. 257–268.
DACDAC-2015-BalajiFDGA #abstraction #cyber-physical #modelling
Models, abstractions, and architectures: the missing links in cyber-physical systems (BB, MAAF, NDD, RKG, YA), p. 6.
DACDAC-2015-BokhariJSHP #manycore #named
SuperNet: multimode interconnect architecture for manycore chips (HB, HJ, MS, JH, SP), p. 6.
DACDAC-2015-ChenC
Routing-architecture-aware analytical placement for heterogeneous FPGAs (SYC, YWC), p. 6.
DACDAC-2015-CongGHRY #network
On-chip interconnection network for accelerator-rich architectures (JC, MG, YH, GR, BY), p. 6.
DACDAC-2015-GrafRGTP #component #design #platform #robust
Robust design of E/E architecture component platforms (SG, SR, MG, JT, DP), p. 6.
DACDAC-2015-MundhenkSLFC #analysis #model checking #probability #security #using
Security analysis of automotive architectures using probabilistic model checking (PM, SS, ML, SAF, SC), p. 6.
DACDAC-2015-Peeters #security
SoC security architecture: current practices and emerging needs (EP), p. 6.
DACDAC-2015-PengKPPJCL #3d #delivery #design #policy
Design, packaging, and architectural policy co-optimization for DC power integrity in 3D DRAM (YP, BWK, YSP, KIP, SJJ, JSC, SKL), p. 6.
DACDAC-2015-PolianF #automation #challenge #design #quantum #scalability
Design automation challenges for scalable quantum architectures (IP, AGF), p. 6.
DACDAC-2015-RoloffSHT #parallel #simulation
Execution-driven parallel simulation of PGAS applications on heterogeneous tiled architectures (SR, DS, FH, JT), p. 6.
DACDAC-2015-WangJSX #adaptation #human-computer #interface
Adaptive compressed sensing architecture in wireless brain-computer interface (AW, ZJ, CS, WX), p. 6.
DACDAC-2015-WangLZYW #configuration management #control flow
Acceleration of control flows on reconfigurable architecture with a composite method (JW, LL, JZ, SY, SW), p. 6.
DACDAC-2015-WolfF #continuation #question #what
What don’t we know about CPS architectures? (MW, EF), p. 4.
DATEDATE-2015-AhsanK #optimisation #quantum #using
Optimization of quantum computer architecture using a resource-performance simulator (MA, JK), pp. 1108–1113.
DATEDATE-2015-AntoniadisKEBS #memory management #on the #optimisation #statistics
On the statistical memory architecture exploration and optimization (CA, GK, NEE, APB, GIS), pp. 543–548.
DATEDATE-2015-BajajNMS #cyber-physical #effectiveness #reliability
Optimized selection of reliable and cost-effective cyber-physical system architectures (NB, PN, MM, ALSV), pp. 561–566.
DATEDATE-2015-DinizSDBH #hardware #performance #standard #video
A deblocking filter hardware architecture for the high efficiency video coding standard (CMD, MS, FVD, SB, JH), pp. 1509–1514.
DATEDATE-2015-HamdiouiXNTBCJC #data-driven #in memory
Memristor based computation-in-memory architecture for data-intensive applications (SH, LX, HADN, MT, KB, HC, HJ, FC, DW, LE, JvL), pp. 1718–1725.
DATEDATE-2015-HanyuSOMNM #in memory #paradigm #power management #reliability #towards
Spintronics-based nonvolatile logic-in-memory architecture towards an ultra-low-power and highly reliable VLSI computing paradigm (TH, DS, NO, SM, MN, AM), pp. 1006–1011.
DATEDATE-2015-HuangTTC
Feedback-bus oscillation ring: a general architecture for delay characterization and test of interconnects (SYH, MTT, KHHT, WTC), pp. 924–927.
DATEDATE-2015-Ibing #execution #symbolic computation
Architecture description language based retargetable symbolic execution (AI), pp. 241–246.
DATEDATE-2015-JoostenS #automation #communication #design #modelling
Automatic extraction of micro-architectural models of communication fabrics from register transfer level designs (SJCJ, JS), pp. 1413–1418.
DATEDATE-2015-KaneYHSS #interface #realtime
A neural machine interface architecture for real-time artificial lower limb control (JK, QY, RH, WS, MS), pp. 633–636.
DATEDATE-2015-LocatelliVMFVKK #energy
Spintronic devices as key elements for energy-efficient neuroinspired architectures (NL, AFV, AM, JSF, DV, JVK, JOK, WZ, JG, DQ), pp. 994–999.
DATEDATE-2015-LoCH #clustering #fault
Architecture of ring-based redundant TSV for clustered faults (WHL, KC, TH), pp. 848–853.
DATEDATE-2015-MajumderLBP #analysis #manycore #probability
NoC-enabled multicore architectures for stochastic analysis of biomolecular reactions (TM, XL, PB, PP), pp. 1102–1107.
DATEDATE-2015-MajumderPK #biology #manycore
On-chip network-enabled many-core architectures for computational biology applications (TM, PPP, AK), pp. 259–264.
DATEDATE-2015-MavropoulosKN #configuration management
A defect-aware reconfigurable cache architecture for low-vccmin DVFS-enabled systems (MM, GK, DN), pp. 417–422.
DATEDATE-2015-MineoRPACM #energy #performance #self
A closed loop transmitting power self-calibration scheme for energy efficient WiNoC architectures (AM, MSR, MP, GA, VC, MNM), pp. 513–518.
DATEDATE-2015-PajouhiFR #co-evolution #design #reliability
Device/circuit/architecture co-design of reliable STT-MRAM (ZP, XF, KR), pp. 1437–1442.
DATEDATE-2015-RawatS #concurrent #hybrid #manycore #memory management #thread
Enabling multi-threaded applications on hybrid shared memory manycore architectures (TR, AS), pp. 742–747.
DATEDATE-2015-ReehmanCCS #approach #hardware #memory management #parallel
In-place memory mapping approach for optimized parallel hardware interleaver architectures (SUR, CC, PC, AS), pp. 896–899.
DATEDATE-2015-RosenMH #implementation #multi #reliability
Semiautomatic implementation of a bioinspired reliable analog task distribution architecture for multiple analog cores (JvR, MM, LH), pp. 912–915.
DATEDATE-2015-RustLP #approximate
QR-decomposition architecture based on two-variable numeric function approximation (JR, FL, SP), pp. 892–895.
DATEDATE-2015-SchaffnerGSB #image #linear
DRAM or no-DRAM?: exploring linear solver architectures for image domain warping in 28 nm CMOS (MS, FKG, AS, LB), pp. 707–712.
DATEDATE-2015-ShutoYS #case study #comparative #using
Comparative study of power-gating architectures for nonvolatile FinFET-SRAM using spintronics-based retention technology (YS, SY, SS), pp. 866–871.
DATEDATE-2015-TuYOLW #configuration management #hardware #named
RNA: a reconfigurable architecture for hardware neural acceleration (FT, SY, PO, LL, SW), pp. 695–700.
DATEDATE-2015-WeiDC #memory management #multi #scalability
A scalable and high-density FPGA architecture with multi-level phase change memory (CW, AD, DC), pp. 1365–1370.
DATEDATE-2015-YaoWGMCZ #manycore #named
SelectDirectory: a selective directory for cache coherence in many-core architectures (YY, GW, ZG, TM, WC, NZ), pp. 175–180.
HPCAHPCA-2015-ChandramoorthyT
Exploring architectural heterogeneity in intelligent vision systems (NC, GT, KMI, AP, SA, SAH, MC, JS, VN, LB), pp. 1–12.
HPCAHPCA-2015-FarahaniAMK #memory management #named #standard
NDA: Near-DRAM acceleration architecture leveraging commodity DRAM devices and standard memory modules (AFF, JHA, KM, NSK), pp. 283–295.
HPCAHPCA-2015-HayesPUCV #algorithm #novel #sorting
VSR sort: A novel vectorised sorting algorithm & architecture extensions for future microprocessors (TH, OP, OSÜ, AC, MV), pp. 26–38.
HPCAHPCA-2015-LengZR #gpu
GPU voltage noise: Characterization and hierarchical smoothing of spatial and temporal voltage noise interference in GPU architectures (JL, YZ, VJR), pp. 161–173.
HPCAHPCA-2015-MaZLSLLS0N #energy
Architecture exploration for ambient energy harvesting nonvolatile processors (KM, YZ, SL, KS, XL, YL, JS, YX, VN), pp. 526–537.
HPCAHPCA-2015-MeswaniBRSIL #approach #memory management
Heterogeneous memory architectures: A HW/SW approach for mixing die-stacked and off-package memories (MRM, SB, DR, JS, MI, GHL), pp. 126–136.
HPCAHPCA-2015-NeuwirthFNB #communication #scalability
Scalable communication architecture for network-attached accelerators (SN, DF, MN, UB), pp. 627–638.
HPCAHPCA-2015-SonLSKKA #named
CiDRA: A cache-inspired DRAM resilience architecture (YHS, SL, OS, SK, NSK, JHA), pp. 502–513.
HPCAHPCA-2015-WangM #approach #manycore #named #resource management #scalability
XChange: A market-based approach to scalable dynamic multi-resource allocation in multicore architectures (XW, JFM), pp. 113–125.
HPCAHPCA-2015-WangPBAK #alloy #memory management #named
Alloy: Parallel-serial memory channel architecture for single-chip heterogeneous processor systems (HW, CJP, GB, JHA, NSK), pp. 296–308.
HPCAHPCA-2015-XiJBWB #fault
Quantifying sources of error in McPAT and potential impacts on architectural studies (SLX, HMJ, PB, GYW, DMB), pp. 577–589.
HPCAHPCA-2015-XuNMBZY0 #challenge #memory management
Overcoming the challenges of crossbar resistive memory architectures (CX, DN, NM, RB, TZ, SY, YX), pp. 476–488.
LCTESLCTES-2015-BairdGSWU #optimisation #pipes and filters
Optimizing Transfers of Control in the Static Pipeline Architecture (RB, PG, MS, DBW, GRU), p. 10.
LCTESLCTES-2015-WoitheK #named #programming
TrilobiteG: A programming architecture for autonomous underwater vehicles (HCW, UK), p. 10.
PDPPDP-2015-BravoSW #algorithm #implementation #performance
Efficient Implementation of a Fast Viewshed Algorithm on SIMD Architectures (JCB, TS, JW), pp. 199–202.
PDPPDP-2015-CheshmiMVTT #clustering
A Clustered GALS NoC Architecture with Communication-Aware Mapping (KC, SM, DV, DT, JT), pp. 425–429.
PDPPDP-2015-GlantzMN #algorithm #grid #parallel #process
Algorithms for Mapping Parallel Processes onto Grid and Torus Architectures (RG, HM, AN), pp. 236–243.
PDPPDP-2015-JeongLK #streaming
A High-Performance Media Streaming Architecture Based on KVM (WYJ, YL, JSK), pp. 203–206.
CBSECBSE-2014-BliudzeSBJ
Architecture internalisation in BIP (SB, JS, MB, MJ), pp. 169–178.
CBSECBSE-2014-BuresHP #continuation #modelling #product line #runtime
Strengthening architectures of smart CPS by modeling them as runtime product-lines (TB, PH, FP), pp. 91–96.
CBSECBSE-2014-HorcasPF #injection #quality #variability
Injecting quality attributes into software architectures with the common variability language (JMH, MP, LF), pp. 35–44.
CBSECBSE-2014-NoorshamsRRKR #modelling #performance #predict #statistics
Enriching software architecture models with statistical models for performance prediction in modern storage environments (QN, RR, AR, SK, RHR), pp. 45–54.
CBSECBSE-2014-OzkayaK #component #reuse
Design-by-contract for reusable components and realizable architectures (MO, CK), pp. 129–138.
CBSECBSE-2014-SpacekDT #component #implementation #modelling #programming #prototype
A component-based meta-level architecture and prototypical implementation of a reflective component-based programming and modeling language (PS, CD, CT), pp. 13–22.
CBSECBSE-2014-SurajbaliGC #configuration management #flexibility #named
AO-OpenCom: an AO-middleware architecture supporting flexible dynamic reconfiguration (BS, PG, GC), pp. 75–84.
ECSAECSA-2014-AbukwaikTR #information management #problem
Interoperability-Related Architectural Problems and Solutions in Information Systems: A Scoping Study (HA, DT, HDR), pp. 308–323.
ECSAECSA-2014-AngelovH #towards
Towards an Improved Stakeholder Management for Software Reference Architectures (SA, RH), pp. 90–97.
ECSAECSA-2014-AnvaariZ #automation #design #development #framework
Semi-automated Design Guidance Enhancer (SADGE): A Framework for Architectural Guidance Development (MA, OZ), pp. 41–49.
ECSAECSA-2014-BennaceurI #distributed
Layered Connectors — Revisiting the Formal Basis of Architectural Connection for Complex Distributed Systems (AB, VI), pp. 283–299.
ECSAECSA-2014-CaraccioloLN #how #quality #question #requirements #validation
How Do Software Architects Specify and Validate Quality Requirements? (AC, MFL, ON), pp. 374–389.
ECSAECSA-2014-CavalcanteOB #code generation #implementation
Architecture-Based Code Generation: From π-ADL Architecture Descriptions to Implementations in the Go Language (EC, FO, TVB), pp. 130–145.
ECSAECSA-2014-ChiprianovFSP #distributed #embedded #modelling #performance #predict #realtime
Architectural Support for Model-Driven Performance Prediction of Distributed Real-Time Embedded Systems of Systems (VC, KEF, CS, GP), pp. 357–364.
ECSAECSA-2014-FreudenreichAFB #complexity #policy #using
Using Policies for Handling Complexity of Event-Driven Architectures (TF, SA, SF, APB), pp. 114–129.
ECSAECSA-2014-GerdesLR #design #evolution #legacy
Combining Architectural Design Decisions and Legacy System Evolution (SG, SL, MR), pp. 50–57.
ECSAECSA-2014-GurbuzTE #design #safety
Safety Perspective for Supporting Architectural Design of Safety-Critical Systems (HGG, BT, NPE), pp. 365–373.
ECSAECSA-2014-HeroldM #consistency #recommendation #refactoring
Recommending Refactorings to Re-establish Architectural Consistency (SH, MM), pp. 390–397.
ECSAECSA-2014-JamrozPW #adaptation #development #enterprise
Adapting Enterprise Architecture at a Software Development Company and the Resultant Benefits (KJ, DP, JW), pp. 170–185.
ECSAECSA-2014-KabbedijkPJB #comparison #multitenancy
Multi-tenant Architecture Comparison (JK, MP, SJ, SB), pp. 202–209.
ECSAECSA-2014-KriechbaumBW #development #enterprise
Service Development and Architecture Management for an Enterprise SOA (TK, GB, RW), pp. 186–201.
ECSAECSA-2014-LewisLP #overview #perspective
Architecture Strategies for Cyber-Foraging: Preliminary Results from a Systematic Literature Review (GAL, PL, GP), pp. 154–169.
ECSAECSA-2014-MachadoSBLN #named #ubiquitous
RA-Ubi: A Reference Architecture for Ubiquitous Computing (CAM, ES, TVB, JCL, EYN), pp. 98–105.
ECSAECSA-2014-MusilMB #coordination #metamodelling #social #towards #web
Towards a Coordination-Centric Architecture Metamodel for Social Web Applications (JM, AM, SB), pp. 106–113.
ECSAECSA-2014-OliveiraLFON #design #process #towards
Towards a Process to Design Architectures of Service-Oriented Robotic Systems (LBRdO, EL, KRF, FO, EYN), pp. 218–225.
ECSAECSA-2014-OzturkSSA #estimation #refactoring
Effort Estimation for Architectural Refactoring to Introduce Module Isolation (, ES, HS, BA), pp. 300–307.
ECSAECSA-2014-PlateniusBS
Integrating Service Matchers into a Service Market Architecture (MCP, SB, WS), pp. 210–217.
ECSAECSA-2014-RekhaM
Suitability of Software Architecture Decision Making Methods for Group Decisions (VSR, HM), pp. 17–32.
ECSAECSA-2014-SolimanR #interactive #modelling
Modeling the Interactions between Decisions within Software Architecture Knowledge (MS, MR), pp. 33–40.
ECSAECSA-2014-SurajbaliGC #configuration management #consistency #framework
A Consistency Framework for Dynamic Reconfiguration in AO-Middleware Architectures (BS, PG, GC), pp. 398–405.
ECSAECSA-2014-XiongFPM #as a service #cost analysis #performance #platform #scalability
Scalable Architectures for Platform-as-a-Service Clouds: Performance and Cost Analysis (HX, FF, CP, NM), pp. 226–233.
ECSAECSA-2014-XuL #co-evolution #synthesis
Co-evolving Pattern Synthesis and Class Responsibility Assignment in Architectural Synthesis (YX, PL), pp. 74–81.
QoSAQoSA-2014-BrunnertWK #enterprise #modelling #performance #using
Using architecture-level performance models as resource profiles for enterprise applications (AB, KW, HK), pp. 53–62.
QoSAQoSA-2014-CamaraCLV #adaptation #empirical #evaluation #self
Empirical resilience evaluation of an architecture-based self-adaptive software system (JC, PC, RdL, MV), pp. 63–72.
QoSAQoSA-2014-ChavarriagaNCJ #in the cloud
Architectural tactics support in cloud computing providers: the jelastic case (JC, CN, RC, VJ), pp. 13–22.
QoSAQoSA-2014-DajsurenGSWVB #formal method
Formalizing correspondence rules for automotive architecture views (YD, CMG, AS, AW, BV, MvdB), pp. 129–138.
QoSAQoSA-2014-FouquetNDBBPM #design #distributed #evolution #using
Designing and evolving distributed architecture using kevoree (FF, GN, ED, JB, OB, NP, BM), pp. 147–148.
QoSAQoSA-2014-JohnsonC #evolution #performance #smt #specification
Efficient re-resolution of SMT specifications for evolving software architectures (KJ, RC), pp. 93–102.
QoSAQoSA-2014-LiLAGA #composition #empirical #metric #technical debt
An empirical investigation of modularity metrics for indicating architectural technical debt (ZL, PL, PA, NG, AA), pp. 119–128.
QoSAQoSA-2014-OlssonTWE #consistency #evaluation #game studies
Evaluation of a static architectural conformance checking method in a line of computer games (TO, DT, AW, ME), pp. 113–118.
QoSAQoSA-2014-RaatikainenSM #approach #case study #evaluation #experience #lightweight #maturity
Architecture management and evaluation in mature products: experiences from a lightweight approach (MR, JS, TM), pp. 73–82.
WICSAWICSA-2014-AliBGKP #adaptation #estimation
Architecture Adaptation Based on Belief Inaccuracy Estimation (RAA, TB, IG, JK, FP), pp. 87–90.
WICSAWICSA-2014-AmorimAM #ecosystem #scalability
Scalability of Ecosystem Architectures (SdSA, ESdA, JDM), pp. 49–52.
WICSAWICSA-2014-BaroniMMW #modelling #semantics #wiki
Architecture Description Leveraging Model Driven Engineering and Semantic Wikis (AB, HM, IM, EW), pp. 251–254.
WICSAWICSA-2014-Braude #cumulative #development
Cumulative Software Architecture Development (EJB), pp. 163–166.
WICSAWICSA-2014-ChauhanB #as a service #development #tool support #towards
Towards a Reference Architecture to Provision Tools as a Service for Global Software Development (MAC, MAB), pp. 167–170.
WICSAWICSA-2014-ChenB #agile #comprehension #development #refactoring #towards
Towards an Evidence-Based Understanding of Emergence of Architecture through Continuous Refactoring in Agile Software Development (LC, MAB), pp. 195–204.
WICSAWICSA-2014-CostaPDM #question #rest #what
Evaluating a Representational State Transfer (REST) Architecture: What is the Impact of REST in My Architecture? (BC, PFP, FCD, PM), pp. 105–114.
WICSAWICSA-2014-DamRE #consistency #modelling #nondeterminism
Inconsistency Resolution in Merging Versions of Architectural Models (HKD, AR, AE), pp. 153–162.
WICSAWICSA-2014-DragomirLB #approach
Systematic Architectural Decision Management, A Process-Based Approach (AD, HL, TB), pp. 255–258.
WICSAWICSA-2014-FaniyiLBY #self
Architecting Self-Aware Software Systems (FF, PRL, RB, XY), pp. 91–94.
WICSAWICSA-2014-GalsterB #empirical #information management
Empirical Study of Architectural Knowledge Management Practices (MG, MAB), pp. 239–242.
WICSAWICSA-2014-HarperD #agile #data analysis
Agile Software Architecture in Advanced Data Analytics (KEH, AD), pp. 243–246.
WICSAWICSA-2014-JavedZ #comprehension #traceability
The Supportive Effect of Traceability Links in Architecture-Level Software Understanding: Two Controlled Experiments (MAJ, UZ), pp. 215–224.
WICSAWICSA-2014-KnodelN #evaluation #industrial
Software Architecture Evaluation in Practice: Retrospective on More Than 50 Architecture Evaluations in Industry (JK, MN), pp. 115–124.
WICSAWICSA-2014-ManteuffelTKGA #documentation #framework #implementation #industrial
Industrial Implementation of a Documentation Framework for Architectural Decisions (CM, DT, HK, TG, PA), pp. 225–234.
WICSAWICSA-2014-NakagawaGMFO #design #evaluation #process #representation
Consolidating a Process for the Design, Representation, and Evaluation of Reference Architectures (EYN, MG, JCM, DF, FO), pp. 143–152.
WICSAWICSA-2014-PerovichB #formal method #modelling
Model-Based Formalization of Software Architecture Knowledge (DP, MCB), pp. 235–238.
WICSAWICSA-2014-ProcacciantiLL
Green Architectural Tactics for the Cloud (GP, PL, GAL), pp. 41–44.
WICSAWICSA-2014-RekhaM #case study
A Study on Group Decision-Making in Software Architecture (VSR, HM), pp. 185–194.
WICSAWICSA-2014-SapienzaCP #clustering #multi
Architectural Decisions for HW/SW Partitioning Based on Multiple Extra-Functional Properties (GS, IC, PP), pp. 175–184.
WICSAWICSA-2014-SeeleSB #functional #modelling #web
The Functional Architecture Modeling Method Applied on Web Browsers (WS, SS, SB), pp. 171–174.
WICSAWICSA-2014-SmileyMW #adaptation #performance #product line #reuse
A Dynamic Software Product Line Architecture for Prepackaged Expert Analytics: Enabling Efficient Capture, Reuse and Adaptation of Operational Knowledge (KS, SM, PW), pp. 205–214.
WICSAWICSA-2014-TamburriLDH
Architecting in Networked Organizations (DAT, PL, CD, RH), pp. 247–250.
WICSAWICSA-2014-UusitaloRKMM #automation #lessons learnt #safety
Lessons Learned from Safety-Critical Software-Based Automation Architectures of Nuclear Power Plants (EJU, MR, MK, VM, TM), pp. 45–48.
WICSAWICSA-2014-VierhauserRGDWZ #flexibility #framework #monitoring #runtime
A Flexible Framework for Runtime Monitoring of System-of-Systems Architectures (MV, RR, PG, CD, SW, HZ), pp. 57–66.
WICSAWICSA-2014-WeinreichB #automation #consistency
Automatic Reference Architecture Conformance Checking for SOA-Based Software Systems (RW, GB), pp. 95–104.
WICSAWICSA-2014-WeitzelRS #case study #development #experience #research
Sustaining Agility through Architecture: Experiences from a Joint Research and Development Laboratory (BW, DR, MS), pp. 53–56.
HTHT-2014-ChengKWT #distributed #memory management #performance #rdf #scalability
A two-tier index architecture for fast processing large RDF data over distributed memory (LC, SK, TEW, GT), pp. 300–302.
SIGMODSIGMOD-2014-SolimanAREGSCGRPWNKB #big data #composition #named #query
Orca: a modular query optimizer architecture for big data (MAS, LA, VR, AEH, ZG, ES, GCC, CGA, FR, MP, FW, SN, KK, RB), pp. 337–348.
VLDBVLDB-2014-FloratouMO #database #named
SQL-on-Hadoop: Full Circle Back to Shared-Nothing Database Architectures (AF, UFM, ), pp. 1295–1306.
VLDBVLDB-2014-ToNP #named #sql #symmetry
SQL/AA: Executing SQL on an Asymmetric Architecture (QCT, BN, PP), pp. 1625–1628.
VLDBVLDB-2015-HeZH14 #cpu #gpu #query
In-Cache Query Co-Processing on Coupled CPU-GPU Architectures (JH, SZ, BH), pp. 329–340.
SANERCSMR-WCRE-2014-AmalfitanoFMTMFS #case study #industrial #legacy #migration #mvc #web
Migrating legacy spreadsheets-based systems to Web MVC architecture: An industrial case study (DA, ARF, VM, PT, GDM, FF, SS), pp. 387–390.
SANERCSMR-WCRE-2014-KnodelN #industrial
Mitigating the Risk of software change in practice: Retrospective on more than 50 architecture evaluations in industry (JK, MN), pp. 2–17.
SANERCSMR-WCRE-2014-ZhangPNMELWCT #embedded #experience
Experience on applying software architecture recovery to automotive embedded systems (XZ, MP, MN, BM, AE, HL, JW, DJC, MT), pp. 379–382.
ICPCICPC-2014-ShahinLL #comprehension #design
Do architectural design decisions improve the understanding of software architecture? two controlled experiments (MS, PL, ZL), pp. 3–13.
ICPCICPC-2014-ZapalowskiNN #source code
Revealing the relationship between architectural elements and source code characteristics (VZ, IN, DJN), pp. 14–25.
ICSMEICSME-2014-BouwersDV #encapsulation
Quantifying the Encapsulation of Implemented Software Architectures (EB, AvD, JV), pp. 211–220.
MSRMSR-2014-FarahTC #analysis #named #quality #scalability
OpenHub: a scalable architecture for the analysis of software quality attributes (GF, JST, DC), pp. 420–423.
IFMIFM-2014-DerrickSD #verification
Verifying Linearizability on TSO Architectures (JD, GS, BD), pp. 341–356.
SEFMSEFM-2014-AttieBBJS #framework
A General Framework for Architecture Composability (PCA, EB, SB, MJ, JS), pp. 128–143.
FDGFDG-2014-GrowGGMW #analysis #authoring #requirements #tool support
A methodology for requirements analysis of AI architecture authoring tools (AG, SEG, PG, MM, NWF).
FDGFDG-2014-ZhaoS #behaviour #scheduling #using
Virtual character behavior architecture using cyclic scheduling (RZ, DS).
HCIDHM-2014-MazzolaACRADA #education #monitoring
Integrated Architecture for Next-Generation m-Health Services (Education, Monitoring and Prevention) in Teenagers (MM, PA, GC, CR, MA, CD, GA), pp. 403–414.
HCIDUXU-TMT-2014-BystrickyB #towards #user interface
Post Media: Towards a User Interface Architecture (JB, JB), pp. 519–526.
HCIHCI-TMT-2014-Fross
Ergonomics in the Practice of Project Architect on Selected Examples (KF), pp. 77–85.
HCIHCI-TMT-2014-TiefenbacherBR #composition #evaluation #industrial #interface #using
Evaluation of Industrial Touch Interfaces Using a Modular Software Architecture (PT, FB, GR), pp. 589–600.
HCILCT-NLE-2014-IbanezN #analysis #interactive
An Interactive Installation for the Architectural Analysis of Space and Form in Historical Buildings (LAHI, VBN), pp. 43–52.
HCILCT-NLE-2014-PurgathoferL #harmful #layout
Layout Considered Harmful: On the Influence of Information Architecture on Dialogue (PP, NL), pp. 216–225.
CAiSECAiSE-2014-EngelsmanW #concept #enterprise #requirements
Understandability of Goal-Oriented Requirements Engineering Concepts for Enterprise Architects (WE, RW), pp. 105–119.
EDOCEDOC-2014-Franke #analysis #enterprise
Enterprise Architecture Analysis with Production Functions (UF), pp. 52–60.
EDOCEDOC-2014-GarciaV #abstraction #framework #implementation #process
Object-Business Process Mapping Frameworks: Abstractions, Architecture, and Implementation (RG, MTV), pp. 160–169.
EDOCEDOC-2014-VenebergISB #enterprise
Enterprise Architecture Intelligence: Combining Enterprise Architecture and Operational Data (RKMV, MEI, MvS, LB), pp. 22–31.
ICEISICEIS-v1-2014-FaheemK #algorithm #case study #framework #multi #problem
A Multiagent-based Framework for Solving Computationally Intensive Problems on Heterogeneous Architectures — Bioinformatics Algorithms as a Case Study (HMF, BKR), pp. 526–533.
ICEISICEIS-v1-2014-KannistoHPK #assessment #distributed #information management #mobile #performance #reasoning #rule-based
Distributed Knowledge Management Architecture and Rule Based Reasoning for Mobile Machine Operator Performance Assessment (PK, DH, LP, SK), pp. 440–449.
ICEISICEIS-v1-2014-PintoB #health #implementation
Architectural Key Dimensions for a Successful Electronic Health Record Implementation (EP, ACB), pp. 136–143.
ICEISICEIS-v2-2014-OliveiraG #empirical #metric #product line #validation
Empirical Validation of Product-line Architecture Extensibility Metrics (EAOJ, IMdSG), pp. 111–118.
ICEISICEIS-v3-2014-AlvesVS #analysis
Architecture Principles Compliance Analysis (JA, AV, PS), pp. 328–334.
ICEISICEIS-v3-2014-CuencaBOT
Business-IT Alignment and Service Oriented Architecture — A Proposal of a Service-Oriented Strategic Alignment Model (LC, AB, ÁOB, JJMT), pp. 490–495.
ICEISICEIS-v3-2014-GonzalezAY #enterprise #evolution
Evolving a Core Banking Enterprise Architecture — Leveraging Business Events Exploitation (BSMG, JMdÁ, JCY), pp. 181–189.
ICEISICEIS-v3-2014-NaranjoSV #analysis #enterprise #named
PRIMROSe — A Tool for Enterprise Architecture Analysis and Diagnosis (DN, MES, JV), pp. 201–213.
ICEISICEIS-v3-2014-OussenaEK #enterprise #formal method #framework #metamodelling #validation
Formalization of Validation Extension Metamodel for Enterprise Architecture Frameworks (SO, JE, PK), pp. 427–434.
ICEISICEIS-v3-2014-RamosV #agile #development #enterprise
Extreme Enterprise Architecture Planning (XEAP) — Extrapolating Agile Characteristics to the Development of Enterprise Architectures (HR, AV), pp. 376–383.
ICEISICEIS-v3-2014-RohlfsGP #comprehension #concept #enterprise
Understanding Enterprise Architecture through Bodies of Knowledge — A Conceptual Model (CLdRR, GG, FSP), pp. 249–259.
ICEISICEIS-v3-2014-SinghJIS #enterprise #modelling
Modeling Value Creation with Enterprise Architecture (PMS, HJ, MEI, MvS), pp. 343–351.
ICEISICEIS-v3-2014-WissotzkiK #concept #enterprise #evaluation
Evaluation Concept of the Enterprise Architecture Management Capability Navigator (MW, HK), pp. 319–327.
CIKMCIKM-2014-AnchuriSS #detection
Hotspot Detection in a Service-Oriented Architecture (PA, RS, SS), pp. 1749–1758.
ICPRICPR-2014-AokiFKM #algorithm #visual notation
KIZUKI Processing for Visual Inspection: A Smart Pattern Pop-Out Algorithm Based on Human Visual Architecture (KA, TF, HK, YM), pp. 2317–2322.
KEODKEOD-2014-LietoMPRF #ontology #process
A Dual Process Architecture for Ontology-based Systems (AL, AM, AP, DPR, MF), pp. 48–55.
KMISKMIS-2014-Kaczmarek #documentation #music
The Application of the IODA Document Architecture to Music Data (ALK), pp. 268–273.
KMISKMIS-2014-ToureMM #design #information management #towards
Re-Designing Knowledge Management Systems — Towards User-Centred Design Methods Integrating Information Architecture (CET, CM, JCM), pp. 298–305.
KRKR-2014-BhattST #analysis #design #experience #industrial #user interface
Computing Narratives of Cognitive User Experience for Building Design Analysis: KR for Industry Scale Computer-Aided Architecture Design (MB, CPLS, MT).
SEKESEKE-2014-AnvaariZ #design #reuse #towards
Towards Reusing Architectural Knowledge as Design Guides (MA, OZ), pp. 181–186.
SEKESEKE-2014-BakerS #aspect-oriented #implementation
Aspect-Oriented Secure Connectors for Implementation of Secure Software Architecture (CB, MS), pp. 187–192.
SEKESEKE-2014-GrieblerAF #evaluation #interface #manycore #parallel #performance #programming #usability
Performance and Usability Evaluation of a Pattern-Oriented Parallel Programming Interface for Multi-Core Architectures (DG, DA, LGF), pp. 25–30.
SEKESEKE-2014-GuessiON #approach
An Approach for Capturing and Documenting Architectural Decisions of Reference Architectures (MG, FO, EYN), pp. 162–167.
SEKESEKE-2014-LiuCB
Software Architecture Rationale Capture through Intelligent Argumentation (XFL, NC, ECB), pp. 156–161.
SEKESEKE-2014-NetoASFM #behaviour #data transformation #framework #modelling #named #using
CoMoVi: a Framework for Data Transformation in Credit Behavioral Scoring Applications Using Model Driven Architecture (RFON, PJLA, ACS, DRdCF, GRM), pp. 286–291.
SEKESEKE-2014-Parvizi-MosaedMHH #adaptation #evaluation #self #towards
Towards a Tactic-Based Evaluation of Self-Adaptive Software Architecture Availability (APM, SM, JH, AH), pp. 168–173.
SEKESEKE-2014-SilvaBCR #development #trade-off
A Tool for Trade-off Resolution on Architecture-Centered Software Development (ICLS, PHSB, EC, HR), pp. 35–38.
SEKESEKE-2014-XuL #approach #automation #synthesis #using
Automated Software Architectural Synthesis using Patterns: A Cooperative Coevolution Approach (YX, PL), pp. 174–180.
SEKESEKE-2014-YangL #agile #development #identification
Identifying and Recording Software Architectural Assumptions in Agile Development (CY, PL), pp. 308–313.
ECMFAECMFA-2014-LammelV
Interpretation of Linguistic Architecture (RL, AV), pp. 67–82.
SPLCSPLC-2014-MannionS #product line #requirements
Mapping product line requirements to a product line architecture (MM, JS), p. 362.
SPLCSPLC-2014-TraskR #modelling #product line
Leveraging model driven engineering in software product line architectures (BT, AR), pp. 360–361.
ECOOPECOOP-2014-PrincehouseCJBFS #composition #named #protocol
MiCA: A Compositional Architecture for Gossip Protocols (LP, RC, ZJ, KPB, NF, RS), pp. 644–669.
HILTHILT-2014-GacekBCSW #assurance #modelling #named
Resolute: an assurance case language for architecture models (AG, JB, DDC, KS, MWW), pp. 19–28.
LOPSTRLOPSTR-2014-GallF #semantics
A Formal Semantics for the Cognitive Architecture ACT-R (DG, TWF), pp. 74–91.
PLDIPLDI-2014-PhothilimthanaJSTCB #compilation #named #power management
Chlorophyll: synthesis-aided compiler for low-power spatial architectures (PMP, TJ, RS, NT, SC, RB), p. 42.
POPLPOPL-2014-AmorimCDDHPPPT #data flow
A verified information-flow architecture (AAdA, NC, AD, DD, CH, DP, BCP, RP, AT), pp. 165–178.
RERE-2014-Chen #requirements
From architecture to requirements: Relating requirements and architecture for better Requirements Engineering (FC), pp. 451–455.
ASEASE-2014-PruijtKWB #named #set
HUSACCT: architecture compliance checking with rich sets of module and rule types (LJP, CK, JMvdW, SB), pp. 851–854.
FSEFSE-2014-Marmsoler #formal method #towards
Towards a theory of architectural styles (DM), pp. 823–825.
FSEFSE-2014-MirakhorliFGWC #detection #monitoring #named
Archie: a tool for detecting, monitoring, and preserving architecturally significant code (MM, AF, AG, MW, JCH), pp. 739–742.
FSEFSE-2014-SchultisEL #case study #challenge #ecosystem #industrial #scalability
Architecture challenges for internal software ecosystems: a large-scale industry case study (KBS, CE, DL), pp. 542–552.
FSEFSE-2014-Xiao #debugging #detection
Detecting and preventing the architectural roots of bugs (LX), pp. 811–813.
FSEFSE-2014-XiaoCK #analysis #named #quality #tool support
Titan: a toolset that connects software architecture with quality analysis (LX, YC, RK), pp. 763–766.
ICSEICSE-2014-LetierSB #nondeterminism #requirements
Uncertainty, risk, and information value in software requirements and architecture (EL, DS, ETB), pp. 883–894.
ICSEICSE-2014-XiaoCK #design
Design rule spaces: a new form of architecture insight (LX, YC, RK), pp. 967–977.
SACSAC-2014-AndradeRMOCFR #hybrid #performance #scheduling
Efficient dynamic scheduling of heterogeneous applications in hybrid architectures (GA, GSR, DM, RSO, EC, RF, LCdR), pp. 866–871.
SACSAC-2014-AntunesBMBC #analysis #enterprise #ontology
Ontology-based enterprise architecture model analysis (GA, MB, RM, JLB, AC), pp. 1420–1422.
SACSAC-2014-FerreiraBBAG #case study #detection #effectiveness
Detecting architecturally-relevant code anomalies: a case study of effectiveness and effort (MF, EAB, IMB, RA, AG), pp. 1158–1163.
SACSAC-2014-Guimaraes #composition #distributed #fault tolerance
A fault-tolerant architecture for decentralized compositions of services in the wild (FPG), pp. 1255–1256.
SACSAC-2014-KrikavaCF #adaptation #modelling #named #self
ACTRESS: domain-specific modeling of self-adaptive software architectures (FK, PC, RBF), pp. 391–398.
SACSAC-2014-ManhaesCZ #named #predict #using
WAVE: an architecture for predicting dropout in undergraduate courses using EDM (LMBM, SMSdC, GZ), pp. 243–247.
SACSAC-2014-OhK #requirements #traceability
A hierarchical model for traceability between requirements and architecture (JO, SK), pp. 1035–1042.
SACSAC-2014-RamosV #enterprise
eXtreme enterprise architecture planning (HR, AV), pp. 1417–1419.
SACSAC-2014-SilvaPBAP #cyber-physical #modelling #testing
A model-based architecture for testing medical cyber-physical systems (LCS, MP, FMB, HOA, AP), pp. 25–30.
SACSAC-2014-TesfayHBO #communication #network
Cyber-secure communication architecture for active power distribution networks (TTT, JPH, JYLB, PO), pp. 545–552.
ASPLOSASPLOS-2014-Gehlhaar #future of #scalability
Neuromorphic processing: a new frontier in scaling computer architecture (JG), pp. 317–318.
ASPLOSASPLOS-2014-LiuSYYW #memory management #persistent
NVM duet: unified working memory and persistent store architecture (RSL, DYS, CLY, SCY, CYMW), pp. 455–470.
ASPLOSASPLOS-2014-PichaiHB #cpu #design #memory management
Architectural support for address translation on GPUs: designing memory management units for CPU/GPUs with unified address spaces (BP, LH, AB), pp. 743–758.
ASPLOSASPLOS-2014-Wood #named
Resolved: specialized architectures, languages, and system software should supplant general-purpose alternatives within a decade (DAW), pp. 653–654.
ASPLOSASPLOS-2014-WuLPKR #database #design #named
Q100: the architecture and design of a database processing unit (LW, AL, TKP, MAK, KAR), pp. 255–268.
ASPLOSASPLOS-2014-ZhouW #as a service
The sharing architecture: sub-core configurability for IaaS clouds (YZ, DW), pp. 559–574.
CASECASE-2014-EderKKR
Continuum worm-like robotic mechanism with decentral control architecture (ME, MK, AK, SR), pp. 866–871.
CASECASE-2014-LeeMXW #in the cloud #virtual machine
Open architecture of virtual machine tool for cloud computing (RSL, KJM, PX, CMW), pp. 905–909.
CGOCGO-2014-DingEO #compilation #congruence
Single Assignment Compiler, Single Assignment Architecture: Future Gated Single Assignment Form*; Static Single Assignment with Congruence Classes (SD, JE, ), p. 196.
CGOCGO-2014-XuWGLGQ #gpu #memory management #transaction
Software Transactional Memory for GPU Architectures (YX, RW, NG, TL, LG, DQ), p. 1.
DACDAC-2014-CongGGGGR
Accelerator-Rich Architectures: Opportunities and Progresses (JC, MAG, MG, BG, KG, GR), p. 6.
DACDAC-2014-CongLXZ #clustering #reuse
An Optimal Microarchitecture for Stencil Computation Acceleration Based on Non-Uniform Partitioning of Data Reuse Buffers (JC, PL, BX, PZ), p. 6.
DACDAC-2014-HameedBH #latency #novel
Reducing Latency in an SRAM/DRAM Cache Hierarchy via a Novel Tag-Cache Architecture (FH, LB, JH), p. 6.
DACDAC-2014-HenkelBZRS #dependence #multi
Multi-Layer Dependability: From Microarchitecture to Application Level (JH, LB, HZ, SR, MS), p. 6.
DACDAC-2014-MaoWZCL #memory management #using
Exploration of GPGPU Register File Architecture Using Domain-wall-shift-write based Racetrack Memory (MM, WW, YZ, YC, HHL), p. 6.
DACDAC-2014-RahimiGLCBG #collaboration #compilation #energy
Energy-Efficient GPGPU Architectures via Collaborative Compilation and Memristive Memory-Based Computing (AR, AG, MALM, KTC, LB, RKG), p. 6.
DACDAC-2014-ReimannGTCGUWEA #integration
Advanced Diagnosis: SBST and BIST Integration in Automotive E/E Architectures (FR, MG, JT, AC, LRG, DU, HJW, PE, UA), p. 9.
DACDAC-2014-SagstetterAWLSCK #framework #integration
Schedule Integration Framework for Time-Triggered Automotive Architectures (FS, SA, PW, ML, HS, SC, AK), p. 6.
DACDAC-2014-SamavatianAAS #performance
An Efficient STT-RAM Last Level Cache Architecture for GPUs (MHS, HA, MA, HSA), p. 6.
DACDAC-2014-SorinMZ #power management
Architecting Dynamic Power Management to be Formally Verifiable (DJS, OM, MZ), p. 3.
DACDAC-2014-SwaminathanLLKSN #paradigm
Steep Slope Devices: Enabling New Architectural Paradigms (KS, HL, XL, MSK, JS, VN), p. 6.
DATEDATE-2014-AbeleinCEGRGRTUW #integration
Non-intrusive integration of advanced diagnosis features in automotive E/E-architectures (UA, AC, PE, MG, FR, LRG, TR, JT, DU, HJW), pp. 1–6.
DATEDATE-2014-AhariAKT #configuration management #power management #using
A power-efficient reconfigurable architecture using PCM configuration technology (AA, HA, BK, MBT), pp. 1–6.
DATEDATE-2014-BoettcherAEGR
Advanced SIMD: Extending the reach of contemporary SIMD architectures (MB, BMAH, ME, GG, AR), pp. 1–4.
DATEDATE-2014-BortolottiBWRB #hybrid #manycore #memory management #power management #scalability
Hybrid memory architecture for voltage scaling in ultra-low power multi-core biomedical processors (DB, AB, CW, DR, LB), pp. 1–6.
DATEDATE-2014-EusseLASLS #component #embedded #flexibility
A flexible ASIP architecture for connected components labeling in embedded vision applications (JFE, RL, GA, PS, BL, TS), pp. 1–6.
DATEDATE-2014-FarbehM #fault tolerance #low cost #memory management #named
PSP-Cache: A low-cost fault-tolerant cache memory architecture (HF, SGM), pp. 1–4.
DATEDATE-2014-GiannopoulouSHT #manycore
Mapping mixed-criticality applications on multi-core architectures (GG, NS, PH, LT), pp. 1–6.
DATEDATE-2014-GuerreAL #benchmark #metric #parallel #performance
A unified methodology for a fast benchmarking of parallel architecture (AG, JTA, YL), pp. 1–4.
DATEDATE-2014-HsuCMGB #named #performance #validation
ArChiVED: Architectural checking via event digests for high performance validation (CHH, DC, RM, RG, VB), pp. 1–6.
DATEDATE-2014-ImhofW #fault tolerance
Bit-Flipping Scan — A unified architecture for fault tolerance and offline test (MEI, HJW), pp. 1–6.
DATEDATE-2014-KarkarDATMY #communication #hybrid
Hybrid wire-surface wave architecture for one-to-many communication in networks-on-chip (AK, ND, RAD, KT, TSTM, AY), pp. 1–4.
DATEDATE-2014-KhanSH #manycore #performance #power management #video
Software architecture of High Efficiency Video Coding for many-core systems with power-efficient workload balancing (MUKK, MS, JH), pp. 1–6.
DATEDATE-2014-LeeA #hybrid #novel #power management #using
A novel low power 11-bit hybrid ADC using flash and delay line architectures (HCL, JAA), pp. 1–4.
DATEDATE-2014-MembarthRHT #android #code generation #embedded
Code generation for embedded heterogeneous architectures on android (RM, OR, FH, JT), pp. 1–6.
DATEDATE-2014-NarayanaswamySLKC
Optimal dimensioning of active cell balancing architectures (SN, SS, ML, MK, SC), pp. 1–6.
DATEDATE-2014-NoursPB #evaluation #manycore #performance
A dynamic computation method for fast and accurate performance evaluation of multi-core architectures (SLN, AP, NWB), pp. 1–6.
DATEDATE-2014-PalitSHHNN #case study
Impact of steep-slope transistors on non-von Neumann architectures: CNN case study (IP, BS, AH, XSH, JN, MTN), pp. 1–6.
DATEDATE-2014-RaminiGGBFB #energy
Assessing the energy break-even point between an optical NoC architecture and an aggressive electronic baseline (LR, AG, PG, SB, HTF, DB), pp. 1–6.
DATEDATE-2014-SampaioSZBH #distributed #energy #memory management #named #performance #video
dSVM: Energy-efficient distributed Scratchpad Video Memory Architecture for the next-generation High Efficiency Video Coding (FS, MS, BZ, SB, JH), pp. 1–6.
DATEDATE-2014-SassolasSGAVBFP #design #evaluation
Early design stage thermal evaluation and mitigation: The locomotiv architectural case (TS, CS, AG, AA, PV, HB, LF, NP), pp. 1–2.
DATEDATE-2014-SeitanidisPDN #named
ElastiStore: An elastic buffer architecture for Network-on-Chip routers (IS, AP, GD, CN), pp. 1–6.
DATEDATE-2014-ShinPM #hybrid #using
Thermal management of batteries using a hybrid supercapacitor architecture (DS, MP, EM), pp. 1–6.
DATEDATE-2014-SilvanoPXS #manycore
Voltage island management in near threshold manycore architectures to mitigate dark silicon (CS, GP, SX, ISS), pp. 1–6.
DATEDATE-2014-SwaminathanKCSPSN #modelling
Modeling steep slope devices: From circuits to architectures (KS, MSK, NC, BS, RP, JS, VN), pp. 1–6.
DATEDATE-2014-Torrellas #energy #performance
Extreme-scale computer architecture: Energy efficiency from the ground up‡ (JT), pp. 1–5.
HPCAHPCA-2014-AhnYC #named #predict
DASCA: Dead Write Prediction Assisted STT-RAM Cache Architecture (JA, SY, KC), pp. 25–36.
HPCAHPCA-2014-DiTomasoKL #fault tolerance #named #power management
QORE: A fault tolerant network-on-chip architecture with power-efficient quad-function channel (QFC) buffers (DD, AKK, AL), pp. 320–331.
HPCAHPCA-2014-ElTantawyMOA #control flow #gpu #multi #performance #scalability
A scalable multi-path microarchitecture for efficient GPU control flow (AE, JWM, MO, TMA), pp. 248–259.
HPCAHPCA-2014-ElwellRAP #memory management
A Non-Inclusive Memory Permissions architecture for protection against cross-layer attacks (JE, RR, NBAG, DP), pp. 201–212.
HPCAHPCA-2014-HayengaNL #execution #named #performance
Revolver: Processor architecture for power efficient loop execution (MH, VRKN, MHL), pp. 591–602.
HPCAHPCA-2014-HeirmanCCHJE #clustering #thread
Undersubscribed threading on clustered cache architectures (WH, TEC, KVC, IH, AJ, LE), pp. 678–689.
HPCAHPCA-2014-KimLJK #gpu #memory management #named #using
GPUdmm: A high-performance and memory-oblivious GPU architecture using dynamic memory management (YK, JL, JEJ, JK), pp. 546–557.
HPCAHPCA-2014-ZhangPXSX #memory management #named
CREAM: A Concurrent-Refresh-Aware DRAM Memory architecture (TZ, MP, CX, GS, YX), pp. 368–379.
HPDCHPDC-2014-RajachandrasekarPVHWP #distributed #framework #named
MIC-Check: a distributed check pointing framework for the intel many integrated cores architecture (RR, SP, AV, KH, MWuR, DKP), pp. 121–124.
ISMMISMM-2014-ZakkakP #java #memory management #named
JDMM: a java memory model for non-cache-coherent memory architectures (FSZ, PP), pp. 83–92.
LCTESLCTES-2014-LeeLLP #performance
Improving performance of loops on DIAM-based VLIW architectures (JL, JL, JL, YP), pp. 135–144.
PDPPDP-2014-BuonoMMV #hardware #manycore #message passing #optimisation #thread #using
Optimizing Message-Passing on Multicore Architectures Using Hardware Multi-threading (DB, TDM, GM, MV), pp. 262–270.
PDPPDP-2014-LuoKZLL #comprehension
Understanding the Data Traffic of Uncore in Westmere NUMA Architecture (QL, CK, YZ, GL, CL), pp. 392–399.
PDPPDP-2014-UddinPJ #manycore #simulation #thread
Analytical-Based High-Level Simulation of the Microthreaded Many-Core Architectures (MIU, RP, CRJ), pp. 344–351.
PPoPPPPoPP-2014-Hill
21st century computer architecture (MDH), pp. 1–2.
PPoPPPPoPP-2014-LiuM #parallel #performance #source code #thread
A tool to analyze the performance of multithreaded programs on NUMA architectures (XL, JMMC), pp. 259–272.
FASEFASE-2014-LanduytJ #requirements
Modularizing Early Architectural Assumptions in Scenario-Based Requirements (DVL, WJ), pp. 170–184.
CAVCAV-2014-Voronkov #first-order #named #proving #theorem proving
AVATAR: The Architecture for First-Order Theorem Provers (AV), pp. 696–710.
CBSECBSE-2013-HeinzemannB #component #configuration management
Executing reconfigurations in hierarchical component architectures (CH, SB), pp. 3–12.
ECSAECSA-2013-AmellerGAF #overview #quality
The Role of Quality Attributes in Service-Based Systems Architecting: A Survey (DA, MG, PA, XF), pp. 200–207.
ECSAECSA-2013-AngelovTK #design
Software Reference Architectures — Exploring Their Usage and Design in Practice (SA, JJMT, RJK), pp. 17–24.
ECSAECSA-2013-AnvaariCJ #case study #enterprise #industrial
Architectural Decision-Making in Enterprises: Preliminary Findings from an Exploratory Study in Norwegian Electricity Industry (MA, RC, LJ), pp. 162–175.
ECSAECSA-2013-BuchgeherW #analysis #consistency #towards
Towards Continuous Reference Architecture Conformance Analysis (GB, RW), pp. 332–335.
ECSAECSA-2013-CavalcanteMB
Describing Cloud Applications Architectures (EC, ALM, TVB), pp. 320–323.
ECSAECSA-2013-ChoualiCM #component #interface #requirements #specification
Specifying System Architecture from SysML Requirements and Component Interfaces (SC, OC, HM), pp. 348–352.
ECSAECSA-2013-ChristensenH #automation #prototype #slicing #towards
Architectural Slicing: Towards Automatic Harvesting of Architectural Prototypes (HBC, KMH), pp. 316–319.
ECSAECSA-2013-CuestaMF #realtime #semantics #towards
Towards an Architecture for Managing Big Semantic Data in Real-Time (CEC, MAMP, JDF), pp. 45–53.
ECSAECSA-2013-DimechB #approach #consistency #development #maintenance
Maintaining Architectural Conformance during Software Development: A Practical Approach (CD, DB), pp. 208–223.
ECSAECSA-2013-EklundOL #perspective #platform
Characterising Software Platforms from an Architectural Perspective (UE, CMO, ML), pp. 344–347.
ECSAECSA-2013-GassaraRJ #deployment #graph #modelling #multi #towards
Towards a Multi-scale Modeling for Architectural Deployment Based on Bigraphs (AG, IBR, MJ), pp. 122–129.
ECSAECSA-2013-HaitzerZ #component #comprehension #design #diagrams #empirical
Controlled Experiment on the Supportive Effect of Architectural Component Diagrams for Design Understanding of Novice Architects (TH, UZ), pp. 54–71.
ECSAECSA-2013-Kuster #design #modelling #traceability #validation
Architecture-Centric Modeling of Design Decisions for Validation and Traceability (MK), pp. 184–191.
ECSAECSA-2013-LeiteOB #named
SysADL: A SysML Profile for Software Architecture Description (JCL, FO, TVB), pp. 106–113.
ECSAECSA-2013-LiZ #evaluation #industrial #towards
Toward Industry Friendly Software Architecture Evaluation (ZL, JZ), pp. 328–331.
ECSAECSA-2013-LytraTZ #component #consistency #design #modelling #reuse
Supporting Consistency between Architectural Design Decisions and Component Models through Reusable Architectural Knowledge Transformations (IL, HT, UZ), pp. 224–239.
ECSAECSA-2013-MairH #towards
Towards Extensive Software Architecture Erosion Repairs (MM, SH), pp. 299–306.
ECSAECSA-2013-Martinez-FernandezAFM
Benefits and Drawbacks of Reference Architectures (SMF, CPA, XF, HMM), pp. 307–310.
ECSAECSA-2013-NowakP
Team Situational Awareness and Architectural Decision Making with the Software Architecture Warehouse (MN, CP), pp. 146–161.
ECSAECSA-2013-PascualPF #runtime #variability
Run-Time Support to Manage Architectural Variability Specified with CVL (GGP, MP, LF), pp. 282–298.
ECSAECSA-2013-PramsohlerSB #adaptation #component #middleware #towards
Towards an Optimized Software Architecture for Component Adaptation at Middleware Level (TP, SS, UB), pp. 266–281.
ECSAECSA-2013-RostNLC #developer #documentation #overview
Software Architecture Documentation for Developers: A Survey (DR, MN, CL, CvFGC), pp. 72–88.
ECSAECSA-2013-SilvaB #automation #consistency #named
PANDArch: A Pluggable Automated Non-intrusive Dynamic Architecture Conformance Checker (LdS, DB), pp. 240–248.
ECSAECSA-2013-SilvaMCB #lightweight #product line
A Lightweight Language for Software Product Lines Architecture Description (ES, ALM, EC, TVB), pp. 114–121.
ECSAECSA-2013-TofanGA #overview
Difficulty of Architectural Decisions — A Survey with Professional Architects (DT, MG, PA), pp. 192–199.
ECSAECSA-2013-VenB #design
Making the Right Decision: Supporting Architects with Design Decision Data (JSvdV, JB), pp. 176–183.
ECSAECSA-2013-WeynsA #adaptation #overview #perspective #self
Claims and Evidence for Architecture-Based Self-adaptation: A Systematic Literature Review (DW, TA), pp. 249–265.
QoSAQoSA-2013-CaiWWW #design
Leveraging design rules to improve software architecture recovery (YC, HW, SW, LW), pp. 133–142.
QoSAQoSA-2013-KlattK #analysis #difference
Improving product copy consolidation by architecture-aware difference analysis (BK, MK), pp. 117–122.
QoSAQoSA-2013-KleinV #overview #research
A systematic review of system-of-systems architecture research (JK, HvV), pp. 13–22.
QoSAQoSA-2013-KonersmannDGR #approach #evolution #towards
Towards architecture-centric evolution of long-living systems (the ADVERT approach) (MK, ZD, MG, RHR), pp. 163–168.
QoSAQoSA-2013-TekinerdoganD #evaluation #framework
Evaluation framework for software architecture viewpoint languages (BT, ED), pp. 89–98.
QoSAQoSA-2013-TrubianiMCAG #analysis #modelling #nondeterminism #performance
Model-based performance analysis of software architectures under uncertainty (CT, IM, VC, AA, LG), pp. 69–78.
QoSAQoSA-2013-YuanMSGG #self
Architecture-based self-protecting software systems (EY, SM, BRS, DG, JG), pp. 33–42.
ICDARICDAR-2013-FleuryGLAJ #design #interactive #recognition
User-Centered Design of an Interactive Off-Line Handwritten Architectural Floor Plan Recognition (SF, AG, AL, ÉA, EJ), pp. 1073–1077.
ICDARICDAR-2013-HerasFVLS #detection
Unsupervised Wall Detector in Architectural Floor Plans (LPdlH, DFM, EV, JL, GS), pp. 1245–1249.
SIGMODSIGMOD-2013-MishneDLSL #big data #performance #query #realtime #twitter
Fast data in the era of big data: Twitter’s real-time related query suggestion architecture (GM, JD, ZL, AS, JL), pp. 1147–1158.
TPDLTPDL-2013-BuccioNS #linked data #open data #open source
An Open Source System Architecture for Digital Geolinguistic Linked Open Data (EDB, GMDN, GS), pp. 438–441.
VLDBVLDB-2013-DeBrabantPTSZ #approach #database #named
Anti-Caching: A New Approach to Database Management System Architecture (JD, AP, ST, MS, SBZ), pp. 1942–1953.
VLDBVLDB-2013-HeLH #cpu #gpu
Revisiting Co-Processing for Hash Joins on the Coupled CPU-GPU Architecture (JH, ML, BH), pp. 889–900.
VLDBVLDB-2013-ZhangHHL #cpu #gpu #named #parallel #performance #query #towards
OmniDB: Towards Portable and Efficient Query Processing on Parallel CPU/GPU Architectures (SZ, JH, BH, ML), pp. 1374–1377.
CSEETCSEET-2013-CaiKJA #bibliography #design #education
Introducing tool-supported architecture review into software design education (YC, RK, CJ, JA), pp. 70–79.
CSEETCSEET-2013-UrregoC #named
Archinotes: A tool for assisting software architecture courses (JSU, DC), pp. 80–88.
ITiCSEITiCSE-2013-BeltranGP #concept #in the cloud #using
Using CloudSim to learn cloud computing architecture/system concepts in a graduate course (MB, AG, MP), pp. 82–87.
ITiCSEITiCSE-2013-PorterGTZ #comprehension #concept #student
Evaluating student understanding of core concepts in computer architecture (LP, SG, HWT, DZ), pp. 279–284.
CSMRCSMR-2013-BergerSK #security
Extracting and Analyzing the Implemented Security Architecture of Business Applications (BJB, KS, RK), pp. 285–294.
CSMRCSMR-2013-BertranGCS #detection
Enhancing the Detection of Code Anomalies with Architecture-Sensitive Strategies (IMB, AG, CC, AvS), pp. 177–186.
CSMRCSMR-2013-BorrielloMC #android #migration #towards
Migrating Android Applications towards Service-centric Architectures with Sip2Share (AB, FM, GC), pp. 413–416.
CSMRCSMR-2013-JamshidiGAP #evolution #framework #research
A Framework for Classifying and Comparing Architecture-centric Software Evolution Research (PJ, MG, AA, CP), pp. 305–314.
ICPCICPC-2013-KobayashiKYKM #visualisation
SArF map: Visualizing software architecture from feature and layer viewpoints (KK, MK, KY, KK, AM), pp. 43–52.
ICPCICPC-2013-PruijtKB #analysis #dependence #on the
On the accuracy of Architecture Compliance Checking support Accuracy of dependency analysis and violation reporting (LP, CK, SB), pp. 172–181.
ICSMEICSM-2013-NordOSDGK #using
Variations on Using Propagation Cost to Measure Architecture Modifiability Properties (RLN, IO, RSS, JD, MAG, PK), pp. 400–403.
ICSMEICSM-2013-PruijtKB #case study #comparative #composition #semantics #tool support
Architecture Compliance Checking of Semantically Rich Modular Architectures: A Comparative Study of Tool Support (LP, CK, SB), pp. 220–229.
WCREWCRE-2013-AversanoMT
Evaluating architecture stability of software projects (LA, MM, MT), pp. 417–424.
WCREWCRE-2013-MaffortVBAH #heuristic
Heuristics for discovering architectural violations (CAM, MTV, MAdSB, NA, AH), pp. 222–231.
ICALPICALP-v2-2013-GenestGMW #game studies
Asynchronous Games over Tree Architectures (BG, HG, AM, IW), pp. 275–286.
AIIDEAIIDE-2013-LlansoGGG #automation #component #empirical #evaluation #game studies #generative
Empirical Evaluation of the Automatic Generation of a Component-Based Software Architecture for Games (DL, PPGM, MAGM, PAGC).
FDGFDG-2013-LlansoGGGE #component #game studies #learning
Tool-supported iterative learning of component-based software architecture for games (DL, MAGM, PPGM, PAGC, MSEN), pp. 376–379.
GRAPHITEGRAPHITE-2013-Majster-CederbaumS #constraints #operating system #reachability
Reachability in Cooperating Systems with Architectural Constraints is PSPACE-Complete (MEMC, NS), pp. 1–11.
CHICHI-2013-GrahamSPBD #distributed #game studies #what
Villains, architects and micro-managers: what tabula rasa teaches us about game orchestration (TCNG, IS, MP, QB, RD), pp. 705–714.
CHICHI-2013-SunLFK0 #interface #multi #performance #sketching
A multi-touch interface for fast architectural sketching and massing (QS, JL, CWF, SK, YH), pp. 247–256.
HCIDHM-HB-2013-WangTLCTL #behaviour #modelling
Cognitive Behavior Modeling of Manual Rendezvous and Docking Based on the ACT-R Cognitive Architecture (CW, YT, YL, SC, ZT, JL), pp. 143–148.
HCIDUXU-PMT-2013-ArningTZJ #elicitation #mobile #requirements
Eliciting User Requirements and Acceptance for Customizing Mobile Device System Architecture (KA, BT, MZ, EMJ), pp. 439–448.
HCIDUXU-WM-2013-Aurelio #design #simulation #visualisation
Visualizing Information Associated with Architectural Design Variations and Simulations (DA), pp. 469–477.
HCIHCI-IMT-2013-CarusoCLMRSSC #named #people #physics
My-World-in-My-Tablet: An Architecture for People with Physical Impairment (MC, FC, FL, MM, AR, FS, LS, TC), pp. 637–647.
CAiSECAiSE-2013-Eid-SabbaghW #process
Analyzing Business Process Architectures (RHES, MW), pp. 208–223.
EDOCEDOC-2013-GudenkaufJGN
A Reference Architecture for Cloud Service Offers (SG, MJ, AG, ON), pp. 227–236.
EDOCEDOC-2013-HeroldMRS #case study #consistency
Checking Conformance with Reference Architectures: A Case Study (SH, MM, AR, IS), pp. 71–80.
EDOCEDOC-2013-PlataniotisKP #design #enterprise #graph #using
Relating Decisions in Enterprise Architecture Using Decision Design Graphs (GP, SdK, HAP), pp. 139–146.
EDOCEDOC-2013-SousaMGM #approach #data type #enterprise #risk management #using
Assessing Risks and Opportunities in Enterprise Architecture Using an Extended ADT Approach (SS, DM, KG, NM), pp. 81–90.
EDOCEDOC-2013-TranZ #analysis #approach #verification
Event Actors Based Approach for Supporting Analysis and Verification of Event-Driven Architectures (HT, UZ), pp. 217–226.
EDOCEDOC-2013-VicenteGS #enterprise
The Value of ITIL in Enterprise Architecture (MV, NG, MMdS), pp. 147–152.
ICEISICEIS-J-2013-AhmadO13a #enterprise #ontology #semantics
Blueprint of a Semantic Business Process-Aware Enterprise Information Architecture: The EIAOnt Ontology (MA, MO), pp. 520–539.
ICEISICEIS-J-2013-DiefenthalerB13a #enterprise
From Gaps to Transformation Paths in Enterprise Architecture Planning (PD, BB), pp. 474–489.
ICEISICEIS-J-2013-GiordanoTSAF13a #approach #case study #enterprise #ontology #semantics
Joining Data and Maps in the Government Enterprise Architecture by a Semantic Approach: Methodology, Ontology and Case Study (DG, AT, CS, SA, AF), pp. 506–519.
ICEISICEIS-J-2013-MoraesSPP13a #health #pervasive
An Architecture for Health Information Exchange in Pervasive Healthcare Environment (JLCdM, WLdS, LFP, AFdP), pp. 385–401.
ICEISICEIS-J-2013-PintoKG13a #analysis #approach #automation #evaluation #metadata
An Automated Architectural Evaluation Approach Based on Metadata and Code Analysis (FP, UK, EMG), pp. 490–505.
ICEISICEIS-J-2013-Proper13a #enterprise
Enterprise Architecture: Informed Steering of Enterprises in Motion (HAP), pp. 16–34.
ICEISICEIS-v1-2013-Proper
Architecture-based Services Innovation (HAP), p. XI.
ICEISICEIS-v1-2013-SmirnovKTS #component
Virtual Tourist Hub for Infomobility — Service-Oriented Architecture and Major Components (AVS, AK, NT, NS), pp. 459–466.
ICEISICEIS-v2-2013-MoraesSPP #pervasive #reuse #towards
Towards a Reusable Architecture for Message Exchange in Pervasive Healthcare (JLCdM, WLdS, LFP, AFdP), pp. 391–400.
ICEISICEIS-v2-2013-PanfilenkoHEL #model transformation #recommendation
Model Transformation Recommendations for Service-Oriented Architectures (DVP, KH, BE, EL), pp. 248–256.
ICEISICEIS-v2-2013-TomasSGGA #api #approach #framework #using
Synaptic City — An Architectural Approach using an OSGI Infrastructure and GMaps API to Build a City Simulator (GHRPT, WMdS, KG, VCG, ), pp. 427–434.
ICEISICEIS-v2-2013-TomasSNGAG #overview #perspective
Smart Cities Architectures — A Systematic Review (GHRPT, WMdS, PAdMSN, VCG, , KG), pp. 410–417.
ICEISICEIS-v3-2013-AhmadO #approach #enterprise #process #semantics
A New Approach to Semantically Derive Enterprise Information Architecture from Business Process Architecture (MA, MO), pp. 363–369.
ICEISICEIS-v3-2013-BettouBL #adaptation #documentation #multi #quality
An Adaptation Architecture of Multimedia Documents for Management of the Quality of Service (FB, MB, IL), pp. 105–110.
ICEISICEIS-v3-2013-ChenHLSD #enterprise #semantics
Semantic Enterprise Architecture Management (WC, CH, ML, JS, PD), pp. 318–325.
ICEISICEIS-v3-2013-DiefenthalerB #analysis #enterprise #semantics #using #web
Gap Analysis in Enterprise Architecture using Semantic Web Technologies (PD, BB), pp. 211–220.
ICEISICEIS-v3-2013-EssienO #case study #component #enterprise #modelling #student #validation
Enterprise Architecture Models — Description of Integrated Components for Validation — A Case Study of Student Internship Programme (JE, SO), pp. 302–309.
ICEISICEIS-v3-2013-GarciaGAF #community #human-computer
HCI Architecture for Deaf Communities Cultural Inclusion and Citizenship (LSG, CG, DRA, SF), pp. 126–133.
ICEISICEIS-v3-2013-GeorgeF #enterprise
Enterprise Architecture Value Model (EG, GF), pp. 376–381.
ICEISICEIS-v3-2013-GiordanoTSAF #approach #case study #enterprise #ontology
An Ontology based Approach to Integrate Data and Maps — In the Government Enterprise Architecture: A Case Study (DG, AT, CS, SA, AF), pp. 356–362.
ICEISICEIS-v3-2013-GoeppP #enterprise #evaluation #towards
Towards an Enterprise Architecture based Strategic Alignment Model — An Evaluation of SAM based on ISO 15704 (VG, MP), pp. 370–375.
ICEISICEIS-v3-2013-GromoffKPS #approach #enterprise #flexibility
Newer Approach to Flexible Business Architecture of Modern Enterprise (AG, NK, MP, YS), pp. 326–332.
ICEISICEIS-v3-2013-KimOK #enterprise #framework #modelling
Complex Event as an Core Aspect of Enterprise Architecture — EDEMF: Event Driven Enterprise Architecture Modeling Framework (HK, SO, PK), pp. 292–301.
ICEISICEIS-v3-2013-LangermeierBB #approach #distributed #enterprise #framework #modelling #using
A Model Driven Approach for Open Distributed Systems using an Enterprise Architecture Framework (ML, AJB, BB), pp. 284–291.
ICEISICEIS-v3-2013-NassarBBB #design #security #towards
Towards Security Awareness in Designing Service-oriented Architectures (PBN, YB, FB, KB), pp. 347–355.
ICEISICEIS-v3-2013-PintoKG #automation #enterprise #evaluation #information management
Automating the Architecture Evaluation of Enterprise Information Systems (FP, UK, EMG), pp. 333–340.
ICEISICEIS-v3-2013-SunkleKR #enterprise #modelling #problem
Intentional Modeling for Problem Solving in Enterprise Architecture (SS, VK, SR), pp. 267–274.
ICEISICEIS-v3-2013-SzirbikB #network
Discovering the EIS Architecture that Supports Hub-and-Spoke Freight Transportation Networks Operating in a Cross Dock Mode (NBS, PB), pp. 388–395.
ICEISICEIS-v3-2013-Traverson #enterprise #exclamation #integration
EASI! Enterprise Architecture for Seamless Integration (BT), pp. 231–235.
ICMLICML-c1-2013-BergstraYC #optimisation
Making a Science of Model Search: Hyperparameter Optimization in Hundreds of Dimensions for Vision Architectures (JB, DY, DDC), pp. 115–123.
SEKESEKE-2013-AndradeM #adaptation #design #feedback #self
Architectural Design Spaces for Feedback Control Concerns in Self-Adaptive Systems (SSA, RJdAM), pp. 741–746.
SEKESEKE-2013-BarbosaFNM #learning #towards
Towards the Establishment of a Reference Architecture for Developing Learning Environments (EFB, MLF, EYN, JCM), pp. 350–355.
SEKESEKE-2013-BelleEDM #optimisation #problem #question
The Layered Architecture revisited: Is it an Optimization Problem? (ABB, GEB, CD, HM), pp. 344–349.
SEKESEKE-2013-CheP #design #development #paradigm
Exploring Architectural Design Decision Management Paradigms for Global Software Development (MC, DEP), pp. 8–13.
SEKESEKE-2013-SantosGGFN #embedded #evaluation
A Checklist for Evaluation of Reference Architectures of Embedded Systems (JFMS, MG, MG, DF, EYN), pp. 451–454.
SEKESEKE-2013-ShahinLL #concept #documentation #using
Recovering Software Architectural Knowledge from Documentation using Conceptual Model (MS, PL, ZL), pp. 556–561.
SEKESEKE-2013-SunSJ #maintenance #using
Using Architecture to Support the Collaborations in Software Maintenance (YS, HS, WJ), pp. 362–357.
SEKESEKE-2013-TaoLG #approach #component #configuration management #incremental #testing #using
Testing Configurable Architectures For Component-Based Software Using an Incremental Approach (CT, BL, JG), pp. 356–361.
SEKESEKE-2013-TofanGA #information management
Improving Architectural Knowledge Management in Public Sector Organizations — an Interview Study (DT, MG, PA), pp. 568–573.
SIGIRSIGIR-2013-AsadiL #effectiveness #generative #multi #performance #retrieval #trade-off
Effectiveness/efficiency tradeoffs for candidate generation in multi-stage retrieval architectures (NA, JL), pp. 997–1000.
AMTAMT-2013-AgirreES #automation #development #impact analysis #migration #modelling
Automatic Impact Analysis of Software Architecture Migration on Model Driven Software Development (JAA, LE, GS), pp. 52–61.
MODELSMoDELS-2013-Gonzalez-HuertaIA #approach #multi #validation
Defining and Validating a Multimodel Approach for Product Architecture Derivation and Improvement (JGH, EI, SA), pp. 388–404.
MODELSMoDELS-2013-SunkleKR #enterprise #modelling #ontology #using
Analyzing Enterprise Models Using Enterprise Architecture-Based Ontology (SS, VK, SR), pp. 622–638.
PLEASEPLEASE-2013-SchultisEL #ecosystem #industrial #question #towards
Moving towards industrial software ecosystems: Are our software architectures fit for the future? (KBS, CE, DL), pp. 9–12.
SPLCSPLC-2013-MannionS #product line #requirements
Aligning product line business and technical strategies: mapping product line requirements to a product line architecture (MM, JS), p. 278.
SPLCSPLC-2013-Nakagawa0M #design #process #product line #towards
Towards a process to design product line architectures based on reference architectures (EYN, MB, JCM), pp. 157–161.
SPLCSPLC-2013-Taylor #ecosystem
The role of architectural styles in successful software ecosystems (RNT), pp. 2–4.
SPLCSPLC-2013-TraskR #modelling #product line
Leveraging model driven engineering in software product line architectures (BT, AR), p. 279.
HILTHILT-2013-BolengS #concept #implementation
Service-oriented architecture (SOA) concepts and implementations (JB, RES), pp. 11–12.
HILTHILT-2013-Whalen #analysis #development #formal method #modelling #scalability #using
Up and out: scaling formal analysis using model-based development and architecture modeling (MWW), pp. 41–42.
LOPSTRLOPSTR-2013-GonzalezIS #debugging #eclipse #hybrid
A New Hybrid Debugging Architecture for Eclipse (JG, DI, JS), pp. 183–201.
PLDIPLDI-2013-NowatzkiSCSER #constraints #framework #scheduling
A general constraint-centric scheduling framework for spatial architectures (TN, MST, LDC, KS, CE, BR), pp. 495–506.
REER-BR-2013-PimentelCSSVG #adaptation #requirements
Requirements and Architectures for Adaptive Systems (JP, JC, ES, MS, JV, GG).
RERE-2013-Cousins #challenge #industrial #requirements
Starchitects and Jack-Hammers: Requirements engineering challenges and practices in the construction industry (FC), p. 2.
RERE-2013-SchneiderBB #enterprise #implementation #modelling #requirements
A tool implementation of the unified requirements modeling language as enterprise architect add-in (FS, BB, BB), pp. 334–335.
REFSQREFSQ-2013-Cleland-HuangCK #agile #approach #requirements
A Persona-Based Approach for Exploring Architecturally Significant Requirements in Agile Projects (JCH, AC, EK), pp. 18–33.
REFSQREFSQ-2013-DanevaBH #case study #experience #quality #question #requirements #what
Software Architects’ Experiences of Quality Requirements: What We Know and What We Do Not Know? (MD, LB, AH), pp. 1–17.
ASEASE-2013-BarnesPG #automation #evolution
Automated planning for software architecture evolution (JMB, AP, DG), pp. 213–223.
ASEASE-2013-GarciaIM #analysis #comparative
A comparative analysis of software architecture recovery techniques (JG, II, NM), pp. 486–496.
ASEASE-2013-Mirakhorli #implementation #visualisation
Preventing erosion of architectural tactics through their strategic implementation, preservation, and visualization (MM), pp. 762–765.
ASEASE-2013-VanciuA #constraints #using
Finding architectural flaws using constraints (RV, MAA), pp. 334–344.
ESEC-FSEESEC-FSE-2013-Dajsuren #quality
Automotive architecture description and its quality (YD), pp. 727–730.
ESEC-FSEESEC-FSE-2013-Vogel #towards
Towards open architecture system (BV), pp. 731–734.
ICSEICSE-2013-AlmorsyGI #analysis #automation #security #using
Automated software architecture security risk analysis using formalized signatures (MA, JG, ASI), pp. 662–671.
ICSEICSE-2013-BuckleyMRA #consistency #named
JITTAC: a just-in-time tool for architectural consistency (JB, SM, JR, NA), pp. 1291–1294.
ICSEICSE-2013-Che #approach #design #evolution
An approach to documenting and evolving architectural design decisions (MC), pp. 1373–1376.
ICSEICSE-2013-DornT #adaptation
Coupling software architecture and human architecture for collaboration-aware system adaptation (CD, RNT), pp. 53–62.
ICSEICSE-2013-EsfahaniMR #named #nondeterminism
GuideArch: guiding the exploration of architectural solution space under uncertainty (NE, SM, KR), pp. 43–52.
ICSEICSE-2013-GarciaKMM
Obtaining ground-truth software architectures (JG, IK, CM, NM), pp. 901–910.
ICSEICSE-2013-SchwankeXC #analysis #quality
Measuring architecture quality by structure plus history analysis (RWS, LX, YC), pp. 891–900.
SACSAC-2013-BusseSDMR #manycore #scheduling
Analyzing resource interdependencies in multi-core architectures to improve scheduling decisions (AB, JHS, MD, GM, JR), pp. 1595–1602.
SACSAC-2013-CouceiroPR
A collective robotic architecture in search and rescue scenarios (MSC, DP, RPR), pp. 64–69.
SACSAC-2013-DermevalCSPBBETS #design #metamodelling #on the #requirements #using
On the use of metamodeling for relating requirements and architectural design decisions (DD, JC, CTLLS, JP, IIB, PHdSB, EE, TT, APdS), pp. 1278–1283.
SACSAC-2013-FanYZ #framework #modelling #scalability
A generic framework for deriving architecture modeling methods for large-scale software-intensive systems (ZF, TY, LZ), pp. 1750–1757.
SACSAC-2013-GaoB
User centric complex event processing based on service oriented architectures (FG, SB), pp. 1933–1934.
SACSAC-2013-JungWKO #automation #interactive #using
Heterogeneous device interaction using an IPv6 enabled service-oriented architecture for building automation systems (MJ, JW, WK, AO), pp. 1939–1941.
SACSAC-2013-MezghaniHRD #configuration management #modelling
A model driven methodology for enabling autonomic reconfiguration of service oriented architecture (EM, RBH, IBR, KD), pp. 1772–1773.
SACSAC-2013-SilvaATADG #overview
Smart cities software architectures: a survey (WMdS, , GHRPT, RAA, KLD, VCG), pp. 1722–1727.
SACSAC-2013-SinghR #algorithm #optimisation #predict
Meta-learning based architectural and algorithmic optimization for achieving green-ness in predictive workload analytics (NS, SR), pp. 1169–1176.
SACSAC-2013-TranLZ #metadata #security
Derivation of domain-specific architectural knowledge views from governance and security compliance metadata (HT, IL, UZ), pp. 1728–1733.
ASPLOSASPLOS-2013-JooybarFODA #gpu #named
GPUDet: a deterministic GPU architecture (HJ, WWLF, MO, JD, TMA), pp. 1–12.
ASPLOSASPLOS-2013-MittalBBS #embedded #performance #platform
Efficient virtualization on embedded power architecture® platforms (AM, DB, SB, VS), pp. 445–458.
ASPLOSASPLOS-2013-PhothilimthanaARA #performance
Portable performance on heterogeneous architectures (PMP, JA, JRK, SPA), pp. 431–444.
CASECASE-2013-CuiVM #adaptation #embedded #named #self
ReFrESH: A self-adaptive architecture for autonomous embedded systems (YC, RMV, MHM), pp. 850–855.
CASECASE-2013-VenatorLN #hardware #industrial #mobile
Hardware and software architecture of ABBY: An industrial mobile manipulator (EV, GSL, WSN), pp. 324–329.
CASECASE-2013-ZenobioCTS #automation #named
EDISON: An innovative lighting architecture facilitating building automation (DDZ, NDC, ST, KS), pp. 231–236.
CCCC-2013-WhelanLK #data flow #independence #information management
Architecture-Independent Dynamic Information Flow Tracking (RW, TL, DRK), pp. 144–163.
CGOCGO-2013-LeeKGKA #convergence
Convergence and scalarization for data-parallel architectures (YL, RK, VG, SWK, KA), p. 11.
DACDAC-2013-AgrawalRHSPC #clustering #framework #multi #platform
Early exploration for platform architecture instantiation with multi-mode application partitioning (PA, PR, MH, NS, LVdP, FC), p. 8.
DACDAC-2013-AncajasNCR
HCI-tolerant NoC router microarchitecture (DMA, JMN, KC, SR), p. 10.
DACDAC-2013-GeorgakosSSC #challenge #reliability
Reliability challenges for electric vehicles: from devices to architecture and systems software (GG, US, RS, SC), p. 9.
DACDAC-2013-HamzehSV #configuration management #named
REGIMap: register-aware application mapping on coarse-grained reconfigurable architectures (CGRAs) (MH, AS, SBKV), p. 10.
DACDAC-2013-KauerNSLCH #composition #concurrent
Modular system-level architecture for concurrent cell balancing (MK, SN, SS, ML, SC, LH), p. 10.
DACDAC-2013-LukasiewyczSASWCKMSFC #design
System architecture and software design for electric vehicles (ML, SS, SA, FS, PW, WC, MK, PM, SS, SAF, SC), p. 6.
DACDAC-2013-RahimiBG
Aging-aware compiler-directed VLIW assignment for GPGPU architectures (AR, LB, RKG), p. 6.
DACDAC-2013-SchurmansZALACW #automation #communication #modelling #using
Creation of ESL power models for communication architectures using automatic calibration (SS, DZ, DA, RL, GA, XC, LW), p. 58.
DACDAC-2013-ShafaeiSP #distance #interactive #linear #nearest neighbour #optimisation #quantum
Optimization of quantum circuits for interaction distance in linear nearest neighbor architectures (AS, MS, MP), p. 6.
DACDAC-2013-TajikHD #3d #manycore #named #process
VAWOM: temperature and process variation aware wearout management in 3D multicore architecture (HT, HH, ND), p. 8.
DACDAC-2013-TurakhiaRGM #multi #named #synthesis
HaDeS: architectural synthesis for heterogeneous dark silicon chip multi-processors (YT, BR, SG, DM), p. 7.
DACDAC-2013-WagstaffGFT #partial evaluation #set
Early partial evaluation in a JIT-compiled, retargetable instruction set simulator generated from a high-level architecture description (HW, MG, BF, NPT), p. 6.
DATEDATE-2013-AkhlaghiKAP #network #performance
An efficient network on-chip architecture based on isolating local and non-local communications (VA, MK, AAK, MP), pp. 350–353.
DATEDATE-2013-BaiS #automation #data transformation #manycore #memory management #performance
Automatic and efficient heap data management for limited local memory multicore architectures (KB, AS), pp. 593–598.
DATEDATE-2013-ChenL #2d #data access #memory management
Dual-addressing memory architecture for two-dimensional memory access patterns (YHC, YYL), pp. 71–76.
DATEDATE-2013-FakihGFR #analysis #model checking #performance #towards #using
Towards performance analysis of SDFGs mapped to shared-bus architectures using model-checking (MF, KG, MF, AR), pp. 1167–1172.
DATEDATE-2013-GhiribaldiBN #effectiveness #manycore
A transition-signaling bundled data NoC switch architecture for cost-effective GALS multicore systems (AG, DB, SMN), pp. 332–337.
DATEDATE-2013-GomonyAG #memory management #multi #realtime
Architecture and optimal configuration of a real-time multi-channel memory controller (MDG, BA, KG), pp. 1307–1312.
DATEDATE-2013-HongK #named
AVICA: an access-time variation insensitive L1 cache architecture (SH, SK), pp. 65–70.
DATEDATE-2013-ImagawaTOS #analysis #configuration management #effectiveness
A cost-effective selective TMR for heterogeneous coarse-grained reconfigurable architectures based on DFG-level vulnerability analysis (TI, HT, HO, TS), pp. 701–706.
DATEDATE-2013-JahnH #manycore #named #pipes and filters #self
Pipelets: self-organizing software pipelines for many-core architectures (JJ, JH), pp. 1516–1521.
DATEDATE-2013-KinsyCKD #grid #named #smarttech
MARTHA: architecture for control and emulation of power electronics and smart grid systems (MAK, IC, OK, SD), pp. 519–524.
DATEDATE-2013-LeeJS #hybrid #memory management #performance
Fast shared on-chip memory architecture for efficient hybrid computing with CGRAs (JL, YJ, SS), pp. 1575–1578.
DATEDATE-2013-LotfianJ #hardware #power management #smarttech #using
An ultra-low power hardware accelerator architecture for wearable computers using dynamic time warping (RL, RJ), pp. 913–916.
DATEDATE-2013-MaricAV #hybrid #performance #reliability #using
Efficient cache architectures for reliable hybrid voltage operation using EDC codes (BM, JA, MV), pp. 917–920.
DATEDATE-2013-MaYGGTBH #analysis #towards #validation
Toward polychronous analysis and validation for timed software architectures in AADL (YM, HY, TG, PLG, JPT, LB, MH), pp. 1173–1178.
DATEDATE-2013-NugterenBC #future of #parametricity
Future of GPGPU micro-architectural parameters (CN, GJvdB, HC), pp. 392–395.
DATEDATE-2013-PiriouDRR #estimation #performance #programmable #reduction
A fast and accurate methodology for power estimation and reduction of programmable architectures (EP, RD, FR, SR), pp. 1054–1055.
DATEDATE-2013-RakossyHTSNO #array #fault #functional #testing
Hot-swapping architecture with back-biased testing for mitigation of permanent faults in functional unit array (ZER, MH, HT, TS, YN, HO), pp. 535–540.
DATEDATE-2013-RustLP #complexity #using
Low complexity QR-decomposition architecture using the logarithmic number system (JR, FL, SP), pp. 97–102.
DATEDATE-2013-SagstetterLSWBHJPPC #challenge #design #hardware #security
Security challenges in automotive hardware/software architecture design (FS, ML, SS, MW, AB, WRH, SJ, TP, AP, SC), pp. 458–463.
DATEDATE-2013-ThabetLAPD #flexibility #hardware #manycore #performance
An efficient and flexible hardware support for accelerating synchronization operations on the STHORM many-core architecture (FT, YL, CA, JMP, RD), pp. 531–534.
DATEDATE-2013-VermesanBJHBM #ecosystem #mobile
Smart, connected and mobile: architecting future electric mobility ecosystems (OV, LCJB, RJ, PH, RB, AM), pp. 1740–1744.
DATEDATE-2013-WangLPKC #configuration management #cost analysis #design #optimisation
Capital cost-aware design and partial shading-aware architecture optimization of a reconfigurable photovoltaic system (YW, XL, MP, JK, NC), pp. 909–912.
DATEDATE-2013-XydisPZS #compilation #framework #metamodelling #parametricity #synthesis
A meta-model assisted coprocessor synthesis framework for compiler/architecture parameters customization (SX, GP, VZ, CS), pp. 659–664.
DATEDATE-2013-YuehCM #quality
Perceptual quality preserving SRAM architecture for color motion pictures (WY, MC, SM), pp. 103–108.
DATEDATE-2013-ZhangCBACL #3d #composition #manycore #named #performance
3D-MMC: a modular 3D multi-core architecture with efficient resource pooling (TZ, AC, GB, PA, AKC, YL), pp. 1241–1246.
HPCAHPCA-2013-BlemMS
Power struggles: Revisiting the RISC vs. CISC debate on contemporary ARM and x86 architectures (ERB, JM, KS), pp. 1–12.
HPCAHPCA-2013-CarterABCDDFGGKLMMPTTVVX #named #ubiquitous
Runnemede: An architecture for Ubiquitous High-Performance Computing (NPC, AA, SB, RC, HD, DD, JBF, IG, RAG, RCK, RL, BM, AKM, WRP, JT, JT, NV, GV, JX), pp. 198–209.
HPCAHPCA-2013-GoswamiCL #memory management #throughput #using
Power-performance co-optimization of throughput core architecture using resistive memory (NG, BC, TL), pp. 342–353.
HPCAHPCA-2013-KayaalpSNPA #named #reuse
SCRAP: Architecture for signature-based protection from Code Reuse Attacks (MK, TS, JN, DP, NBAG), pp. 258–269.
HPCAHPCA-2013-KhanAWKJ #manycore #performance #using
Improving multi-core performance using mixed-cell cache architecture (SMK, ARA, CW, JK, DAJ), pp. 119–130.
HPCAHPCA-2013-LeeKSLSM #latency #low cost
Tiered-latency DRAM: A low latency and low cost DRAM architecture (DL, YK, VS, JL, LS, OM), pp. 615–626.
HPCAHPCA-2013-MahmoodKH #adaptation #named #scalability
Macho: A failure model-oriented adaptive cache architecture to enable near-threshold voltage scaling (TM, SK, SH), pp. 532–541.
HPCAHPCA-2013-RobatmiliLEGSPBK #effectiveness #how #manycore #predict
How to implement effective prediction and forwarding for fusable dynamic multicore architectures (BR, DL, HE, MSSG, AS, AP, DB, SWK), pp. 460–471.
HPCAHPCA-2013-SinghSFOA #gpu
Cache coherence for GPU architectures (IS, AS, WWLF, MO, TMA), pp. 578–590.
HPCAHPCA-2013-SudanBLXMLB #lightweight #novel #using #web
A novel system architecture for web scale applications using lightweight CPUs and virtualized I/O (KS, SB, SL, MX, DM, GL, RB), pp. 167–178.
HPCAHPCA-2013-WangCWMZLN #execution #parallel
A multiple SIMD, multiple data (MSMD) architecture: Parallel execution of dynamic and static SIMD fragments (YW, SC, JW, JM, KZ, WL, XN), pp. 603–614.
HPCAHPCA-2013-XiaLC #physics #virtual machine
Architecture support for guest-transparent VM protection from untrusted hypervisor and physical attacks (YX, YL, HC), pp. 246–257.
HPDCHPDC-2013-KassaN #named #performance #retrieval
SCDA: SLA-aware cloud datacenter architecture for efficient content storage and retrieval (DFK, KN), pp. 121–122.
PDPPDP-2013-DemirizBA #constraints #design #named #programming #using
CPNoC: On Using Constraint Programming in Design of Network-on-Chip Architecture (AD, NB, AA), pp. 486–493.
PDPPDP-2013-GoliG #algorithm #coordination #hybrid #performance
Heterogeneous Algorithmic Skeletons for Fast Flow with Seamless Coordination over Hybrid Architectures (MG, HGV), pp. 148–156.
PPoPPPPoPP-2013-LiuDJK #layout #optimisation
Data layout optimization for GPGPU architectures (JL, WD, OJ, MTK), pp. 283–284.
SOSPSOSP-2013-ThereskaBOKRTBZ #named
IOFlow: a software-defined storage architecture (ET, HB, GO, TK, AITR, TT, RB, TZ), pp. 182–196.
CBSECBSE-2012-AtkinsonH #component #development #incremental
Iterative and incremental development of component-based software architectures (CA, OH), pp. 77–82.
CBSECBSE-2012-Bosch
Speed and innovation through architecture (JB), pp. 1–2.
CBSECBSE-2012-BrosigHK #dependence #modelling #online #parametricity #performance
Modeling parameter and context dependencies in online architecture-level performance models (FB, NH, SK), pp. 3–12.
CBSECBSE-2012-GhafariJSH #approach #component #configuration management #consistency
An architectural approach to ensure globally consistent dynamic reconfiguration of component-based systems (MG, PJ, SS, HH), pp. 177–182.
CBSECBSE-2012-HeinzemannPB #component #configuration management #modelling #towards
Towards modeling reconfiguration in hierarchical component architectures (CH, CP, SB), pp. 23–28.
CBSECBSE-2012-RouvoyM #agile #prototype
Rapid prototyping of domain-specific architecture languages (RR, PM), pp. 13–22.
QoSAQoSA-2012-AliRB #case study #multi #realtime
Characterizing real-time reflexion-based architecture recovery: an in-vivo multi-case study (NA, JR, JB), pp. 23–32.
QoSAQoSA-2012-Barnes #case study #evolution #multi
NASA’s advanced multimission operations system: a case study in software architecture evolution (JMB), pp. 3–12.
QoSAQoSA-2012-Brebner #assessment #case study #experience #lifecycle #modelling #performance
Experiences with early life-cycle performance modeling for architecture assessment (PB), pp. 149–154.
QoSAQoSA-2012-DajsurenBSH #case study #consistency #multi
Automotive ADLS: a study on enforcing consistency through multiple architectural levels (YD, MvdB, AS, RH), pp. 71–80.
QoSAQoSA-2012-DurdikR #approach #design #modelling
Position paper: approach for architectural design and modelling with documented design decisions (ADMD3) (ZD, RHR), pp. 49–54.
QoSAQoSA-2012-EklundB #ecosystem #framework #multi #platform #using
Using architecture for multiple levels of access to an ecosystem platform (UE, JB), pp. 143–148.
QoSAQoSA-2012-EramoCPT #bidirectional #model transformation #refactoring
Performance-driven architectural refactoring through bidirectional model transformations (RE, VC, AP, MT), pp. 55–60.
QoSAQoSA-2012-HaitzerZ #abstraction #automation #component #lifecycle
DSL-based support for semi-automated architectural component model abstraction throughout the software lifecycle (TH, UZ), pp. 61–70.
QoSAQoSA-2012-NaabS #flexibility #lifecycle
Architectural flexibility in a software-system’s life-cycle: systematic construction and exploitation of flexibility (MN, JS), pp. 13–22.
WICSA-ECSAWICSA-ECSA-2012-Al-AzzaniB #evaluation #named #security #testing
SecArch: Architecture-level Evaluation and Testing for Security (SAA, RB), pp. 51–60.
WICSA-ECSAWICSA-ECSA-2012-BassN #comprehension #evaluation
Understanding the Context of Architecture Evaluation Methods (LB, RLN), pp. 277–281.
WICSA-ECSAWICSA-ECSA-2012-CortellessaSMT #performance
Enabling Performance Antipatterns to Arise from an ADL-based Software Architecture (VC, MdS, ADM, CT), pp. 310–314.
WICSA-ECSAWICSA-ECSA-2012-EklundB #empirical #scalability
Architecture for Large-Scale Innovation Experiment Systems (UE, JB), pp. 244–248.
WICSA-ECSAWICSA-ECSA-2012-ElorantaHVK #documentation #generative #knowledge base #named #topic #using
TopDocs: Using Software Architecture Knowledge Base for Generating Topical Documents (VPE, OH, TV, KK), pp. 191–195.
WICSA-ECSAWICSA-ECSA-2012-FrancoBR #automation #predict #reliability
Automated Reliability Prediction from Formal Architectural Descriptions (JMF, RB, MZR), pp. 302–309.
WICSA-ECSAWICSA-ECSA-2012-GarciaKMD #framework
A Framework for Obtaining the Ground-Truth in Architectural Recovery (JG, IK, NM, CD), pp. 292–296.
WICSA-ECSAWICSA-ECSA-2012-GhabiE #modelling #nondeterminism #traceability
Exploiting Traceability Uncertainty between Architectural Models and Code (AG, AE), pp. 171–180.
WICSA-ECSAWICSA-ECSA-2012-GorlickST #distributed #named #on-demand
COAST: An Architectural Style for Decentralized On-Demand Tailored Services (MMG, KS, RNT), pp. 71–80.
WICSA-ECSAWICSA-ECSA-2012-GraafTLV #documentation #ontology
Ontology-based Software Architecture Documentation (KAdG, AT, PL, HvV), pp. 121–130.
WICSA-ECSAWICSA-ECSA-2012-GroherW #variability
Integrating Variability Management and Software Architecture (IG, RW), pp. 262–266.
WICSA-ECSAWICSA-ECSA-2012-HeeschAH
Forces on Architecture Decisions — A Viewpoint (UvH, PA, RH), pp. 101–110.
WICSA-ECSAWICSA-ECSA-2012-HeymanSJ #formal method #modelling #reuse
Reusable Formal Models for Secure Software Architectures (TH, RS, WJ), pp. 41–50.
WICSA-ECSAWICSA-ECSA-2012-HilliardMMP #composition #framework #on the #reuse #using
On the Composition and Reuse of Viewpoints across Architecture Frameworks (RH, IM, HM, PP), pp. 131–140.
WICSA-ECSAWICSA-ECSA-2012-KeulerKNR #framework #re-engineering #towards
Architecture Engagement Purposes: Towards a Framework for Planning “Just Enough”-Architecting in Software Engineering (TK, JK, MN, DR), pp. 234–238.
WICSA-ECSAWICSA-ECSA-2012-KeulerWW #agile #programming
Architecture-aware Programming in Agile Environments (TK, SW, BW), pp. 229–233.
WICSA-ECSAWICSA-ECSA-2012-KoziolekDGVW #lightweight #named
MORPHOSIS: A Lightweight Method Facilitating Sustainable Software Architectures (HK, DD, TG, PV, RJW), pp. 253–257.
WICSA-ECSAWICSA-ECSA-2012-KuzZBSX #approach #effectiveness
An Architectural Approach for Cost Effective Trustworthy Systems (IK, LZ, LB, MS, XX), pp. 325–328.
WICSA-ECSAWICSA-ECSA-2012-LanduytTJ #requirements
Documenting Early Architectural Assumptions in Scenario-Based Requirements (DVL, ET, WJ), pp. 329–333.
WICSA-ECSAWICSA-ECSA-2012-LytraSZ #framework #integration #multi #platform
Architectural Decision Making for Service-Based Platform Integration: A Qualitative Multi-Method Study (IL, SS, UZ), pp. 111–120.
WICSA-ECSAWICSA-ECSA-2012-MiesbauerW #information management #maintenance #using
Capturing and Maintaining Architectural Knowledge Using Context Information (CM, RW), pp. 206–210.
WICSA-ECSAWICSA-ECSA-2012-NakagawaOB #named
RAModel: A Reference Model for Reference Architectures (EYN, FO, MB), pp. 297–301.
WICSA-ECSAWICSA-ECSA-2012-NewmanK #embedded #resource management #runtime
A Runtime Resource-aware Architecture for Service-oriented Embedded Systems (PN, GK), pp. 61–70.
WICSA-ECSAWICSA-ECSA-2012-NordOKG #metric #technical debt
In Search of a Metric for Managing Architectural Technical Debt (RLN, IO, PK, MGR), pp. 91–100.
WICSA-ECSAWICSA-ECSA-2012-SimantaLMHS #mobile
A Reference Architecture for Mobile Code Offload in Hostile Environments (SS, GAL, EJM, KH, MS), pp. 282–286.
WICSA-ECSAWICSA-ECSA-2012-SuTHG #case study #documentation #information management
A Study of Architectural Information Foraging in Software Architecture Documents (MTS, EDT, JGH, JCG), pp. 141–150.
WICSA-ECSAWICSA-ECSA-2012-SuYZ #analysis #component #semantics
Semantic Analysis of Component-aspect Dynamism for Connector-based Architecture Styles (GS, MY, CZ), pp. 151–160.
WICSA-ECSAWICSA-ECSA-2012-SzlenkZK #modelling #requirements
Modelling Architectural Decisions under Changing Requirements (MS, AZ, SK), pp. 211–214.
WICSA-ECSAWICSA-ECSA-2012-WeinreichMBK
Extracting and Facilitating Architecture in Service-Oriented Software Systems (RW, CM, GB, TK), pp. 81–90.
WICSA-ECSAWICSA-ECSA-2012-WoodsB #case study #experience #industrial #information management #scalability #using
Using an Architecture Description Language to Model a Large-Scale Information System — An Industrial Experience Report (EW, RB), pp. 239–243.
WICSA-ECSAWICSA-ECSA-2012-ZhangUVZHC #modelling #named
Dedal-CDL: Modeling First-class Architectural Changes in Dedal (H(Z, CU, SV, LZ, MH, BC), pp. 272–276.
DocEngDocEng-2012-SoaresNS #behaviour #constraints #hypermedia
Architecture for hypermedia dynamic applications with content and behavior constraints (LFGS, CdSSN, JGS), pp. 217–226.
HTHT-2012-SofeanS #design #detection #evaluation #implementation #network #realtime #social #using
A real-time architecture for detection of diseases using social networks: design, implementation and evaluation (MS, MS), pp. 309–310.
SIGMODSIGMOD-2012-FengKRR #towards
Towards a unified architecture for in-RDBMS analytics (XF, AK, BR, CR), pp. 325–336.
CSMRCSMR-2012-BertranAGCS #identification #on the
On the Relevance of Code Anomalies for Identifying Architecture Degradation Symptoms (IMB, RA, AG, CC, AvS), pp. 277–286.
CSMRCSMR-2012-CastrejonLV #maintenance #named #verification #web
Web2MexADL: Discovery and Maintainability Verification of Software Systems Architecture (JCC, RL, GVS), pp. 531–534.
CSMRCSMR-2012-HassaineGHA #evolution #named
ADvISE: Architectural Decay in Software Evolution (SH, YGG, SH, GA), pp. 267–276.
CSMRCSMR-2012-JuniorPCM #parallel #version control
A GPU-based Architecture for Parallel Image-aware Version Control (JRdSJ, TP, EWGC, LGPM), pp. 191–200.
CSMRCSMR-2012-LytraTZ #component #consistency #constraints #design #evolution #modelling
Constraint-Based Consistency Checking between Design Decisions and Component Models for Supporting Software Architecture Evolution (IL, HT, UZ), pp. 287–296.
CSMRCSMR-2012-PlateniusDB #design #named
Archimetrix: Improved Software Architecture Recovery in the Presence of Design Deficiencies (MCP, MvD, SB), pp. 255–264.
CSMRCSMR-2012-TerraVCB #recommendation #refactoring
Recommending Refactorings to Reverse Software Architecture Erosion (RT, MTV, KC, RdSB), pp. 335–340.
ICPCICPC-2012-Sajnani #approach #automation #machine learning
Automatic software architecture recovery: A machine learning approach (HS), pp. 265–268.
ICSMEICSM-2012-BertranACGS #identification
Supporting the identification of architecturally-relevant code anomalies (IMB, RA, EC, AG, AvS), pp. 662–665.
ICSMEICSM-2012-Schutter #automation
Automated architectural reviews with Semmle (KDS), pp. 557–565.
ICSMEICSM-2012-Weitzel #comprehension #deployment #enterprise #towards
Understanding deployment costs of enterprise systems: Towards architecture support in deployment decisions (BW), pp. 677–680.
MSRMSR-2012-GuanaRHS #analysis #android #multi
Do the stars align? Multidimensional analysis of Android’s layered architecture (VG, FR, AH, ES), pp. 124–127.
MSRMSR-2012-HuHHW #android #dependence
The build dependency perspective of Android’s concrete architecture (WH, DH, AH, KW), pp. 128–131.
WCREWCRE-2012-BrunetBGF #on the
On the Evolutionary Nature of Architectural Violations (JB, RAB, DSG, JCAdF), pp. 257–266.
WCREWCRE-2012-Detten #named #re-engineering
Archimetrix: A Tool for Deficiency-Aware Software Architecture Reconstruction (MvD), pp. 503–504.
WCREWCRE-2012-El-BoussaidiBVM #legacy
Reconstructing Architectural Views from Legacy Systems (GEB, ABB, SV, HM), pp. 345–354.
FDGFDG-2012-Gestwicki #development #game studies
The entity system architecture and its application in an undergraduate game development studio (PG), pp. 73–80.
CHICHI-2012-MoellerK #interactive #multi #named
ZeroTouch: an optical multi-touch and free-air interaction architecture (JM, AK), pp. 2165–2174.
CHICHI-2012-YangFLGB #navigation #scalability #web
Aural browsing on-the-go: listening-based back navigation in large web architectures (TY, MF, YL, RRG, DB), pp. 277–286.
EDOCEDOC-2012-FarwickPBSVH #automation #enterprise #maintenance #metamodelling
A Meta-Model for Automated Enterprise Architecture Model Maintenance (MF, WP, RB, CMS, KV, IH), pp. 1–10.
EDOCEDOC-2012-IacobQJ #enterprise
Capturing Business Strategy and Value in Enterprise Architecture to Support Portfolio Valuation (MEI, DACQ, HJ), pp. 11–20.
ICEISICEIS-J-2012-KandjaniB12a #enterprise #evolution
The Enterprise Architecture Body of Knowledge as an Evolving Discipline (HK, PB), pp. 452–470.
ICEISICEIS-v2-2012-BaidouriHNK #composition
A Mediator Architecture for Context-aware Composition in SOA (HB, HH, MN, AK), pp. 245–251.
ICEISICEIS-v2-2012-SemenovV #analysis #social #social media
Ontology-guided Social Media Analysis — System Architecture (AS, JV), pp. 335–341.
ICEISICEIS-v3-2012-BoukhedoumaOAT #adaptation #approach #modelling #workflow
Service based Approach for Adaptability of Workflow Models — The Subcontracting Architecture (SB, MO, ZA, DT), pp. 224–231.
ICEISICEIS-v3-2012-CansadoVS #analysis #enterprise #multi #using
Using Multi-criteria Analysis to Evaluate Enterprise Architecture Scenarios (FC, AV, GS), pp. 232–237.
ICEISICEIS-v3-2012-KandjaniB #enterprise #evolution #towards
Evolution of Enterprise Architecture Discipline — Towards a Unified Developing Theory of Enterprise Architecture Body of Knowledge as an Evolving Discipline (HK, PB), pp. 145–154.
ICEISICEIS-v3-2012-KimO #case study #enterprise #modelling
A Case Study on Modeling of Complex Event Processing in Enterprise Architecture (HK, SO), pp. 173–180.
ICEISICEIS-v3-2012-KumarS #approach #enterprise #metric #performance
Enterprise Architecture Performance Management — A Context based Approach to EA Metrics Definition (AK, PS), pp. 249–254.
ICPRICPR-2012-GutmannH #feature model #image #learning
Learning a selectivity-invariance-selectivity feature extraction architecture for images (MG, AH), pp. 918–921.
KDIRKDIR-2012-PrietoALC
Architecture for a Garbage-less and Fresh Content Search Engine (VMP, , RLG, FC), pp. 378–381.
KMISKMIS-2012-GringelSS #enterprise #process #towards
Towards Process Orientation in Enterprise Architecture Management (PG, JS, US), pp. 145–151.
KMISKMIS-2012-MartinsCJ #collaboration #distributed #ontology #repository
Architecture of a Collaborative Business Intelligence Environment based on an Ontology Repository and Distributed Data Services (VAM, JPCLdC, RTdSJ), pp. 99–106.
SEKESEKE-2012-AffonsoR #configuration management #development
A Proposal of Reference Architecture for the Reconfigurable Software Development (FJA, ELLR), pp. 668–671.
SEKESEKE-2012-ChandaMB #framework #interactive #knowledge base
Interactive Business Rules Framework for Knowledge Based Service Oriented Architecture (DC, DDM, SB), pp. 315–318.
SEKESEKE-2012-DingS #collaboration #mobile #using
Proactive Two Way Mobile Advertisement Using a Collaborative Client Server Architecture (WD, XS), pp. 768–773.
SEKESEKE-2012-JuniorGM #complexity #empirical #metric #product line #validation #variability
Empirical Validation of Variability-based Complexity Metrics for Software Product Line Architecture (EAdOJ, IMdSG, JCM), pp. 622–627.
SEKESEKE-2012-LiuZSH #analysis #modelling #named
SAMAT — A Tool for Software Architecture Modeling and Analysis (SL, RZ, ZS, XH), pp. 352–358.
SEKESEKE-2012-PereiraAS #adaptation #data access #named
ACADA: Access Control-driven Architecture with Dynamic Adaptation (ÓMP, RLA, MYS), pp. 387–393.
SEKESEKE-2012-PimentelSDCF #evolution #model transformation #towards
Towards Architectural Evolution through Model Transformations (JP, ES, DD, JC, AF), pp. 448–451.
SEKESEKE-2012-ShinMGK
Connectors for Secure Software Architectures (MES, BM, HG, TK), pp. 394–399.
SEKESEKE-2012-SoaresPCSTGD #automation #generative #modelling
Automatic Generation of Architectural Models From Goals Models (MS, JP, JC, CTLLS, CTNS, GG, DD), pp. 444–447.
MODELSMoDELS-2012-FavreLV #modelling
Modeling the Linguistic Architecture of Software Products (JMF, RL, AV), pp. 151–167.
MODELSMoDELS-2012-SindicoNS #design #industrial #modelling #process
An Industrial System Engineering Process Integrating Model Driven Architecture and Model Based Design (AS, MDN, ALSV), pp. 810–826.
SPLCSPLC-2012-TischerBMTAS #product line
Developing long-term stable product line architectures (CT, BB, AM, AT, RA, KS), pp. 86–95.
OnwardOnward-2012-HansenLG #programming
Cognitive architectures: a way forward for the psychology of programming (MEH, AL, RLG), pp. 27–38.
HILTHILT-2012-SwardB #concept #implementation
Service-oriented architecture (SOA) concepts and implementations (RES, JB), pp. 11–12.
PLDIPLDI-2012-DubachCRBF #compilation
Compiling a high-level language for GPUs: (via language support for architectures and compilers) (CD, PC, RMR, DFB, SJF), pp. 1–12.
RERE-2012-AmellerACF #case study #how #non-functional #requirements
How do software architects consider non-functional requirements: An exploratory study (DA, CPA, JC, XF), pp. 41–50.
RERE-2012-AmellerCF #named #tool support
ArchiTech: Tool support for NFR-guided architectural decision-making (DA, OC, XF), pp. 315–316.
REFSQREFSQ-2012-EngelsmanW #case study #enterprise #lessons learnt #requirements
Goal-Oriented Requirements Engineering and Enterprise Architecture: Two Case Studies and Some Lessons Learned (WE, RW), pp. 306–320.
REFSQREFSQ-2012-Koziolek #evaluation #feedback #quality #requirements #research
Research Preview: Prioritizing Quality Requirements Based on Software Architecture Evaluation Feedback (AK), pp. 52–58.
REFSQREFSQ-2012-PoortMWV #how #non-functional #requirements
How Architects See Non-Functional Requirements: Beware of Modifiability (ERP, NM, IvdW, HvV), pp. 37–51.
FSEFSE-2012-EsfahaniRM #nondeterminism
Dealing with uncertainty in early software architecture (NE, KR, SM), p. 21.
ICSEICSE-2012-Colanzi #design #product line
Search based design of software product lines architectures (TEC), pp. 1507–1510.
ICSEICSE-2012-DornT #adaptation #co-evolution
Co-adapting human collaborations and software architectures (CD, RNT), pp. 1277–1280.
ICSEICSE-2012-Imtiaz #distributed #perspective #traceability
Architectural task allocation in distributed environment: A traceability perspective (SI), pp. 1515–1518.
ICSEICSE-2012-Kramer #question
Whither software architecture? (JK), p. 963.
ICSEICSE-2012-Wolff #industrial #question #what
Software architecture — What does it mean in industry? (EW), p. 999.
ICSEICSE-2012-YskoutSJ #question #security
Does organizing security patterns focus architectural choices? (KY, RS, WJ), pp. 617–627.
ICSEICSE-2012-ZhengT #behaviour #consistency #implementation
Enhancing architecture-implementation conformance with change management and support for behavioral mapping (YZ, RNT), pp. 628–638.
ICSEICSE-2012-ZhengT12a #implementation #named
xMapper: An architecture-implementation mapping tool (YZ, RNT), pp. 1461–1462.
SACSAC-2012-AlebrahimCHCH #design #interactive #model transformation #problem
Designing architectures from problem descriptions by interactive model transformation (AA, IC, MH, CC, DH), pp. 1256–1258.
SACSAC-2012-ChiprianovKR #enterprise #modelling
Extending Enterprise Architecture Modeling Languages: application to telecommunications service creation (VC, YK, SR), pp. 1661–1666.
SACSAC-2012-DragoB #analysis #design #graph #manycore #named
DAG3: a tool for design and analysis of applications for multicore architectures (MLD, JB), pp. 1159–1164.
SACSAC-2012-FerreiraBMCLF #crawling #framework
An architecture-centered framework for developing blog crawlers (RF, PHdSB, JM, EC, RL, FLGdF), pp. 1131–1136.
SACSAC-2012-LiuCZ #approach #petri net #self #towards
Towards a colored reflective Petri-net approach to model self-evolving service-oriented architectures (YL, WC, BZ), pp. 1858–1865.
SACSAC-2012-NakagawaBM #framework #knowledge-based
A knowledge-based framework for reference architectures (EYN, MB, JCM), pp. 1197–1202.
SACSAC-2012-PereiraR #simulation
An integrated architecture for autonomous vehicles simulation (JLFP, RJFR), pp. 286–292.
SACSAC-2012-ZaraketNSJ #library #parallel #source code #using
Portable Parallel Programs using architecture-aware libraries (FAZ, MN, MS, AJ), pp. 1922–1924.
GPCEGPCE-2012-BagheriS #framework #named #platform #synthesis
Pol: specification-driven synthesis of architectural code frameworks for platform-based applications (HB, KJS), pp. 93–102.
GPCEGPCE-2012-RicheGMB #category theory #design
Pushouts in software architecture design (TLR, RG, BM, DSB), pp. 84–92.
ASPLOSASPLOS-2012-CheritonFSSA #data access #named #performance
HICAMP: architectural support for efficient concurrency-safe shared structured data access (DRC, AF, AS, JPS, OA), pp. 287–300.
ASPLOSASPLOS-2012-EsmaeilzadehSCB #approximate #programming
Architecture support for disciplined approximate programming (HE, AS, LC, DB), pp. 301–312.
ASPLOSASPLOS-2012-LeeS #scheduling #using
Region scheduling: efficiently using the cache architectures via page-level affinity (ML, KS), pp. 451–462.
ASPLOSASPLOS-2012-MeisnerW #named
DreamWeaver: architectural support for deep sleep (DM, TFW), pp. 313–324.
ASPLOSASPLOS-2012-ParkSPCM #performance
SIMD defragmenter: efficient ILP realization on data-parallel architectures (YP, SS, HP, HKC, SAM), pp. 363–374.
ASPLOSASPLOS-2012-SzeferL
Architectural support for hypervisor-secure virtualization (JS, RBL), pp. 437–450.
CASECASE-2012-KosakiS #internet
Control of pneumatic artificial muscles with the just-in-time method based on a client-server architecture via the internet (TK, MS), pp. 980–985.
CGOCGO-2012-JablinJPLA #cpu #gpu
Dynamically managed data for CPU-GPU architectures (TBJ, JAJ, PP, FL, DIA), pp. 165–174.
DACDAC-2012-ChatterjeeKMZB #platform
Checking architectural outputs instruction-by-instruction on acceleration platforms (DC, AK, RM, AZ, VB), pp. 955–961.
DACDAC-2012-ChoiOKH #data flow #graph #manycore
Executing synchronous dataflow graphs on a SPM-based multicore architecture (JC, HO, SK, SH), pp. 664–671.
DACDAC-2012-CongGGGR
Architecture support for accelerator-rich CMPs (JC, MAG, MG, BG, GR), pp. 843–849.
DACDAC-2012-CongL #metric #optimisation #synthesis
A metric for layout-friendly microarchitecture optimization in high-level synthesis (JC, BL), pp. 1239–1244.
DACDAC-2012-Jeff #migration #multi
Big.LITTLE system architecture from ARM: saving power through heterogeneous multiprocessing and task context migration (BJ), pp. 1143–1146.
DACDAC-2012-JogMXXNID #performance
Cache revive: architecting volatile STT-RAM caches for enhanced performance in CMPs (AJ, AKM, CX, YX, VN, RI, CRD), pp. 243–252.
DACDAC-2012-KimPCXWP #energy #hybrid
Networked architecture for hybrid electrical energy storage systems (YK, SP, NC, QX, YW, MP), pp. 522–528.
DACDAC-2012-LearyCC #memory management #synthesis
System-level synthesis of memory architecture for stream processing sub-systems of a MPSoC (GL, WC, KSC), pp. 672–677.
DACDAC-2012-ParkGMRR #design #energy #performance #using
Future cache design using STT MRAMs for improved energy efficiency: devices, circuits and architecture (SPP, SKG, NNM, AR, KR), pp. 492–497.
DACDAC-2012-SeoDWPCMBM #process
Process variation in near-threshold wide SIMD architectures (SS, RGD, MW, YP, CC, SAM, DB, TNM), pp. 980–987.
DACDAC-2012-VincoCBF #gpu #named
SAGA: SystemC acceleration on GPU architectures (SV, DC, VB, FF), pp. 115–120.
DACDAC-2012-WangDX
Point and discard: a hard-error-tolerant architecture for non-volatile last level caches (JW, XD, YX), pp. 253–258.
DATEDATE-2012-AzevedoVBDGTPAM #fault
Impact of resistive-open defects on the heat current of TAS-MRAM architectures (JA, AV, AB, LD, PG, ATS, GP, JAH, KM), pp. 532–537.
DATEDATE-2012-BattezzatiCMS #algorithm #industrial #novel
SURF algorithm in FPGA: A novel architecture for high demanding industrial applications (NB, SC, MM, LS), pp. 161–162.
DATEDATE-2012-BeaumontHN #execution #hardware #replication #security #using
SAFER PATH: Security architecture using fragmented execution and replication for protection against trojaned hardware (MRB, BDH, TN), pp. 1000–1005.
DATEDATE-2012-BolchiniMS #adaptation #approach #fault #manycore #online
An adaptive approach for online fault management in many-core architectures (CB, AM, DS), pp. 1429–1432.
DATEDATE-2012-CampagnaV #detection #fault #hybrid #validation
An hybrid architecture to detect transient faults in microprocessors: An experimental validation (SC, MV), pp. 1433–1438.
DATEDATE-2012-ChenLMABJ #3d #in memory #memory management #modelling #named
CACTI-3DD: Architecture-level modeling for 3D die-stacked DRAM main memory (KC, SL, NM, JHA, JBB, NPJ), pp. 33–38.
DATEDATE-2012-CondoMM
A Network-on-Chip-based turbo/LDPC decoder architecture (CC, MM, GM), pp. 1525–1530.
DATEDATE-2012-DoganCRBA #design #health #manycore #monitoring #power management #smarttech
Multi-core architecture design for ultra-low-power wearable health monitoring systems (AYD, JC, MR, AB, DA), pp. 988–993.
DATEDATE-2012-GrudnitskyBH #configuration management
Partial online-synthesis for mixed-grained reconfigurable architectures (AG, LB, JH), pp. 1555–1560.
DATEDATE-2012-HameedBH #adaptation #manycore #runtime
Dynamic cache management in multi-core architectures through run-time adaptation (FH, LB, JH), pp. 485–490.
DATEDATE-2012-HanPC #configuration management #power management
State-based full predication for low power coarse-grained reconfigurable architecture (KH, SP, KC), pp. 1367–1372.
DATEDATE-2012-KakoeeLB #clustering #communication #latency
A resilient architecture for low latency communication in shared-L1 processor clusters (MRK, IL, LB), pp. 887–892.
DATEDATE-2012-KamalASP #approach #process
An architecture-level approach for mitigating the impact of process variations on extensible processors (MK, AAK, SS, MP), pp. 467–472.
DATEDATE-2012-KwongG #constant #geometry #performance
A high performance split-radix FFT with constant geometry architecture (JK, MG), pp. 1537–1542.
DATEDATE-2012-MarianiSPZSB #configuration management #design #multi #resource management #runtime #using
Using multi-objective design space exploration to enable run-time resource management for reconfigurable architectures (GM, VMS, GP, VZ, CS, KB), pp. 1379–1384.
DATEDATE-2012-MilbredtGLST #approach #design
Designing FlexRay-based automotive architectures: A holistic OEM approach (PM, MG, ML, AS, JT), pp. 276–279.
DATEDATE-2012-MorchePMV #named #power management
UWB: Innovative architectures enable disruptive low power wireless applications (DM, MP, GM, PV), pp. 1156–1160.
DATEDATE-2012-PrakashP #memory management #precise
An instruction scratchpad memory allocation for the precision timed architecture (AP, HDP), pp. 659–664.
DATEDATE-2012-RajeevMR #constraints #distributed #embedded #verification
Verifying timing synchronization constraints in distributed embedded architectures (ACR, SM, SR), pp. 200–205.
DATEDATE-2012-SheHMC #energy #scheduling
Scheduling for register file energy minimization in explicit datapath architectures (DS, YH, BM, HC), pp. 388–393.
DATEDATE-2012-StripfKB #approximate
A cycle-approximate, mixed-ISA simulator for the KAHRISMA architecture (TS, RK, JB), pp. 21–26.
DATEDATE-2012-WalravensD #design #energy
Design of a low-energy data processing architecture for WSN nodes (CW, WD), pp. 570–573.
DATEDATE-2012-WangLLZLSCY
A compression-based area-efficient recovery architecture for nonvolatile processors (YW, YL, YL, DZ, SL, BS, MFC, HY), pp. 1519–1524.
DATEDATE-2012-ZhaoYZCL #array #memory management
Architecting a common-source-line array for bipolar non-volatile memory devices (BZ, JY, YZ, YC, HL), pp. 1451–1454.
HPCAHPCA-2012-AhnCK #approach #network #scalability
Network within a network approach to create a scalable high-radix router microarchitecture (JHA, SC, JK), pp. 455–466.
HPCAHPCA-2012-ChitlurSHGRKBPZISGJI #named #prototype
QuickIA: Exploring heterogeneous architectures on real prototypes (NC, GS, SH, PKG, DR, DAK, PB, AP, LZ, NI, SS, SG, XJ, RI), pp. 433–440.
HPCAHPCA-2012-LeeK #cpu #gpu #named #policy
TAP: A TLP-aware cache management policy for a CPU-GPU heterogeneous architecture (JL, HK), pp. 91–102.
HPCAHPCA-2012-SeguljaA #parallel #programming
Architectural support for synchronization-free deterministic parallel programming (CS, TSA), pp. 337–348.
HPCAHPCA-2012-VegaBBDFJM
Architectural perspectives of future wireless base stations based on the IBM PowerEN™ processor (AV, PB, AB, JHD, MF, CJ, RKM), pp. 423–432.
HPCAHPCA-2012-YangXMZ #cpu #gpu
CPU-assisted GPGPU on fused CPU-GPU architectures (YY, PX, MM, HZ), pp. 103–114.
HPCAHPCA-2012-YanLHLGL #hybrid #manycore #named #performance
AgileRegulator: A hybrid voltage regulator scheme redeeming dark silicon for power efficiency in a multicore architecture (GY, YL, YH, XL, MG, XL), pp. 287–298.
HPDCHPDC-2012-PasettoMFPX #communication #evaluation #manycore #parallel #performance #thread
Performance evaluation of interthread communication mechanisms on multicore/multithreaded architectures (DP, MM, HF, FP, JX), pp. 131–132.
LCTESLCTES-2012-HuangZX #clustering #embedded #realtime
WCET-aware re-scheduling register allocation for real-time embedded systems with clustered VLIW architecture (YH, MZ, CJX), pp. 31–40.
PDPPDP-2012-DilchM #algorithm #analysis #gpu #novel #optimisation #performance
Optimization Techniques and Performance Analyses of Two Life Science Algorithms for Novel GPU Architectures (DD, EM), pp. 376–383.
PDPPDP-2012-GuerreroSCG #parallel
Parallelization of Virtual Screening in Drug Discovery on Massively Parallel Architectures (GDG, HEPS, JMC, JMG), pp. 588–595.
PDPPDP-2012-Kunkel0 #file system #functional #hardware #modelling #named #parallel #representation
IOPm — Modeling the I/O Path with a Functional Representation of Parallel File System and Hardware Architecture (JMK, TL), pp. 554–561.
PDPPDP-2012-RahmaniLPT #3d #performance
An Efficient Hybridization Scheme for Stacked Mesh 3D NoC Architecture (AMR, PL, JP, HT), pp. 507–514.
PDPPDP-2012-TaoFWM #manycore #performance #virtual machine
A Performance Study of Virtual Machines on Multicore Architectures (JT, KF, LW, HM), pp. 89–96.
PDPPDP-2012-WangB #design #evaluation #throughput
Design and Evaluation of a High Throughput QoS-Aware and Congestion-Aware Router Architecture for Network-on-Chip (CW, NB), pp. 457–464.
FASEFASE-2012-RuscioMMPP #modelling
Model-Driven Techniques to Enhance Architectural Languages Interoperability (DDR, IM, HM, PP, AP), pp. 26–42.
TACASTACAS-2012-ArmandoAABCCCCCCEFMMOPPRRDTV #automation #framework #platform #security #trust #validation
The AVANTSSAR Platform for the Automated Validation of Trust and Security of Service-Oriented Architectures (AA, WA, TA, MB, AC, AC, RC, YC, LC, JC, GE, SF, MM, SM, DvO, GP, SEP, MR, MR, MTD, MT, LV), pp. 267–282.
WRLAWRLA-2012-WirsingEMM #analysis #design #maude
Design and Analysis of Cloud-Based Architectures with KLAIM and Maude (MW, JE, TM, JM), pp. 54–82.
ICLPICLP-2012-NovelliVPD #named
LOG-IDEAH: ASP for Architectonic Asset Preservation (VN, MDV, JAP, DD), pp. 393–403.
ICTSSICTSS-2012-LahamiKBJ #adaptation #design #distributed #problem #using
Using Knapsack Problem Model to Design a Resource Aware Test Architecture for Adaptable and Distributed Systems (ML, MK, MB, MJ), pp. 103–118.
SMTSMT-2012-Shankar #smt
The Architecture of Inference from SMT to ETB (NS), p. 2.
CBSECBSE-2011-HeinzemannH #adaptation #communication #component #embedded #protocol #reuse #self
Reusing dynamic communication protocols in self-adaptive embedded component architectures (CH, SH), pp. 109–118.
CBSECBSE-2011-HuangW #component #exception #towards
Towards architecture-level middleware-enabled exception handling of component-based systems (GH, YW), pp. 159–168.
CBSECBSE-2011-TibermacineSDF #component #constraints #specification
Component-based specification of software architecture constraints (CT, SS, CD, LF), pp. 31–40.
ECSAECSA-2011-AcherCCMDL #feature model #modelling #reverse engineering
Reverse Engineering Architectural Feature Models (MA, AC, PC, PM, LD, PL), pp. 220–235.
ECSAECSA-2011-AdersbergerP #consistency #named #traceability #uml
ReflexML: UML-Based Architecture-to-Code Traceability and Consistency Checking (JA, MP), pp. 344–359.
ECSAECSA-2011-AdmodisastroK #analysis #approach #black box #development
An Architecture Analysis Approach for Supporting Black-Box Software Development (NA, GK), pp. 180–189.
ECSAECSA-2011-AlebrahimHH #integration #quality #requirements #towards
Towards Systematic Integration of Quality Requirements into Software Architecture (AA, DH, MH), pp. 17–25.
ECSAECSA-2011-Axelsson #embedded #how #nondeterminism #on the
On How to Deal with Uncertainty When Architecting Embedded Software and Systems (JA), pp. 199–202.
ECSAECSA-2011-BarbosaBGS #aspect-oriented #named #product line
PL-AspectualACME: An Aspect-Oriented Architectural Description Language for Software Product Lines (EAB, TVB, AFG, ES), pp. 139–146.
ECSAECSA-2011-BruckmannGP #enterprise #monitoring #realtime #towards #using
Towards Real-Time Monitoring and Controlling of Enterprise Architectures Using Business Software Control Centers (TB, VG, MP), pp. 287–294.
ECSAECSA-2011-CapillaZZAK #design #lifecycle #metamodelling #re-engineering
An Enhanced Architectural Knowledge Metamodel Linking Architectural Design Decisions to other Artifacts in the Software Engineering Lifecycle (RC, OZ, UZ, PA, JMK), pp. 303–318.
ECSAECSA-2011-CasanovaSGA #fault #runtime
Architecture-Based Run-Time Fault Diagnosis (PC, BRS, DG, RA), pp. 261–277.
ECSAECSA-2011-CiraciSA #evolution
Guiding Architects in Selecting Architectural Evolution Alternatives (SC, HS, MA), pp. 252–260.
ECSAECSA-2011-CuboP #automation #component #composition #framework #named
DAMASCo: A Framework for the Automatic Composition of Component-Based and Service-Oriented Architectures (JC, EP), pp. 388–404.
ECSAECSA-2011-DeitersR #approach #composition #design
A Constructive Approach to Compositional Architecture Design (CD, AR), pp. 75–82.
ECSAECSA-2011-DemirliT
Software Language Engineering of Architectural Viewpoints (ED, BT), pp. 336–343.
ECSAECSA-2011-DiazPGW #impact analysis #product line
Change Impact Analysis in Product-Line Architectures (JD, JP, JG, ALW), pp. 114–129.
ECSAECSA-2011-DwivediEFGS #approach
An Architectural Approach to End User Orchestrations (VD, PVE, JMF, DG, BRS), pp. 370–378.
ECSAECSA-2011-GamezFA #feature model #modelling
Autonomic Computing Driven by Feature Models and Architecture in FamiWare (NG, LF, MAA), pp. 164–179.
ECSAECSA-2011-JohnsenPL #specification #verification
An Architecture-Based Verification Technique for AADL Specifications (AJ, PP, KL), pp. 105–113.
ECSAECSA-2011-JrCCGOFMG #component #lessons learnt #product line #uml
Extending UML Components to Develop Software Product-Line Architectures: Lessons Learned (ACCJ, GGC, TEC, IMdSG, EAOJ, SF, PCM, AFG), pp. 130–138.
ECSAECSA-2011-MassowHH #component #configuration management #performance #runtime #simulation
Performance Simulation of Runtime Reconfigurable Component-Based Software Architectures (RvM, AvH, WH), pp. 43–58.
ECSAECSA-2011-MullerKG
A Question-Based Method for Deriving Software Architectures (MM, BK, MG), pp. 35–42.
ECSAECSA-2011-Naab #design #flexibility #information management
Enhancing Architecture Design Methods for Improved Flexibility in Long-Living Information Systems (MN), pp. 194–198.
ECSAECSA-2011-NakagawaAB #difference #product line
Reference Architecture and Product Line Architecture: A Subtle But Critical Difference (EYN, POA, MB), pp. 207–211.
ECSAECSA-2011-NavarroCPR #model transformation #using
Using Model Transformation Techniques for the Superimposition of Architectural Styles (EN, CEC, DEP, CR), pp. 379–387.
ECSAECSA-2011-OliveiraN #testing #tool support
A Service-Oriented Reference Architecture for Software Testing Tools (LBRdO, EYN), pp. 405–421.
ECSAECSA-2011-OrlicDML #component #concept #configuration management #framework #resource management
Dynamically Reconfigurable Resource-Aware Component Framework: Architecture and Concepts (BO, ID, RHM, JJL), pp. 212–215.
ECSAECSA-2011-QureshiCLT
From EAST-ADL to AUTOSAR Software Architecture: A Mapping Scheme (TNQ, DJC, HL, MT), pp. 328–335.
ECSAECSA-2011-SilvaB #specification #using
A Model for Specifying Rationale Using an Architecture Description Language (LdS, DB), pp. 319–327.
ECSAECSA-2011-TekinerdoganS #quality
Defining Architectural Viewpoints for Quality Concerns (BT, HS), pp. 26–34.
ECSAECSA-2011-TizzeiR #case study #comparative #component #evolution #product line
Aspect-Connectors to Support the Evolution of Component-Based Product Line Architectures: A Comparative Study (LPT, CMFR), pp. 59–66.
ECSAECSA-2011-TofanGA #grid
Reducing Architectural Knowledge Vaporization by Applying the Repertory Grid Technique (DT, MG, PA), pp. 244–251.
ECSAECSA-2011-ZalewskiKS #evolution
Capturing Architecture Evolution with Maps of Architectural Decisions 2.0 (AZ, SK, DS), pp. 83–96.
QoSAQoSA-ISARCS-2011-BroschBKR #fault tolerance #predict #reliability
Reliability prediction for fault-tolerant software architectures (FB, BB, HK, RHR), pp. 75–84.
QoSAQoSA-ISARCS-2011-Durdik #agile #development #modelling #process #towards
Towards a process for architectural modelling in agile software development (ZD), pp. 183–192.
QoSAQoSA-ISARCS-2011-GalsterA
Empirically-grounded reference architectures: a proposal (MG, PA), pp. 153–158.
QoSAQoSA-ISARCS-2011-HillenbrandHMA #safety #workflow
A metric-based safety workflow for electric/electronic architectures of vehicles (MH, MH, KDMG, NA), pp. 105–114.
QoSAQoSA-ISARCS-2011-KavimandanGKG #model transformation #product line #quality #reuse
Managing the quality of software product line architectures through reusable model transformations (AK, ASG, GK, JG), pp. 13–22.
QoSAQoSA-ISARCS-2011-Koziolek #evaluation #overview #perspective
Sustainability evaluation of software architectures: a systematic review (HK), pp. 3–12.
QoSAQoSA-ISARCS-2011-KoziolekKR #automation #multi #named #optimisation
PerOpteryx: automated application of tactics in multi-objective software architecture optimization (AK, HK, RHR), pp. 33–42.
QoSAQoSA-ISARCS-2011-MeedeniyaMAG #evaluation #nondeterminism #reliability
Architecture-based reliability evaluation under uncertainty (IM, IM, AA, LG), pp. 85–94.
QoSAQoSA-ISARCS-2011-Perez-PalacinMM11a #adaptation #metric #self
Software architecture adaptability metrics for QoS-based self-adaptation (DPP, RM, JM), pp. 171–176.
QoSAQoSA-ISARCS-2011-Stal
Good is not good enough: evaluating and improving software architecture (MS), pp. 73–74.
QoSAQoSA-ISARCS-2011-YusufSP #fault tolerance #grid
Architecture-based fault tolerance support for grid applications (IIY, HWS, IDP), pp. 177–182.
QoSAQoSA-ISARCS-2011-Zave #how #internet
How software architecture can make an application-friendly internet (PZ), pp. 1–2.
WICSAWICSA-2011-Abu-MatarG #variability
Feature Based Variability for Service Oriented Architectures (MAM, HG), pp. 302–309.
WICSAWICSA-2011-AllierSSF #component #object-oriented
From Object-Oriented Applications to Component-Oriented Applications via Component-Oriented Architecture (SA, SS, HAS, RF), pp. 214–223.
WICSAWICSA-2011-BalasubramaniamSJKMN #approach #constraints #generative #named #performance #theorem proving
Dominion: An Architecture-Driven Approach to Generating Efficient Constraint Solvers (DB, LdS, CJ, LK, IM, PN), pp. 228–231.
WICSAWICSA-2011-BaresiG #component #coordination #distributed #named
A-3: An Architectural Style for Coordinating Distributed Components (LB, SG), pp. 161–170.
WICSAWICSA-2011-BoerV #case study #experience #information management #semantics #wiki
Experiences with Semantic Wikis for Architectural Knowledge Management (RCdB, HvV), pp. 32–41.
WICSAWICSA-2011-BonettaP #web #web service
An Architectural Style for Liquid Web Services (DB, CP), pp. 232–241.
WICSAWICSA-2011-BouwersCDV
Quantifying the Analyzability of Software Architectures (EB, JPC, AvD, JV), pp. 83–92.
WICSAWICSA-2011-BrownNOP #analysis #dependence #release planning
Analysis and Management of Architectural Dependencies in Iterative Release Planning (NB, RLN, IO, MP), pp. 103–112.
WICSAWICSA-2011-BuchgeherW #automation #implementation
Automatic Tracing of Decisions to Architecture and Implementation (GB, RW), pp. 46–55.
WICSAWICSA-2011-ChampagneG #automation #performance #towards
Towards Automation of Performance Architectural Tactics Application (RC, SG), pp. 157–160.
WICSAWICSA-2011-CoelhoB #product line #requirements
From Requirements to Architecture for Software Product Lines (KC, TVB), pp. 282–289.
WICSAWICSA-2011-DemirliT #modelling #named
SAVE: Software Architecture Environment for Modeling Views (ED, BT), pp. 355–358.
WICSAWICSA-2011-Dobrica #analysis #integration #modelling #quality
Exploring Approaches of Integration Software Architecture Modeling with Quality Analysis Models (LD), pp. 113–122.
WICSAWICSA-2011-FaniyiB
Engineering Proprioception in SLA Management for Cloud Architectures (FF, RB), pp. 336–340.
WICSAWICSA-2011-FaniyiBEK #predict #security
Evaluating Security Properties of Architectures in Unpredictable Environments: A Case for Cloud (FF, RB, AE, RK), pp. 127–136.
WICSAWICSA-2011-GalsterA #problem #variability
Handling Variability in Software Architecture: Problems and Implications (MG, PA), pp. 171–180.
WICSAWICSA-2011-GanesanLCJJZ #analysis #re-engineering
Architecture Reconstruction and Analysis of Medical Device Software (DG, ML, RC, RPJ, PLJ, YZ), pp. 194–203.
WICSAWICSA-2011-GhezziG #analysis #as a service #lightweight #named
SOFAS: A Lightweight Architecture for Software Analysis as a Service (GG, HCG), pp. 93–102.
WICSAWICSA-2011-HafizAJ
Patterns Transform Architectures (MH, PA, REJ), pp. 242–251.
WICSAWICSA-2011-HarrisonC #scalability
Attempting to Understand the Progress of Software Architecture Decision-Making on Large Australian Defence Projects (TCH, APC), pp. 42–45.
WICSAWICSA-2011-HeeschA #maturity #overview #process #reasoning
Mature Architecting — A Survey about the Reasoning Process of Professional Architects (UvH, PA), pp. 260–269.
WICSAWICSA-2011-Kamath
Capabilities and Features: Linking Business and Application Architectures (SK), pp. 12–21.
WICSAWICSA-2011-Koziolek #multitenancy
The SPOSAD Architectural Style for Multi-tenant Software Applications (HK), pp. 320–327.
WICSAWICSA-2011-LiuC #in the cloud
Architecting Cloud Computing Applications and Systems (AL, RC), pp. 310–311.
WICSAWICSA-2011-MiksovicZ #information management #metamodelling #requirements
Architecturally Significant Requirements, Reference Architecture, and Metamodel for Knowledge Management in Information Technology Services (CM, OZ), pp. 270–279.
WICSAWICSA-2011-ParetoSEE
Prioritizing Architectural Concerns (LP, AS, PE, SE), pp. 22–31.
WICSAWICSA-2011-PetrovBN #analysis #design #enterprise #multi
The Need for a Multilevel Context-Aware Software Architecture Analysis and Design Method with Enterprise and System Architecture Concerns as First Class Entities (PP, UB, RLN), pp. 147–156.
WICSAWICSA-2011-PoortV
Architecting as a Risk- and Cost Management Discipline (ERP, HvV), pp. 2–11.
WICSAWICSA-2011-PremrajNTV
The Boomeranged Software Architect (RP, GN, AT, HvV), pp. 73–82.
WICSAWICSA-2011-RathfelderK #component #predict
Palladio Workbench: A Quality-Prediction Tool for Component-Based Architectures (CR, BK), pp. 347–350.
WICSAWICSA-2011-RosS
A Method for Evidence-Based Architecture Discovery (JPR, RSS), pp. 342–345.
WICSAWICSA-2011-SanchezEAB #framework #multimodal #named #recognition
ABE: An Agent-Based Software Architecture for a Multimodal Emotion Recognition Framework (JGS, MECE, RKA, WB), pp. 187–193.
WICSAWICSA-2011-Smet #health #question #why
The Dutch Nationwide Electronic Health Record: Why the Centralised Services Architecture? (KdS), pp. 181–186.
WICSAWICSA-2011-SuHG #documentation #navigation
Capturing Architecture Documentation Navigation Trails for Content Chunking and Sharing (MTS, JGH, JG), pp. 256–259.
WICSAWICSA-2011-TangLV #documentation
Software Architecture Documentation: The Road Ahead (AT, PL, HvV), pp. 252–255.
WICSAWICSA-2011-TekinerdoganOD #as a service #design #modelling #reasoning
Modeling and Reasoning about Design Alternatives of Software as a Service Architectures (BT, , AHD), pp. 312–319.
WICSAWICSA-2011-WeynsMHB #approach #online #product line
An Architectural Approach to Support Online Updates of Software Product Lines (DW, BM, AH, NB), pp. 204–213.
WICSAWICSA-2011-Woods #assessment #industrial #using
Industrial Architectural Assessment Using TARA (EW), pp. 56–65.
ICDARICDAR-2011-AhmedLWD #analysis #automation
Improved Automatic Analysis of Architectural Floor Plans (SA, ML, MW, AD), pp. 864–869.
ICDARICDAR-2011-AhmedWLD #segmentation
Text/Graphics Segmentation in Architectural Floor Plans (SA, MW, ML, AD), pp. 734–738.
ICDARICDAR-2011-HerasMSV #segmentation
Wall Patch-Based Segmentation in Architectural Floorplans (LPdlH, JM, GS, EV), pp. 1270–1274.
ICDARICDAR-2011-LamiroyL #analysis #benchmark #documentation #metric
An Open Architecture for End-to-End Document Analysis Benchmarking (BL, DPL), pp. 42–47.
JCDLJCDL-2011-WoodsLG #image #repository
Extending digital repository architectures to support disk image preservation and access (KW, CAL, SG), pp. 57–66.
VLDBVLDB-2011-LevandoskiELEMR #benchmark #metric #named #performance #recommendation
RecBench: Benchmarks for Evaluating Performance of Recommender System Architectures (JJL, MDE, ML, AE, MFM, JR), pp. 911–920.
VLDBVLDB-2011-SewallCKSD #manycore #named #parallel
PALM: Parallel Architecture-Friendly Latch-Free Modifications to B+ Trees on Many-Core Processors (JS, JC, CK, NS, PD), pp. 795–806.
CSEETCSEET-2011-BarrettBC #education
Incorporating software architecture in the computer science curriculum (MLB, ABB, SC), pp. 523–524.
CSEETCSEET-2011-KehrerACV #framework
A software architecture orientation framework (TK, IA, AC, OV), p. 560.
CSEETCSEET-2011-RodriguesW #comprehension
Making the comprehension of software architecture attractive (CSCR, CMLW), pp. 416–420.
ITiCSEITiCSE-2011-BlackF #education #visual notation
Teaching computer architecture with a graphical PC simulator (MDB, MF), p. 337.
ITiCSEITiCSE-2011-Ernst #manycore #performance #student
Preparing students for future architectures with an exploration of multi- and many-core performance (DJE), pp. 57–62.
SIGITESIGITE-2011-IslamS #delivery #distance #education
An architecture for delivery of distance education in developing countries (KRI, CMS), pp. 215–220.
SCAMSCAM-J-2009-FouadPKJ11 #modelling #requirements
Embedding requirements within Model-Driven Architecture (AF, KP, JMK, SJ), pp. 411–430.
CSMRCSMR-2011-AhmadP #evolution
Customisable Transformation-Driven Evolution for Service Architectures (AA, CP), pp. 373–376.
CSMRCSMR-2011-BelderrarKGAG #evolution #identification #mining #object-oriented
Sub-graph Mining: Identifying Micro-architectures in Evolving Object-Oriented Software (AB, SK, YGG, GA, PG), pp. 171–180.
CSMRCSMR-2011-FreyH #constraints #detection #legacy #migration
An Extensible Architecture for Detecting Violations of a Cloud Environment’s Constraints during Legacy Software System Migration (SF, WH), pp. 269–278.
CSMRCSMR-2011-GieseckeFF #multi #tool support
Long-Term Software Architecture Management with Multi-technology Tool Support (SG, JF, MF), pp. 321–324.
CSMRCSMR-2011-LeottaRRA #maintenance
Comparing the Maintainability of Two Alternative Architectures of a Postal System: SOA vs. Non-SOA (ML, FR, GR, EA), pp. 317–320.
ICPCICPC-2011-Sharafi #analysis #visualisation
A Systematic Analysis of Software Architecture Visualization Techniques (ZS), pp. 254–257.
ICSMEICSM-2011-BouwersDV #dependence
Dependency profiles for software architecture evaluations (EB, AvD, JV), pp. 540–543.
ICSMEICSM-2011-MirakhorliC #information management #maintenance #modelling #traceability #using
Using tactic traceability information models to reduce the risk of architectural degradation during system maintenance (MM, JCH), pp. 123–132.
ICSMEICSM-2011-Sharif #assessment #diagrams #empirical #uml
Empirical assessment of UML class diagram layouts based on architectural importance (BS), pp. 544–549.
WCREWCRE-2011-SajnaniNL #towards
Application Architecture Discovery — Towards Domain-driven, Easily-Extensible Code Structure (HS, RN, CVL), pp. 401–405.
SFMSFM-2011-GraceGBBCIPSSS
The CONNECT Architecture (PG, NG, AB, GSB, FC, VI, MP, RS, BS, DS), pp. 27–52.
DiGRADiGRA-2011-KirmanCDFFLLR #game studies #online #social
Social Architecture and the Emergence of Power Laws in Online Social Games (BK, FC, FD, EF, JF, SWL, CL, NR).
CoGVS-Games-2011-KardaraFAPTV #policy #simulation
A Service Oriented Architecture Enabling Policy Simulation in Virtual Spaces (MK, OF, FA, AP, KT, TAV), pp. 236–243.
CoGVS-Games-2011-PauwelsMAS #design #game studies
The Role of Game Rules in Architectural Design Environments (PP, RDM, MA, KS), pp. 184–185.
AGTIVEAGTIVE-2011-ScholerS #3d #automation #re-engineering #towards
Towards an Automated 3D Reconstruction of Plant Architecture (FS, VS), pp. 51–64.
CHICHI-2011-Miller
Item sampling for information architecture (CSM), pp. 2211–2214.
HCIDHM-2011-TangwenF #analysis #cumulative #learning #polymorphism
Polymorphic Cumulative Learning in Integrated Cognitive Architectures for Analysis of Pilot-Aircraft Dynamic Environment (TY, SF), pp. 409–416.
HCIDUXU-v1-2011-LeeR #collaboration #concept #learning #mobile
Suggested Collaborative Learning Conceptual Architecture and Applications for Mobile Devices (KL, AR), pp. 611–620.
HCIHCI-DDA-2011-GacimartinHL #adaptation #design #middleware
A Middleware Architecture for Designing TV-Based Adapted Applications for the Elderly (CG, JAH, DL), pp. 443–449.
HCIHCI-UA-2011-Fox
Self Replicating Robotic Strategies as a Catalyst for Autonomous Architectural Construction (MAF), pp. 307–317.
CAiSECAiSE-2011-BarnC #enterprise #modelling #programming
Revisiting Naur’s Programming as Theory Building for Enterprise Architecture Modelling (BSB, TC), pp. 229–236.
CAiSECAiSE-2011-BiderJS #case study #communication #experience #process #using
Experiences of Using Different Communication Styles in Business Process Support Systems with the Shared Spaces Architecture (IB, PJ, RS), pp. 299–313.
CAiSECAiSE-2011-MateT #framework #metamodelling #modelling #requirements #traceability
A Trace Metamodel Proposal Based on the Model Driven Architecture Framework for the Traceability of User Requirements in Data Warehouses (AM, JT), pp. 123–137.
CAiSECAiSE-2011-PuraoMR #enterprise #modelling #ontology #perspective
Transforming Enterprise Architecture Models: An Artificial Ontology View (SP, RM, ELR), pp. 383–390.
EDOCEDOC-2011-BucklGMSS #enterprise #modelling
Modeling the Supply and Demand of Architectural Information on Enterprise Level (SB, AG, FM, CS, CMS), pp. 44–51.
EDOCEDOC-2011-TongBKPL #challenge #embedded #enterprise #industrial #mobile #process
Enterprise Architecture for Addressing Business Transformation Challenges: The Case of Embedded Mobile Provisioning Process in the Telecommunications Industry (MT, HB, MK, JP, GL), pp. 35–43.
ICEISICEIS-v1-2011-XiaoL
Architecture for Operation Management in Urban Rail Traffic (MX, SL), pp. 481–485.
ICEISICEIS-v2-2011-CanoCSF #analysis #integration #multi #process #segmentation
Multisensory Architecture for Intelligent Surveillance Systems — Integration of Segmentation, Tracking and Activity Analysis (FAC, JCC, JSC, AFC), pp. 157–162.
ICEISICEIS-v2-2011-ShahK #parallel #simulation
System Architecture of the Decision Support System Employing Microscopic Simulation and Expert System in Parallel for the Post Incident Traffic Management (SAAS, HK), pp. 113–117.
ICEISICEIS-v3-2011-HafiddiNBAK #approach
A Context-aware Service Centric Approach for Service Oriented Architectures (HH, MN, HB, BEA, AK), pp. 176–183.
ICEISICEIS-v3-2011-PanfilenkoLWL #enterprise #traceability
Traceability and Viewpoints in Enterprise Architectures (DP, RL, DW, PL), pp. 150–156.
ICEISICEIS-v4-2011-FarazmandM #framework #information management
A Framework for Knowledge Management Architecture (EF, AM), pp. 425–430.
ICEISICEIS-v4-2011-FarwickABRVH #analysis #automation #enterprise #maintenance #overview #requirements
Requirements for Automated Enterprise Architecture Model Maintenance — A Requirements Analysis based on a Literature Review and an Exploratory Survey (MF, BA, RB, SR, KV, IH), pp. 325–337.
ICEISICEIS-v4-2011-NgosiHCW #design #development #enterprise #network #process
Design Science and Actor Network Theory Nexus — A Perspective of Content Development of a Critical Process for Enterprise Architecture Management (TN, MH, MC, EW), pp. 449–456.
ICEISICEIS-v4-2011-SongZC #enterprise #information management #semantics #web
An Architecture for Interoperability of Enterprise Information Systems based on SOA and Semantic Web Technologies (FS, GZ, DC), pp. 431–437.
ICEISICEIS-v4-2011-XieH #approach #quality
An Architectural Approach to Analyze Information Quality for Inter-organizational Service (SX, MH), pp. 438–443.
CIKMCIKM-2011-KrulisLBSS #distance #gpu #manycore #polynomial
Processing the signature quadratic form distance on many-core GPU architectures (MK, JL, CB, TS, TS), pp. 2373–2376.
CIKMCIKM-2011-LiBY #ad hoc #clustering #mobile #network
A cluster based mobile peer to peer architecture in wireless ad hoc networks (HL, KB, JY), pp. 2393–2396.
KMISKMIS-2011-OugoutiBAB #data flow #integration #semistructured data
Architecture of Medpeer — A New P2P-based System for Integration of Heterogeneous Data Sources (NSO, HB, YA, ANB), pp. 351–354.
SEKESEKE-2011-BagheriS #approach #formal method
A Formal Approach for Incorporating Architectural Tactics into the Software Architecture (HB, KJS), pp. 770–775.
SEKESEKE-2011-BaillieAAMCB #component #performance
A Model-View-DynamicViewModel and its Performance in a Web-based Component Architecture (GB, BA, DA, RM, TMC, RBB), pp. 786–791.
SEKESEKE-2011-BudiLLJW #automation #design #detection
Automated Detection of Likely Design Flaws in N-Tier Architectures (AB, L, DL, LJ, SW), pp. 613–618.
SEKESEKE-2011-DoranTFG #analysis #nondeterminism #parametricity #reliability
Architecture-based Reliability Analysis With Uncertain Parameters (DD, MT, LF, SSG), pp. 629–634.
SEKESEKE-2011-El-KharboutlyG #analysis #concurrent #probability #reliability #using
Architecture-based Reliability Analysis of Concurrent Software Applications using Stochastic Reward Nets (REK, SSG), pp. 635–639.
SEKESEKE-2011-GuessiON #overview #perspective #representation
Representation of Reference Architectures: A Systematic Review (MG, LBRdO, EYN), pp. 782–785.
SEKESEKE-2011-JuniorGM #analysis #product line #trade-off
A Meta-Process to Support Trade-Off Analysis in Software Product Line Architecture (EAdOJ, IMdSG, JCM), pp. 687–692.
SEKESEKE-2011-KrikavaC #feedback
A Reflective Model for Architecting Feedback Control Systems (FK, PC), pp. 553–559.
SEKESEKE-2011-LiuGCJ #design #distributed #grid #power management #realtime
Designing a Distributed Systems Architecture Testbed for Real-Time Power Grid Systems (YL, IG, YC, SJ), pp. 268–271.
SEKESEKE-2011-MorelliN #development #game studies
A Panorama of Software Architectures in Game Development (LBM, EYN), pp. 752–757.
SEKESEKE-2011-SeriaiC #approach #object-oriented #search-based
A Genetic Approach for Software Architecture Recovery from Object-Oriented Code (ADS, SC), pp. 515–520.
SEKESEKE-2011-SilvaGRRR #flexibility #peer-to-peer
A Flexible Event-Driven Architecture for Peer-to-Peer Based Applications (LPVdS, RG, EdOR, GNR, CGR), pp. 764–769.
SEKESEKE-2011-SunWH #design #modelling #ontology #using
Design Software Architecture Models using Ontology (JS, HHW, TH), pp. 191–196.
SEKESEKE-2011-ZaniFN #agile
Current State of Reference Architectures in the Context of Agile Methodologies (VATZ, DF, EYN), pp. 590–595.
SEKESEKE-2011-ZhangSPCM #design #quality #recommendation #towards
Towards Quality Based Solution Recommendation in Decision-Centric Architecture Design (LZ, YS, YP, XC, HM), pp. 776–781.
SIGIRSIGIR-2011-TatikondaCJ #manycore
Posting list intersection on multicore architectures (ST, BBC, FPJ), pp. 963–972.
ECMFAECMFA-2011-BehjatiYNBS #concept #modelling
Extending SysML with AADL Concepts for Comprehensive System Architecture Modeling (RB, TY, SN, LCB, BS), pp. 236–252.
MODELSMoDELS-2011-ClavreulMBF #behaviour #modelling
Service-Oriented Architecture Modeling: Bridging the Gap between Structure and Behavior (MC, SM, MBF, RBF), pp. 289–303.
MODELSMoDELS-2011-ZhangK #collaboration #industrial #modelling #using
Using Delta Model for Collaborative Work of Industrial Large-Scaled E/E Architecture Models (RZ, AK), pp. 714–728.
SPLCSPLC-2011-Abu-MatarG #modelling #product line #variability
Variability Modeling for Service Oriented Product Line Architectures (MAM, HG), pp. 110–119.
SPLCSPLC-2011-Bosch #ecosystem
Software Ecosystems — Implications for Strategy, Business Model and Architecture (JB), p. 351.
SPLCSPLC-2011-HaberRRSL #modelling #variability
Hierarchical Variability Modeling for Software Architectures (AH, HR, BR, IS, FvdL), pp. 150–159.
SPLCSPLC-2011-TraskR #modelling #product line
Leveraging Model Driven Engineering in Software Product Line Architectures (BT, AR), pp. 356–357.
AdaEuropeAdaEurope-2011-Rodriguez-LopezG #abstraction #middleware #paradigm
Architecting a Common Bridge Abstraction over Different Middleware Paradigms (IRL, MGV), pp. 132–146.
AdaSIGAda-2011-SwardB #concept #implementation
Service-oriented architecture (SOA)concepts and implementations (RES, JB), pp. 3–4.
RERE-2011-VoglLGE #approach #requirements
Reconciling requirements and architectures with the CBSP approach in an iPhone app project (HV, KL, PG, AE), pp. 273–278.
ASEASE-2011-BrosigHK #automation #component #distributed #modelling #performance
Automated extraction of architecture-level performance models of distributed component-based systems (FB, NH, SK), pp. 183–192.
ASEASE-2011-GarciaPMMC #using
Enhancing architectural recovery using concerns (JG, DP, CM, NM, YC), pp. 552–555.
ASEASE-2011-ZhengT #implementation
Taming changes With 1.x-Way architecture-implementation mapping (YZ, RNT), pp. 396–399.
ESEC-FSEESEC-FSE-2011-ClementsEIMM #requirements #testing
Exploiting software architecture to support requirements satisfaction testing (PCC, MJEC, PI, IM, EM), pp. 484–487.
ESEC-FSEESEC-FSE-2011-Durdik #approach #elicitation #requirements
An architecture-centric approach for goal-driven requirements elicitation (ZD), pp. 384–387.
ESEC-FSEESEC-FSE-2011-GarlanS #evolution
Software architecture: reflections on an evolving discipline (DG, MS), p. 2.
ICSEICSE-2011-Bagheri #approach #formal method #platform #synthesis
A formal approach to software synthesis for architectural platforms (HB), pp. 1143–1145.
ICSEICSE-2011-Bertran #detection #evolution #smell
Detecting architecturally-relevant code smells in evolving software systems (IMB), pp. 1090–1093.
ICSEICSE-2011-CassouBCL #development #verification
Leveraging software architectures to guide and verify the development of sense/compute/control applications (DC, EB, CC, JLL), pp. 431–440.
ICSEICSE-2011-ChristensenH #implementation #towards
Towards architectural information in implementation (HBC, KMH), pp. 928–931.
ICSEICSE-2011-KazmanBIM #evaluation #experience #grid #smarttech
Architecture evaluation without an architecture: experience with the smart grid (RK, LB, JI, GAM), pp. 663–670.
ICSEICSE-2011-McVeighKM #evolution #named #tool support
Evolve: tool support for architecture evolution (AM, JK, JM), pp. 1040–1042.
ICSEICSE-2011-Mirakhorli #approach #requirements
Tracing architecturally significant requirements: a decision-centric approach (MM), pp. 1126–1127.
ICSEICSE-2011-MirakhorliC #assurance
Tracing architectural concerns in high assurance systems (MM, JCH), pp. 908–911.
ICSEICSE-2011-TofanGA #grid #using
Capturing tacit architectural knowledge using the repertory grid technique (DT, MG, PA), pp. 916–919.
ICSEICSE-2011-Zheng #implementation
1.x-Way architecture-implementation mapping (YZ), pp. 1118–1121.
SACSAC-2011-DaniAS #algorithm #manycore #search-based
Applying genetic algorithms to optimize the power in tiled SNUCA chip multicore architectures (AMD, BA, YNS), pp. 1090–1091.
SACSAC-2011-EmrichCVW #enterprise
An architecture proposal for the prosumerized enterprise (AE, AC, CDV, DW), pp. 334–335.
SACSAC-2011-FontesNPC #detection #learning #problem
An agent-based architecture for supporting the workgroups creation and the detection of out-of-context conversation on problem-based learning in virtual learning environments (LMdOF, FMMN, AÁAP, GALdC), pp. 1175–1180.
SACSAC-2011-JanieschMMVB #named #process #realtime
Slipstream: architecture options for real-time process analytics (CJ, MM, OM, RV, JB), pp. 295–300.
SACSAC-2011-KalendarJTD #novel
Novel processor architecture for modified advanced routing in NGN (MK, DJ, AT, GD), pp. 486–491.
SACSAC-2011-LucenaCSAS #modelling #named #requirements
Stream: a strategy for transition between requirements models and architectural models (ML, JC, CTLLS, FMRA, ES), pp. 699–704.
SACSAC-2011-RamanathanRSXX #evolution
Sense-respond cloud mediator architecture for services evolution (JR, RR, NS, ZX, YX), pp. 162–169.
SLESLE-2011-PaulheimOPP #modelling
An Architecture for Information Exchange Based on Reference Models (HP, DO, RP, FP), pp. 160–179.
ASPLOSASPLOS-2011-FarhadKBS #approximate #distributed #manycore #source code
Orchestration by approximation: mapping stream programs onto multicore architectures (SMF, YK, BB, BS), pp. 357–368.
CASECASE-2011-KuoSTC #embedded
An embedded robotic wheelchair control architecture with reactive navigations (CHK, YSS, TCT, TSC), pp. 810–815.
CASECASE-2011-LemattreDFPS #analysis #design #reachability
Designing operational control architectures of critical systems by reachability analysis (TL, BD, JMF, JFP, PS), pp. 12–18.
CASECASE-2011-WasonW #automation #communication #library
Robot Raconteur: A communication architecture and library for robotic and automation systems (JDW, JTW), pp. 761–766.
CCCC-2011-HenrettySPFRS #layout
Data Layout Transformation for Stencil Computations on Short-Vector SIMD Architectures (TH, KS, LNP, FF, JR, PS), pp. 225–245.
CGOCGO-2011-HundtRTV #named
MAO — An extensible micro-architectural optimizer (RH, ER, MT, NV), pp. 1–10.
DACDAC-2011-BuiLLPR #multi
Temporal isolation on multiprocessing architectures (DNB, EAL, IL, HDP, JR), pp. 274–279.
DACDAC-2011-CoptyKN #analysis #performance #statistics #transaction
Transaction level statistical analysis for efficient micro-architectural power and performance studies (EC, GK, SN), pp. 351–356.
DACDAC-2011-DeOrioABP #distributed #manycore #named
DRAIN: distributed recovery architecture for inaccessible nodes in multi-core chips (AD, KA, VB, LSP), pp. 912–917.
DACDAC-2011-FajardoFIGLZ #effectiveness #embedded #named #platform
Buffer-integrated-Cache: a cost-effective SRAM architecture for handheld and embedded platforms (CFF, ZF, RI, GFG, SEL, LZ), pp. 966–971.
DACDAC-2011-GaillardonBMNCO #3d #question #towards
Can we go towards true 3-D architectures? (PEG, MHBJ, PHM, JPN, FC, IO), pp. 282–283.
DACDAC-2011-KatzRZS #behaviour #generative #learning #quality
Learning microarchitectural behaviors to improve stimuli generation quality (YK, MR, AZ, GS), pp. 848–853.
DACDAC-2011-KesturIPANC #co-evolution #design #framework #re-engineering #using
An algorithm-architecture co-design framework for gridding reconstruction using FPGAs (SK, KMI, SP, AAM, VN, CC), pp. 585–590.
DACDAC-2011-PatilBC #contract #synthesis
Enforcing architectural contracts in high-level synthesis (NAP, AB, DC), pp. 824–829.
DACDAC-2011-PotkonjakMNW #difference
Differential public physically unclonable functions: architecture and applications (MP, SM, AN, SW), pp. 242–247.
DACDAC-2011-Saha #composition #named #reuse #scalability
CIRUS: a scalable modular architecture for reusable drivers (BS), pp. 260–261.
DACDAC-2011-ZhuDC #cpu #gpu #named
Hermes: an integrated CPU/GPU microarchitecture for IP routing (YZ, YD, YC), pp. 1044–1049.
DATEDATE-2011-AkessonG #integration #memory management #modelling #predict
Architectures and modeling of predictable memory controllers for improved system integration (BA, KG), pp. 851–856.
DATEDATE-2011-BeuxTONBP #design
Optical Ring Network-on-Chip (ORNoC): Architecture and design methodology (SLB, JT, IO, GN, GB, PGP), pp. 788–793.
DATEDATE-2011-CalimeraLMP
Partitioned cache architectures for reduced NBTI-induced aging (AC, ML, EM, MP), pp. 938–943.
DATEDATE-2011-ChangMFWHYN #hardware #hybrid #optimisation
Optimization of stateful hardware acceleration in hybrid architectures (XC, YM, HF, KW, RH, HY, TN), pp. 567–570.
DATEDATE-2011-ChenLH #3d #towards
Architectural exploration of 3D FPGAs towards a better balance between area and delay (CIC, BCL, JDH), pp. 587–590.
DATEDATE-2011-ChenLWH #3d #network
A new architecture for power network in 3D IC (HTC, HLL, ZCW, TH), pp. 401–406.
DATEDATE-2011-DammHJPS #component #contract #design #integration #specification #testing #using
Using contract-based component specifications for virtual integration testing and architecture design (WD, HH, BJ, TP, IS), pp. 1023–1028.
DATEDATE-2011-DragomirB #configuration management
Loop distribution for K-loops on Reconfigurable Architectures (OSD, KB), pp. 1548–1553.
DATEDATE-2011-FourmigueBNAO #3d #evaluation #multi
Multi-granularity thermal evaluation of 3D MPSoC architectures (AF, GB, GN, EMA, IO), pp. 575–578.
DATEDATE-2011-Furber
Biologically-inspired massively-parallel architectures — Computing beyond a million processors (SBF), p. 1.
DATEDATE-2011-GhasemazarP #multi #power management
Variation aware dynamic power management for chip multiprocessor architectures (MG, MP), pp. 473–478.
DATEDATE-2011-GizopoulosPARHSMBV #detection #fault #manycore #online
Architectures for online error detection and recovery in multicore processors (DG, MP, SVA, PR, SKSH, DJS, AM, AB, XV), pp. 533–538.
DATEDATE-2011-HameedFH #3d #adaptation #manycore #runtime
Dynamic thermal management in 3D multi-core architecture through run-time adaptation (FH, MAAF, JH), pp. 299–304.
DATEDATE-2011-HeidmannWP #detection #throughput
Architecture and FPGA-implementation of a high throughput K+-Best detector (NH, TW, SP), pp. 240–245.
DATEDATE-2011-JahnFH #adaptation #migration #multi #named #runtime
CARAT: Context-aware runtime adaptive task migration for multi core architectures (JJ, MAAF, JH), pp. 515–520.
DATEDATE-2011-LuJTL #parametricity #performance #simulation
Efficient parameter variation sampling for architecture simulations (FL, RJ, GT, SL), pp. 1578–1583.
DATEDATE-2011-MurugappaABJ #flexibility #multi #throughput
A flexible high throughput multi-ASIP architecture for LDPC and turbo decoding (PM, RAK, AB, MJ), pp. 228–233.
DATEDATE-2011-PorquetGS #flexibility #memory management #named
NoC-MPU: A secure architecture for flexible co-hosting on shared memory MPSoCs (JP, AG, CS), pp. 591–594.
DATEDATE-2011-StranoGLFGB #scalability #self
Exploiting Network-on-Chip structural redundancy for a cooperative and scalable built-in self-test architecture (AS, CGR, DL, MF, MEG, DB), pp. 661–666.
DATEDATE-2011-TinoK #generative #multi
Multi-objective Tabu Search based topology generation technique for application-specific Network-on-Chip architectures (AT, GNK), pp. 485–490.
DATEDATE-2011-WuWWZLXY #parallel #programming
Gemma in April: A matrix-like parallel programming architecture on OpenCL (TW, DW, YW, XZ, HL, NX, HY), pp. 703–708.
DATEDATE-2011-ZattSBH #estimation #hardware #parallel #pipes and filters #throughput #video
Multi-level pipelined parallel hardware architecture for high throughput motion and disparity estimation in Multiview Video Coding (BZ, MS, SB, JH), pp. 1448–1453.
HPCAHPCA-2011-AndersonFCE #javascript #mobile
Checked Load: Architectural support for JavaScript type-checking on mobile processors (OA, EF, LC, SJE), pp. 419–430.
HPCAHPCA-2011-GhasemiDK #using
Low-voltage on-chip cache architecture using heterogeneous cell sizes for high-performance processors (HRG, SCD, NSK), pp. 38–49.
HPCAHPCA-2011-JacobsonBBAE #abstraction #modelling #scalability
Abstraction and microarchitecture scaling in early-stage power modeling (HMJ, AB, PB, EA, RJE), pp. 394–405.
HPCAHPCA-2011-JiangS #framework #operating system
Architectural framework for supporting operating system survivability (XJ, YS), pp. 456–465.
HPCAHPCA-2011-LiaoZB #network
A new server I/O architecture for high speed networks (GL, XZ, LNB), pp. 255–265.
HPCAHPCA-2011-LiZCL #energy #manycore #named #power management
SolarCore: Solar energy driven multi-core architecture power management (CL, WZ, CBC, TL), pp. 205–216.
HPCAHPCA-2011-ZhangO #analysis #gpu #performance
A quantitative performance analysis model for GPU architectures (YZ, JDO), pp. 382–393.
HPDCHPDC-2011-BalkirFR #distributed #mining #pipes and filters #using
A distributed look-up architecture for text mining applications using mapreduce (ASB, ITF, AR), pp. 279–280.
LCTESLCTES-2011-GrayA #api #embedded #manycore
Targeting complex embedded architectures by combining the multicore communications API (mcapi) with compile-time virtualisation (IG, NCA), pp. 51–60.
LCTESLCTES-2011-JangKLKYKKR #clustering #configuration management
An instruction-scheduling-aware data partitioning technique for coarse-grained reconfigurable architectures (CJ, JK, JL, HSK, DY, SK, HK, SR), pp. 151–160.
PDPPDP-2011-CastroGMMFS #analysis #manycore #memory management #transaction
Analysis and Tracing of Applications Based on Software Transactional Memory on Multicore Architectures (MBC, KG, VMM, JFM, LGF, MS), pp. 199–206.
PDPPDP-2011-EstradaCG #adaptation #algorithm #manycore #optimisation #parallel #performance
Adaptive Parallel Interval Global Optimization Algorithms Based on their Performance for Non-dedicated Multicore Architectures (JFSE, LGC, IG), pp. 252–256.
PDPPDP-2011-LatifRGST #named
PVS-NoC: Partial Virtual Channel Sharing NoC Architecture (KL, AMR, LG, TS, HT), pp. 470–477.
PDPPDP-2011-LobeirasAD #implementation #streaming
FFT Implementation on a Streaming Architecture (JL, MA, RD), pp. 119–126.
PDPPDP-2011-OzTKT #concurrent #manycore #thread
Quantifying Thread Vulnerability for Multicore Architectures (IO, HRT, MTK, OT), pp. 32–39.
PDPPDP-2011-RahmaniLLPT #3d #communication #reliability
A Stacked Mesh 3D NoC Architecture Enabling Congestion-Aware and Reliable Inter-layer Communication (AMR, KL, PL, JP, HT), pp. 423–430.
PDPPDP-2011-SaezSCSC #algorithm #simulation
Particle-in-Cell Algorithms for Plasma Simulations on Heterogeneous Architectures (XS, AS, JMC, ES, FC), pp. 385–389.
PDPPDP-2011-SchmidtFSF #named #security
TrustBox: A Security Architecture for Preventing Data Breaches (MS, SF, RS, BF), pp. 635–639.
SOSPSOSP-2011-AndrusDHLN #mobile #named #smarttech
Cells: a virtual mobile smartphone architecture (JA, CD, AVH, OL, JN), pp. 173–187.
SOSPSOSP-2011-SirerBRSWWS #logic
Logical attestation: an authorization architecture for trustworthy computing (EGS, WdB, PR, AS, KW, DW, FBS), pp. 249–264.
CAVCAV-2011-HangMP #constraints #cyber-physical #modelling #realtime
Synthesizing Cyber-Physical Architectural Models with Real-Time Constraints (CH, PM, VP), pp. 441–456.
ECSAECSA-2010-Bahsoon #dependence #framework #requirements #self
A Framework for Dynamic Self-optimization of Power and Dependability Requirements in Green Cloud Architectures (RB), pp. 510–514.
ECSAECSA-2010-BerniniT
Explaining Architectural Choices to Non-architects (DB, FT), pp. 352–359.
ECSAECSA-2010-BodeR #evaluation
Impact Evaluation for Quality-Oriented Architectural Decisions regarding Evolvability (SB, MR), pp. 182–197.
ECSAECSA-2010-Bosch #composition
Architecture in the Age of Compositionality (JB), pp. 1–4.
ECSAECSA-2010-BrinkkemperP #functional #industrial #modelling
Functional Architecture Modeling for the Software Product Industry (SB, SP), pp. 198–213.
ECSAECSA-2010-BruckmannG #development #information management #logic #maintenance #modelling
An Architectural Blueprint for Model Driven Development and Maintenance of Business Logic for Information Systems (TB, VG), pp. 53–69.
ECSAECSA-2010-ChardignyS #documentation #object-oriented #process #source code
Software Architecture Recovery Process Based on Object-Oriented Source Code and Documentation (SC, AS), pp. 409–416.
ECSAECSA-2010-ChristensenHL #assurance #lightweight #quality #using
Lightweight and Continuous Architectural Software Quality Assurance Using the aSQA Technique (HBC, KMH, BL), pp. 118–132.
ECSAECSA-2010-EklundA #classification
A Classification of Value for Software Architecture Decisions (UE, TA), pp. 368–375.
ECSAECSA-2010-ElorantaK #evaluation #using
Using Domain Knowledge to Boost Software Architecture Evaluation (VPE, KK), pp. 319–326.
ECSAECSA-2010-EsfahaniM #adaptation #middleware #on the #platform
On the Role of Architectural Styles in Improving the Adaptation Support of Middleware Platforms (NE, SM), pp. 433–440.
ECSAECSA-2010-FiadeiroL #configuration management
A Model for Dynamic Reconfiguration in Service-Oriented Architectures (JLF, AL), pp. 70–85.
ECSAECSA-2010-HeeschA #comprehension #overview #process #reasoning #student
Naive Architecting — Understanding the Reasoning Process of Students — A Descriptive Survey (UvH, PA), pp. 24–37.
ECSAECSA-2010-IvanovicA
Customer Value in Architecture Decision Making (AI, PA), pp. 263–278.
ECSAECSA-2010-JohnWP #configuration management #constraints #monitoring
Dynamic Architectural Constraints Monitoring and Reconfiguration in Service Architectures (JJ, MW, CP), pp. 311–318.
ECSAECSA-2010-KiwelekarJ #analysis #generative #ontology
Ontological Analysis for Generating Baseline Architectural Descriptions (AWK, RKJ), pp. 417–424.
ECSAECSA-2010-KiwelekarJ10a #communication #concept analysis #identification
Identifying Architectural Connectors through Formal Concept Analysis of Communication Primitives (AWK, RKJ), pp. 515–518.
ECSAECSA-2010-Kruchten #question
Where Did All This Good Architectural Knowledge Go? (PK), pp. 5–6.
ECSAECSA-2010-Lopez-HerrejonE #on the #product line
On the Need of Safe Software Product Line Architectures (RELH, AE), pp. 493–496.
ECSAECSA-2010-LungBSEG #generative #towards
Towards Architecture-Centric Software Generation (CHL, BB, KS, PE, UG), pp. 38–52.
ECSAECSA-2010-OliveiraFFN #modelling #overview #perspective
Reference Models and Reference Architectures Based on Service-Oriented Architecture: A Systematic Review (LBRdO, KRF, DF, EYN), pp. 360–367.
ECSAECSA-2010-Pahl #adaptation #composition #coordination #towards
Dynamic Adaptive Service Architecture — Towards Coordinated Service Composition (CP), pp. 472–475.
ECSAECSA-2010-ParraCBD #composition
Feature-Based Composition of Software Architectures (CAP, AC, XB, LD), pp. 230–245.
ECSAECSA-2010-PerezDGA #agile #flexibility #using
Flexible Working Architectures: Agile Architecting Using PPCs (JP, JD, JG, PPA), pp. 102–117.
ECSAECSA-2010-PhoomvuthisarnLZ #approach #distributed
An Architectural Approach to Composing Reputation-Based Distributed Services (SP, YL, LZ), pp. 133–149.
ECSAECSA-2010-Phung-KhacGSBK #data transfer #distributed #evolution #modelling #runtime
Modelling Changes and Data Transfers for Architecture-Based Runtime Evolution of Distributed Applications (APK, JMG, MTS, AB, EK), pp. 392–400.
ECSAECSA-2010-ReijonenKH #case study #experience
Experiences from Scenario-Based Architecture Evaluations with ATAM (VR, JK, IJH), pp. 214–229.
ECSAECSA-2010-RuscioMMPP #framework #named
ByADL: An MDE Framework for Building Extensible Architecture Description Languages (DDR, IM, HM, PP, AP), pp. 527–531.
ECSAECSA-2010-SaraivaPBDPKAFMS #aspect-oriented #experience #middleware #modelling #product line #refactoring
Architecting a Model-Driven Aspect-Oriented Product Line for a Digital TV Middleware: A Refactoring Experience (DS, LP, TVB, FCD, PFP, UK, RPMdA, TF, SMF, ALSS), pp. 166–181.
ECSAECSA-2010-SaraivaSF #aspect-oriented #impact analysis
Assessing the Impact of AOSD on Layered Software Architectures (JS, SS, FCF), pp. 344–351.
ECSAECSA-2010-SavolainenKMN #case study #development #experience #framework #platform
Experiences in Making Architectural Decisions during the Development of a New Base Station Platform (JS, JK, TM, AN), pp. 425–432.
ECSAECSA-2010-ScandariatoBJ #automation #detection
Automated Detection of Least Privilege Violations in Software Architectures (RS, KB, WJ), pp. 150–165.
ECSAECSA-2010-SchwittekE #communication #information management #requirements #tool support
Communicating Architectural Knowledge: Requirements for Software Architecture Knowledge Management Tools (WS, SE), pp. 457–463.
ECSAECSA-2010-SuYZ #specification
An ADL-Approach to Specifying and Analyzing Centralized-Mode Architectural Connection (GS, MY, CZ), pp. 8–23.
ECSAECSA-2010-TibermacineDSF #composition #constraints #reuse
Software Architecture Constraints as Customizable, Reusable and Composable Entities (CT, CD, SS, LF), pp. 505–509.
ECSAECSA-2010-VerjusCRD #framework #named
BeeEye: A Framework for Constructing Architectural Views (HV, SC, AR, SD), pp. 376–383.
ECSAECSA-2010-WeinreichB #design #representation #requirements
Integrating Requirements and Design Decisions in Architecture Representation (RW, GB), pp. 86–101.
ECSAECSA-2010-ZalewskiK #complexity
Architecture Decision-Making in Support of Complexity Control (AZ, SK), pp. 501–504.
ECSAECSA-2010-ZhangUV #component #development
Architecture-Centric Component-Based Development Needs a Three-Level ADL (H(Z, CU, SV), pp. 295–310.
QoSAQoSA-2010-BirkmeierO #development #diagrams #empirical #process #uml #usability
Is BPMN Really First Choice in Joint Architecture Development? An Empirical Study on the Usability of BPMN and UML Activity Diagrams for Business Users (DB, SO), pp. 119–134.
QoSAQoSA-2010-BroschKBR #component #predict #reliability
Parameterized Reliability Prediction for Component-Based Software Architectures (FB, HK, BB, RHR), pp. 36–51.
QoSAQoSA-2010-ChauvelSCHM #adaptation #self #using
Using QoS-Contracts to Drive Architecture-Centric Self-adaptation (FC, HS, XC, GH, HM), pp. 102–118.
QoSAQoSA-2010-GloahecFS
Good Architecture = Good (ADL + Practices) (VLG, RF, SS), pp. 167–182.
QoSAQoSA-2010-Magee #evolution
Intrinsic Definition in Software Architecture Evolution (JNM), p. 1.
QoSAQoSA-2010-MeedeniyaBAG #embedded #energy #optimisation #reliability
Architecture-Driven Reliability and Energy Optimization for Complex Embedded Systems (IM, BB, AA, LG), pp. 52–67.
TPDLECDL-2010-BuchananP #interactive #library
An Architecture for Supporting RFID-Enhanced Interactions in Digital Libraries (GB, JSP), pp. 92–103.
TPDLECDL-2010-KazaiMIHMLMM #collaboration #research
Architecture for a Collaborative Research Environment Based on Reading List Sharing (GK, PM, KI, TH, MM, AL, NMF, NM), pp. 294–306.
SIGMODSIGMOD-2010-KimCSSNKLBD #named #performance
FAST: fast architecture sensitive tree search on modern CPUs and GPUs (CK, JC, NS, ES, ADN, TK, VWL, SAB, PD), pp. 339–350.
SIGMODSIGMOD-2010-KossmannKL #evaluation #in the cloud #transaction
An evaluation of alternative architectures for transaction processing in the cloud (DK, TK, SL), pp. 579–590.
SIGMODSIGMOD-2010-MoonCZ #evolution #optimisation #query #scalability #transaction
Scalable architecture and query optimization fortransaction-time DBs with evolving schemas (HJM, CC, CZ), pp. 207–218.
VLDBVLDB-2010-SmolaN #modelling #parallel #topic
An Architecture for Parallel Topic Models (AJS, SMN), pp. 703–710.
CSMRCSMR-2010-NasrGD #industrial
Adopting and Evaluating Service Oriented Architecture in Industry (KAN, HGG, AvD), pp. 11–20.
CSMRCSMR-2010-OzkayaPGC #evolution #requirements #using
Using Architecturally Significant Requirements for Guiding System Evolution (IO, JADP, AG, SC), pp. 127–136.
CSMRCSMR-2010-SchrettnerHFFB #development #re-engineering
Development of a Methodology, Software — Suite and Service for Supporting Software Architecture Reconstruction (LS, PH, RF, LJF, TB), pp. 190–193.
ICPCICPC-2010-Berman #comprehension #low level
Sound as an Aid in Understanding Low-Level Program Architecture (LB), pp. 58–59.
ICPCICPC-2010-BouwersVLD #complexity
A Cognitive Model for Software Architecture Complexity (EB, JV, CL, AvD), pp. 152–155.
WCREWCRE-2010-GanesanLRWLT #analysis
Architectural Analysis of Systems Based on the Publisher-Subscriber Style (DG, ML, LR, RW, VL, TT), pp. 173–182.
WCREWCRE-2010-Sabane #testing
Improving System Testability and Testing with Microarchitectures (AS), pp. 309–312.
IFMIFM-2010-Faber #composition #realtime #reasoning #verification
Verification Architectures: Compositional Reasoning for Real-Time Systems (JF), pp. 136–151.
SEFMSEFM-2010-MetayerMP #design
Designing Log Architectures for Legal Evidence (DLM, EM, MLP), pp. 156–165.
SEFMSEFM-2010-ScannielloRT #empirical #evaluation #semantics #using
Architecture Recovery Using Latent Semantic Indexing and K-Means: An Empirical Evaluation (GS, MR, GT), pp. 103–112.
AIIDEAIIDE-2010-TanC #adaptation #automation #game studies #modelling
An Automated Model-Based Adaptive Architecture in Modern Games (CTT, HLC).
CoGCIG-2010-HannaHCB #composition #game studies #learning
Modular Reinforcement Learning architectures for artificially intelligent agents in complex game environments (CJH, RJH, DC, MMB), pp. 380–387.
SOFTVISSOFTVIS-2010-BeckD #comparison #visual notation
Visual comparison of software architectures (FB, SD), pp. 183–192.
EDOCEDOC-2010-AgaramL #component
A Componentized Architecture for Externalized Business Rules (MKA, BL), pp. 175–183.
EDOCEDOC-2010-DamLG #co-evolution #enterprise #evolution
Supporting Change Propagation in the Evolution of Enterprise Architectures (HKD, LSL, AKG), pp. 24–33.
EDOCEDOC-2010-QuartelSL #enterprise #modelling #requirements #using
IT Portfolio Valuation — Using Enterprise Architecture and Business Requirements Modeling (DACQ, MWAS, MML), pp. 3–13.
EDOCEDOC-2010-SaatFLE #enterprise #metamodelling #modelling
Enterprise Architecture Meta Models for IT/Business Alignment Situations (JS, UF, RL, ME), pp. 14–23.
ICEISICEIS-AIDSS-2010-TomeAC #enterprise #experience #named #using
TREEAD — A Tool that Enables the Re-use of Experience in Enterprise Architecture Description (PT, LA, EC), pp. 332–343.
ICEISICEIS-DISI-2010-AlahmariZR #legacy #migration
Migrating Legacy Systems to a Service-Oriented Architecture with Optimal Granularity (SA, EZ, DDR), pp. 198–207.
ICEISICEIS-DISI-2010-DiboB #component #deployment #distributed #metamodelling #modelling
Defining an Unified Meta Modeling Architecture for Deployment of Distributed Components-based Software Applications (MD, NB), pp. 316–321.
ICEISICEIS-HCI-2010-WeinbergerGR
Context-aware Search Architecture (HW, OG, KR), pp. 71–78.
ICEISICEIS-ISAS-2010-AlvesSC
A Federated Triple Store Architecture for Healthcare Applications (BA, MS, FC), pp. 207–214.
ICEISICEIS-ISAS-2010-DohringKGZ #challenge #convergence #workflow
The Convergence of Workflows, Business Rules and Complex Events — Defining a Reference Architecture and Approaching Realization Challenges (MD, LK, EG, BZ), pp. 338–343.
ICEISICEIS-ISAS-2010-DuarteL #challenge #enterprise #state of the art
Enterprise Architecture — State of the Art and Challenges (JCD, MLM), pp. 101–112.
ICEISICEIS-ISAS-2010-RauberR #adaptation #execution #manycore #parallel
Adaptive Execution of Software Systems on Parallel Multicore Architectures (TR, GR), pp. 191–198.
ICEISICEIS-J-2010-Chatzinikolaou10a #adaptation #algorithm #coordination #evolution #peer-to-peer #search-based #self
Coordinating Evolution: An Open, Peer-to-Peer Architecture for a Self-adapting Genetic Algorithm (NC), pp. 164–176.
ICEISICEIS-SAIC-2010-MiguelCVGH #multi
B2C and C2C e-Marketplaces — A Multi-layer/Multi-agent Architecture to Support them (RM, JJCS, DV, CGM, VH), pp. 100–105.
ICPRICPR-2010-CarneiroN #learning
The Fusion of Deep Learning Architectures and Particle Filtering Applied to Lip Tracking (GC, JCN), pp. 2065–2068.
ICPRICPR-2010-ColemanSG #feature model #using
Coarse Scale Feature Extraction Using the Spiral Architecture Structure (SAC, BWS, BG), pp. 2370–2373.
KDIRKDIR-2010-CorreiaCL #collaboration #data mining #mining
An Architecture for Collaborative Data Mining (FC, RC, JCL), pp. 467–470.
KEODKEOD-2010-LettnerHFD #concept #evolution #integration #metadata #modelling
Conceptual Models for Metadata Integration and Architecture Evolution (CL, CH, BF, ED), pp. 269–275.
KMISKMIS-2010-KarakostasK
Cloud Architecture for e-Collaboration in the Intermodal Freight Business (BK, TK), pp. 267–272.
SEKESEKE-2010-Abu-MatarGKE #feature model #modelling #variability
Feature Modeling for Service Variability Management in Service-Oriented Architectures (MAM, HG, MK, AME), pp. 468–473.
SEKESEKE-2010-ChandaMB #knowledge base
Knowledge Based Service Oriented Architecture for M&A (DC, DDM, SB), pp. 448–451.
SEKESEKE-2010-ChangK #modelling #process
A Model-based Business Process Diagnosis Method in Service Oriented Architecture (SHC, SDK), pp. 458–461.
SEKESEKE-2010-EsfahaniS #automation #communication
Service Automation Architecture as adopted by Unified Communication Audit Tool (SSE, TS), pp. 443–447.
SEKESEKE-2010-GalsterEM #requirements #specification
Textual Software Requirements Specifications in the Context of Software Architecting (MG, AE, MM), pp. 42–47.
SEKESEKE-2010-GoaerTO #evolution
Evolution Styles to Capitalize Evolution Expertise within Software Architectures (OLG, DT, MO), pp. 159–164.
SEKESEKE-2010-HuSW #model transformation #named
ISE — Integrated Service Engineering: Applying an Architecture for Model to Model Transformations (HH, GS, GW), pp. 452–457.
SEKESEKE-2010-ImM #reasoning
Reasoning about Attribute Architectures (TI, JDM), pp. 165–171.
SEKESEKE-2010-LuG #analysis #concurrent #performance #thread #web
Performance Analysis of a Web Server with Dynamic Thread Pool Architecture (JL, SSG), pp. 99–105.
SEKESEKE-2010-NesicGJ #documentation #integration #semantics
Semantic Document Architecture for Desktop Data Integration and Management (SN, DG, MJ), pp. 73–78.
SEKESEKE-2010-SlimaniBB #evolution #ontology
Agent-based Architecture for Service Ontology evolution management (SS, SB, KB), pp. 664–667.
SEKESEKE-2010-VermaD #aspect-oriented #development #enterprise
Enterprise Systems Development: Impact of Aspect Oriented Software Architecture (PKV, DD), pp. 176–179.
SEKESEKE-2010-WyethZ #security #specification
Formal Specification of Software Architecture Security Tactics (AW, CZ), pp. 172–175.
SEKESEKE-2010-ZhangUV #component #development #evolution #process
Architecture-centric development and evolution processes for component-based software (H(Z, CU, SV), pp. 680–685.
MODELSMoDELS-v2-2010-BagheriS #development #modelling #named
Monarch: Model-Based Development of Software Architectures (HB, KJS), pp. 376–390.
MODELSMoDELS-v2-2010-ParetoEE #bound #design
Architectural Descriptions as Boundary Objects in System and Design Work (LP, PE, SE), pp. 406–419.
PLEASEPLEASE-2010-IvanovicA
Information needed for architecture decision making (AI, PA), pp. 54–57.
SPLCSPLC-2010-ClementsMB #elicitation #product line
Eliciting and Capturing Business Goals to Inform a Product Line’s Business Case and Architecture (PCC, JDM, LB), pp. 393–405.
SPLCSPLC-2010-GanesanLMBSM #product line #testing
Architecture-Based Unit Testing of the Flight Software Product Line (DG, ML, DM, MB, SS, BM), pp. 256–270.
SPLCSPLC-2010-GustavssonE #industrial #product line
Architecting Automotive Product Lines: Industrial Practice (HG, UE), pp. 92–105.
SPLCSPLC-2010-JohnSA #product line
The Rise and Fall of Product Line Architectures (IJ, CS, ESdA), pp. 500–501.
SPLCSPLC-2010-MedeirosAM #approach #design #named #product line
SOPLE-DE: An Approach to Design Service-Oriented Product Line Architectures (FMM, ESdA, SRLM), pp. 456–460.
SPLCSPLC-2010-TraskR #modelling #product line
Leveraging Model Driven Engineering in Software Product Line Architectures (BT, AR), pp. 517–518.
OOPSLAOOPSLA-2010-AuerbachBCR #named
Lime: a Java-compatible and synthesizable language for heterogeneous architectures (JSA, DFB, PC, RMR), pp. 89–108.
OOPSLAOOPSLA-2010-McIlroyS #manycore #named #runtime
Hera-JVM: a runtime system for heterogeneous multi-core architectures (RM, JS), pp. 205–222.
OOPSLAOOPSLA-2010-MercadalECL #approach #fault #pervasive
A domain-specific approach to architecturing error handling in pervasive computing (JM, QE, CC, NL), pp. 47–61.
OOPSLAOOPSLA-2010-OssherBSAACDVFK #analysis #challenge #concept #flexibility #modelling #research #tool support
Flexible modeling tools for pre-requirements analysis: conceptual architecture and research challenges (HO, RKEB, IS, DA, AAT, MC, MD, JdV, AF, SK), pp. 848–864.
RERE-2010-ClementsB #using
Using Business Goals to Inform a Software Architecture (PCC, LB), pp. 69–78.
RERE-2010-FerrariMSHGS #case study #prototype #requirements
Requirements Engineering Decisions in the Context of an Existing Architecture: A Case Study of a Prototypical Project (RF, NHM, OS, CH, JG, WS), pp. 79–88.
REFSQREFSQ-2010-AmellerF #how #non-functional #overview #requirements
How Do Software Architects Consider Non-Functional Requirements: A Survey (DA, XF), pp. 276–277.
REFSQREFSQ-2010-FerrariSHGSM #interactive #prototype #requirements
Requirements and Systems Architecture Interaction in a Prototypical Project: Emerging Results (RF, OS, CH, JG, WS, NHM), pp. 23–29.
ASEASE-2010-Abi-AntounB #security
Analyzing security architectures (MAA, JMB), pp. 3–12.
ASEASE-2010-BagheriSS #independence
Architectural style as an independent variable (HB, YS, KJS), pp. 159–162.
ASEASE-2010-HilliardMMP #framework #megamodelling
Realizing architecture frameworks through megamodelling techniques (RH, IM, HM, PP), pp. 305–308.
ASEASE-2010-KimKP #development #quality #tool support
Tool support for quality-driven development of software architectures (SK, DKK, SP), pp. 127–130.
ASEASE-2010-MelissonMRRS #component #configuration management #distributed #runtime
Reconfigurable run-time support for distributed service component architectures (RM, PM, DR, RR, LS), pp. 171–172.
ASEASE-2010-TajalliGEM #adaptation #modelling #named
PLASMA: a plan-based layered architecture for software model-driven adaptation (HT, JG, GE, NM), pp. 467–476.
ASEASE-2010-WoollardMPM #named
Kadre: domain-specific architectural recovery for scientific software systems (DW, CM, DP, NM), pp. 325–328.
ICSEICSE-2010-Brondum #concept #detection
Software architecture for systems of software intensive systems (S3): the concepts and detection of inter-system relationships (JB), pp. 355–356.
ICSEICSE-2010-CarriereKO #framework
A cost-benefit framework for making architectural decisions in a business context (SJC, RK, IO), pp. 149–157.
ICSEICSE-2010-DeissenboeckHHJ #assessment #consistency #flexibility
Flexible architecture conformance assessment with ConQAT (FD, LH, BH, EJ), pp. 247–250.
ICSEICSE-2010-Kruchten #agile #development #question
Software architecture and agile software development: a clash of two cultures? (PK), pp. 497–498.
ICSEICSE-2010-Malavolta #generative
Providing support for creating next generation software architecture languages (IM), pp. 517–518.
ICSEICSE-2010-Mattsson #automation #design
Automatic enforcement of architectural design rules (AM), pp. 369–372.
ICSEICSE-2010-MedvidovicT #theory and practice
Software architecture: foundations, theory, and practice (NM, RNT), pp. 471–472.
ICSEICSE-2010-Meedeniya #evaluation #incremental #modelling #probability
An incremental methodology for quantitative software architecture evaluation with probabilistic models (IM), pp. 339–340.
ICSEICSE-2010-PaulischZ #case study #certification #experience
A role-based qualification and certification program for software architects: an experience report from Siemens (FP, PZ), pp. 21–27.
ICSEICSE-2010-Rodrigues #3d #approach #artificial reality #education #named
VisAr3D: an approach to software architecture teaching based on virtual and augmented reality (CSCR), pp. 351–352.
ICSEICSE-2010-SchaeferPT #parallel
Engineering parallel applications with tunable architectures (CAS, VP, WFT), pp. 405–414.
ICSEICSE-2010-UbayashiNT #contract #design #named
Archface: a contract place where architectural design and code meet together (NU, JN, TT), pp. 75–84.
SACSAC-2010-AddicksA #enterprise
A method for application evaluations in context of enterprise architecture (JSA, HJA), pp. 131–136.
SACSAC-2010-ChamberlainBFB #development
Application-guided tool development for architecturally diverse computation (RDC, JB, MAF, JHB), pp. 496–501.
SACSAC-2010-FacchinettiF #clustering #manycore #realtime
Resource partitioning for real-time processing on a multicore architecture (TF, MF), pp. 359–360.
SACSAC-2010-ForgetBLP #design #embedded #multi #realtime
A real-time architecture design language for multi-rate embedded control systems (JF, FB, DL, CP), pp. 527–534.
SACSAC-2010-FrantiKTS #concept #prototype
MOPSI location-based search engine: concept, architecture and prototype (PF, JK, AT, LS), pp. 872–873.
SACSAC-2010-Garcia-MagarinoCS #metric
A metrics suite for evaluating agent-oriented architectures (IGM, MC, VS), pp. 912–919.
SACSAC-2010-GomaaHKMM #adaptation
Software adaptation patterns for service-oriented architectures (HG, KH, MK, SM, DAM), pp. 462–469.
SACSAC-2010-HansenI #alloy #modelling
Modeling and analyzing architectural change with alloy (KMH, MI), pp. 2257–2264.
SACSAC-2010-HarshN #approach #independence #multi #network
Mode independent session directory service architecture: a unified approach for ASM and SSM multicast networks (PH, REN), pp. 647–654.
SACSAC-2010-Herold #component
Checking architectural compliance in component-based systems (SH), pp. 2244–2251.
SACSAC-2010-KatevaLRSTR #data mining #mining
SE-155 DBSA: a device-based software architecture for data mining (JK, PL, TR, JS, LT, JR), pp. 2273–2280.
SACSAC-2010-LitoiuWWNI #optimisation
A business driven cloud optimization architecture (ML, CMW, JW, JN, GI), pp. 380–385.
SACSAC-2010-LiuCXMBG #domain-specific language #question
Can domain-specific languages be implemented by service-oriented architecture? (SHL, AC, XX, MM, BRB, JG), pp. 2491–2492.
SACSAC-2010-MeertensIN #design #framework #modelling #platform
Goal and model driven design of an architecture for a care service platform (LOM, MEI, LJMN), pp. 158–164.
SACSAC-2010-PizzolatoAP #automation #recognition
Automatic recognition of finger spelling for LIBRAS based on a two-layer architecture (EBP, MdSA, GCP), pp. 969–973.
SACSAC-2010-ScannielloDDD #approach
An approach for architectural layer recovery (GS, AD, CD, TD), pp. 2198–2202.
SACSAC-2010-SerugendoFR #development #named #self
MetaSelf: an architecture and a development method for dependable self-* systems (GDMS, JSF, AR), pp. 457–461.
SACSAC-2010-ShahinLK #design #using #visualisation
Rationale visualization of software architectural design decision using compendium (MS, PL, MRK), pp. 2367–2368.
SACSAC-2010-SykesHMK #adaptation #non-functional #self
Exploiting non-functional preferences in architectural adaptation for self-managed systems (DS, WH, JM, JK), pp. 431–438.
SACSAC-2010-WeinreichB #development
Paving the road for formally defined architecture description in software development (RW, GB), pp. 2337–2343.
GPCEGPCE-J-2007-JungH10 #component #framework #scalability #specification
A type-centric framework for specifying heterogeneous, large-scale, component-oriented, architectures (GJ, JH), pp. 615–637.
ASPLOSASPLOS-2010-HarrisTCU #multi #runtime
Dynamic filtering: multi-purpose architecture support for language runtime systems (TH, ST, AC, OSÜ), pp. 39–52.
ASPLOSASPLOS-2010-SanchezYK #flexibility #scheduling
Flexible architectural support for fine-grain scheduling (DS, RMY, CK), pp. 311–322.
CASECASE-2010-DoroodgarN #learning
A hierarchical reinforcement learning based control architecture for semi-autonomous rescue robots in cluttered environments (BD, GN), pp. 948–953.
CASECASE-2010-DriesselHMS #assessment #heuristic #parallel #performance #scheduling
A parallel shifting bottleneck heuristic for scheduling complex job shops: Architecture and performance assessment (RD, UH, LM, WS), pp. 81–86.
CASECASE-2010-HametnerZS #automation #component #development #industrial #performance
Automation component architecture for the efficient development of industrial automation systems (RH, AZ, MS), pp. 156–161.
CASECASE-2010-LeeL #clustering #scheduling #tool support
An open scheduling architecture for cluster tools (JHL, TEL), pp. 420–425.
DACDAC-2010-HazraMDPBG #modelling #verification
Leveraging UPF-extracted assertions for modeling and formal verification of architectural power intent (AH, SM, PD, AP, DB, KG), pp. 773–776.
DACDAC-2010-JouWLCJ #design #generative #modelling #multi
New model-driven design and generation of multi-facet arbiters part I: from the design model to the architecture model (JMJ, SSW, YLL, CC, YLJ), pp. 258–261.
DACDAC-2010-ModarressiST #configuration management #network #performance
An efficient dynamically reconfigurable on-chip network architecture (MM, HSA, AT), pp. 166–169.
DACDAC-2010-OzdemirPDMLC #3d #parametricity
Quantifying and coping with parametric variations in 3D-stacked microarchitectures (SO, YP, AD, GM, GHL, ANC), pp. 144–149.
DACDAC-2010-PashaDS #generative #power management
A complete design-flow for the generation of ultra low-power WSN node architectures based on micro-tasking (MAP, SD, OS), pp. 693–698.
DACDAC-2010-TanWALCPA #multi
RAMP gold: an FPGA-based architecture simulator for multiprocessors (ZT, AW, RA, YL, HC, DAP, KA), pp. 463–468.
DACDAC-2010-TruongB #design #manycore #modelling
Circuit modeling for practical many-core architecture design exploration (DT, BMB), pp. 627–628.
DATEDATE-2010-AziziMSPH #design #framework
An integrated framework for joint design space exploration of microarchitecture and circuits (OA, AM, JPS, SJP, MH), pp. 250–255.
DATEDATE-2010-Benveniste #cyber-physical
Loosely Time-Triggered Architectures for Cyber-Physical Systems (AB), pp. 3–8.
DATEDATE-2010-CoskunARBM #3d #energy
Energy-efficient variable-flow liquid cooling in 3D stacked architectures (AKC, DA, TSR, TB, BM), pp. 111–116.
DATEDATE-2010-CupaiuoloST #detection #ml #throughput
Low-complexity high throughput VLSI architecture of soft-output ML MIMO detector (TC, MS, AT), pp. 1396–1401.
DATEDATE-2010-DadgourB #design #detection #novel #pipes and filters #using
Aging-resilient design of pipelined architectures using novel detection and correction circuits (HFD, KB), pp. 244–249.
DATEDATE-2010-FranzonDT #3d #design
Creating 3D specific systems: Architecture, design and CAD (PDF, WRD, TT), pp. 1684–1688.
DATEDATE-2010-GellertPZFVS #design #energy #predict #smt
Energy-performance design space exploration in SMT architectures exploiting selective load value predictions (AG, GP, VZ, AF, LNV, CS), pp. 271–274.
DATEDATE-2010-HsiehHCTTL #3d #design
TSV redundancy: Architecture and design issues in 3D IC (ACH, TH, MTC, MHT, CMT, HCL), pp. 166–171.
DATEDATE-2010-HsuYC #framework #refinement
An accurate system architecture refinement methodology with mixed abstraction-level virtual platform (ZMH, JCY, IYC), pp. 568–573.
DATEDATE-2010-Jara-BerrocalG #configuration management #embedded #named
VAPRES: A Virtual Architecture for Partially Reconfigurable Embedded Systems (AJB, AGR), pp. 837–842.
DATEDATE-2010-KoenigBSSABH #configuration management #multi #named #novel
KAHRISMA: A novel Hypermorphic Reconfigurable-Instruction-Set Multi-grained-Array architecture (RK, LB, TS, MS, WA, JB, JH), pp. 819–824.
DATEDATE-2010-KranenburgL #implementation #named #robust
MB-LITE: A robust, light-weight soft-core implementation of the MicroBlaze architecture (TK, RvL), pp. 997–1000.
DATEDATE-2010-LeemCBJM #fault #named #probability
ERSA: Error Resilient System Architecture for probabilistic applications (LL, HC, JB, QAJ, SM), pp. 1560–1565.
DATEDATE-2010-OmsCBK #automation #pipes and filters
Automatic microarchitectural pipelining (MGO, JC, DB, MK), pp. 961–964.
DATEDATE-2010-PakerEB #algorithm #low cost #multi #standard
A low cost multi-standard near-optimal soft-output sphere decoder: Algorithm and architecture (ÖP, SE, AB), pp. 1402–1407.
DATEDATE-2010-SyedLF #pervasive #self
An architecture for self-organization in pervasive systems (AAS, JL, RF), pp. 1548–1553.
DATEDATE-2010-TotaCRRZ #hybrid #message passing #multi #named
MEDEA: a hybrid shared-memory/message-passing multiprocessor NoC-based architecture (ST, MRC, MRR, LR, MZ), pp. 45–50.
DATEDATE-2010-TumeoRPFS #configuration management #implementation #multi #recognition #reliability
A reconfigurable multiprocessor architecture for a reliable face recognition implementation (AT, FR, GP, FF, DS), pp. 319–322.
DATEDATE-2010-WhittySHEP #configuration management #memory management #performance
Application-specific memory performance of a heterogeneous reconfigurable architecture (SW, HS, BH, RE, WPR), pp. 387–392.
DATEDATE-2010-ZhangLZMC #communication #generative
Domain specific architecture for next generation wireless communication (BZ, HL, HZ, FM, TC), pp. 1414–1419.
HPCAHPCA-2010-ChampagneL #scalability
Scalable architectural support for trusted software (DC, RBL), pp. 1–12.
HPCAHPCA-2010-GenbruggeEE #abstraction #simulation
Interval simulation: Raising the level of abstraction in architectural simulation (DG, SE, LE), pp. 1–12.
HPCAHPCA-2010-LiBKKRH #manycore #operating system
Operating system support for overlapping-ISA heterogeneous multi-core architectures (TL, PB, RCK, DAK, DR, SH), pp. 1–12.
HPCAHPCA-2010-MerinoPG #adaptation #low cost #named
ESP-NUCA: A low-cost adaptive Non-Uniform Cache Architecture (JM, VP, JÁG), pp. 1–10.
HPCAHPCA-2010-SunJCNXCL #energy #hybrid #performance
A Hybrid solid-state storage architecture for the performance, energy consumption, and lifetime improvement (GS, YJ, YC, DN, YX, YC, HL), pp. 1–12.
HPCAHPCA-2010-TangBHC #cpu #performance #using
DMA cache: Using on-chip storage to architecturally separate I/O data from CPU data for improving I/O performance (DT, YB, WH, MC), pp. 1–12.
HPCAHPCA-2010-WareRFBRRC #approach #power management
Architecting for power management: The IBM POWER7TM approach (MSW, KR, MSF, BB, JCR, FLRI, JBC), pp. 1–11.
HPCAHPCA-2010-WooSLL #3d #memory management
An optimized 3D-stacked memory architecture by exploiting excessive, high-density TSV bandwidth (DHW, NHS, DLL, HHSL), pp. 1–12.
HPDCHPDC-2010-BatiniGM #enterprise #using
Optimal enterprise data architecture using publish and subscribe (CB, SG, AM), pp. 541–547.
HPDCHPDC-2010-ChapmanEMCG #on-demand
Software architecture definition for on-demand cloud provisioning (CC, WE, FGM, SC, AG), pp. 61–72.
HPDCHPDC-2010-RivasAN #named #realtime
Janus: a cross-layer soft real-time architecture for virtualization (RR, MAA, KN), pp. 676–683.
ISMMISMM-2010-ZhaoBA #memory management #performance
Efficient memory shadowing for 64-bit architectures (QZ, DB, SPA), pp. 93–102.
LCTESLCTES-2010-LiXLZ #analysis #approximate #memory management
Analysis and approximation for bank selection instruction minimization on partitioned memory architecture (ML, CJX, TL, YZ), pp. 1–8.
PDPPDP-2010-EbrahimiDLPT #interface #network #using
A High-Performance Network Interface Architecture for NoCs Using Reorder Buffer Sharing (ME, MD, PL, JP, HT), pp. 546–550.
PDPPDP-2010-FreitasSAN #design #parallel
Impact of Parallel Workloads on NoC Architecture Design (HCdF, LMS, MAZA, POAN), pp. 551–555.
PDPPDP-2010-KrautsevichLMY
Risk-Based Usage Control for Service Oriented Architecture (LK, AL, FM, AY), pp. 641–648.
PDPPDP-2010-MadrugaFN #manycore #parallel #performance #symmetry
Parallel Shared-Memory Workloads Performance on Asymmetric Multi-core Architectures (FLM, HCdF, POAN), pp. 163–169.
PDPPDP-2010-MasonGC #evaluation #network #parallel #security
Evaluation of a Massively Parallel Architecture for Network Security Applications (BCM, DG, CLC), pp. 85–91.
PDPPDP-2010-RibeiroA #hybrid #named #persistent
DataCube: A P2P Persistent Data Storage Architecture Based on Hybrid Redundancy Schema (HBR, EA), pp. 302–306.
PPoPPPPoPP-2010-BaghsorkhiDPGH #adaptation #gpu #modelling #performance
An adaptive performance modeling tool for GPU architectures (SSB, MD, SJP, WDG, WmWH), pp. 105–114.
PPoPPPPoPP-2010-JangMSDK #data transformation #parallel #thread
Data transformations enabling loop vectorization on multithreaded data parallel architectures (BJ, PM, DS, RD, DRK), pp. 353–354.
WRLAWRLA-2010-SunMS
A Formal Pattern Architecture for Safe Medical Systems (MS, JM, LS), pp. 157–173.
CAVCAV-2010-ChatterjeeK #automation #communication #generative #induction #invariant #modelling
Automatic Generation of Inductive Invariants from High-Level Microarchitectural Models of Communication Fabrics (SC, MK), pp. 321–338.
ICTSSICTSS-2010-AlmeidaMSTV #distributed #performance #scalability
Efficient Distributed Test Architectures for Large-Scale Systems (ECdA, JEM, GS, YLT, PV), pp. 174–187.
CBSECBSE-2009-BotaschanjanH #functional
Integrating Functional and Architectural Views of Reactive Systems (JB, AH), pp. 156–172.
QoSAQoSA-2009-BiehlL #automation #consistency #development #modelling
Automated Architecture Consistency Checking for Model Driven Software Development (MB, WL), pp. 36–51.
QoSAQoSA-2009-BjornanderGL #simulation #specification #state machine
Timed Simulation of Extended AADL-Based Architecture Specifications with Timed Abstract State Machines (SB, LG, KL), pp. 101–115.
QoSAQoSA-2009-ChanP #behaviour #composition #predict #process
Compositional Prediction of Timed Behaviour for Process Control Architecture (KC, IP), pp. 86–100.
QoSAQoSA-2009-GarciaPEM #smell #towards
Toward a Catalogue of Architectural Bad Smells (JG, DP, GE, NM), pp. 146–162.
QoSAQoSA-2009-HinsmanSS
Achieving Agility through Architecture Visibility (CH, NS, JAS), pp. 116–129.
QoSAQoSA-2009-KoziolekWD #case study #evolution #industrial #product line
Evolving Industrial Software Architectures into a Software Product Line: A Case Study (HK, RW, JD), pp. 177–193.
QoSAQoSA-2009-KrogmannSBKMM #feedback #performance #predict #using #visualisation
Improved Feedback for Architectural Performance Prediction Using Software Cartography Visualizations (KK, CMS, SB, MK, AM, FM), pp. 52–69.
QoSAQoSA-2009-PoortPPCV
Successful Architectural Knowledge Sharing: Beware of Emotions (ERP, AP, MP, VC, HvV), pp. 130–145.
WICSA-ECSAWICSA-ECSA-2009-AboudAFHTUV #automation #classification #component #concept #using
Automated architectural component classification using concept lattices (NAA, GA, JRF, MH, CT, CU, SV), pp. 21–30.
WICSA-ECSAWICSA-ECSA-2009-AngelovGG #classification #effectiveness
A classification of software reference architectures: Analyzing their success and effectiveness (SA, PWPJG, DG), pp. 141–150.
WICSA-ECSAWICSA-ECSA-2009-AschauerDP #modelling #multi #towards
Towards a generic architecture for multi-level modeling (TA, GD, WP), pp. 121–130.
WICSA-ECSAWICSA-ECSA-2009-Axelsson #case study #embedded #industrial #product line
Evolutionary architecting of embedded automotive product lines: An industrial case study (JA), pp. 101–110.
WICSA-ECSAWICSA-ECSA-2009-Babar #agile #case study #challenge #development #using
An exploratory study of architectural practices and challenges in using agile software development approaches (MAB), pp. 81–90.
WICSA-ECSAWICSA-ECSA-2009-Bass #approach #design
Generate and test as a software architecture design approach (LB), pp. 309–312.
WICSA-ECSAWICSA-ECSA-2009-BielG #towards #usability
Towards a Method for Analyzing Architectural Support Levels of Usability (BB, VG), pp. 273–276.
WICSA-ECSAWICSA-ECSA-2009-BoerLTV #design #visualisation
Ontology-driven visualization of architectural design decisions (RCdB, PL, ACT, HvV), pp. 51–60.
WICSA-ECSAWICSA-ECSA-2009-BontaB #algebra #code generation #java #named #process
PADL2Java: A Java code generator for process algebraic architectural descriptions (EB, MB), pp. 161–170.
WICSA-ECSAWICSA-ECSA-2009-BrebnerOG #enterprise #evolution #modelling #performance
Performance modeling evolving Enterprise Service Oriented Architectures (PB, LO, JG), pp. 71–80.
WICSA-ECSAWICSA-ECSA-2009-BritoRL #fault tolerance #verification
Verifying architectural variabilities in software fault tolerance techniques (PHSB, CMFR, RdL), pp. 231–240.
WICSA-ECSAWICSA-ECSA-2009-Capilla #design #embedded
Embedded design rationale in software architecture (RC), pp. 305–308.
WICSA-ECSAWICSA-ECSA-2009-CarignanoGL #design
A model to represent architectural design rationale (MCC, SG, HPL), pp. 301–304.
WICSA-ECSAWICSA-ECSA-2009-EklundO #case study
A case study of the Architecture Business Cycle for an in-vehicle software architecture (UE, CMO), pp. 91–100.
WICSA-ECSAWICSA-ECSA-2009-EmeryH #framework #using
Every architecture description needs a framework: Expressing architecture frameworks using ISO/IEC 42010 (DEE, RH), pp. 31–40.
WICSA-ECSAWICSA-ECSA-2009-FarenhorstHLV
The lonesome architect (RF, JFH, PL, HvV), pp. 61–70.
WICSA-ECSAWICSA-ECSA-2009-FigueiredoGKGSPMSBRBAZM #case study #detection
Detecting architecture instabilities with concern traces: An exploratory study (EF, IG, SSK, AG, CS, AP, ALM, LFdS, TVB, RAR, PvdB, MA, SZ, AMDM), pp. 261–264.
WICSA-ECSAWICSA-ECSA-2009-GarlanBSC #evolution #tool support
Evolution styles: Foundations and tool support for software architecture evolution (DG, JMB, BRS, OC), pp. 131–140.
WICSA-ECSAWICSA-ECSA-2009-GuL #on the
On service-oriented architectural concerns and viewpoints (QG, PL), pp. 289–292.
WICSA-ECSAWICSA-ECSA-2009-Hen