BibSLEIGH
BibSLEIGH corpus
BibSLEIGH tags
BibSLEIGH bundles
BibSLEIGH people
EDIT!
CC-BY
Open Knowledge
XHTML 1.0 W3C Rec
CSS 2.1 W3C CanRec
email twitter
configuration management
Google configuration management

Tag #configuration management

713 papers:

ICSAICSA-2019-TelschigK #distributed #embedded
Synchronous Reconfiguration of Distributed Embedded Applications During Operation (KT, AK), pp. 121–130.
ICPCICPC-2019-SantosS #dependence #how #question
How does feature dependency affect configurable system comprehensibility? (DS, CS), pp. 19–29.
ICSMEICSME-2019-Ha0 #fourier #learning
Performance-Influence Model for Highly Configurable Software with Fourier Learning and Lasso Regression (HH, HZ0), pp. 470–480.
IFM-2019-BeekLLV #analysis #framework #modelling #summary
Summary of: A Framework for Quantitative Modeling and Analysis of Highly (re)configurable Systems (MHtB, AL, ALL, AV), pp. 547–551.
IFM-2019-DubrulleGKL #data flow
Dynamic Reconfigurations in Frequency Constrained Data Flow (PD, CG, NK, AL), pp. 175–193.
SEFMSEFM-2019-BittnerTS #case study #constraints #encoding #satisfiability
SAT Encodings of the At-Most-k Constraint - A Case Study on Configuring University Courses (PMB, TT, IS), pp. 127–144.
ICMLICML-2019-MetelliGR #learning
Reinforcement Learning in Configurable Continuous Environments (AMM, EG, MR), pp. 4546–4555.
ASEASE-2019-NguyenNTTN
Feature-Interaction Aware Configuration Prioritization for Configurable Code (SN, HN, NMT, HT, TNN), pp. 489–501.
ASEASE-2019-ReulingKRL #analysis
SiMPOSE - Configurable N-Way Program Merging Strategies for Superimposition-Based Analysis of Variant-Rich Software (DR, UK, SR, ML), pp. 1134–1137.
ICSE-2019-HaZ #named #network #performance #predict
DeepPerf: performance prediction for configurable software with deep sparse neural network (HH, HZ0), pp. 1095–1106.
ASPLOSASPLOS-2019-JiZXLWHZX #architecture #named #stack
FPSA: A Full System Stack Solution for Reconfigurable ReRAM-based NN Accelerator Architecture (YJ0, YZ, XX, SL, PW0, XH0, YZ, YX0), pp. 733–747.
CASECASE-2019-FechterDB #assembly #hybrid
Cost calculation model for reconfigurable, hybrid assembly systems (MF, TD, TB), pp. 836–841.
CASECASE-2019-HoangPDZH #multi #using
Reconfigurable Multi-UAV Formation Using Angle-Encoded PSO (VTH, MDP, THD, QZ, QPH), pp. 1670–1675.
ICSTICST-2019-PlazarAPDC #question #satisfiability
Uniform Sampling of SAT Solutions for Configurable Systems: Are We There Yet? (QP, MA, GP, XD, MC), pp. 240–251.
FMFM-2018-VandinBLL #analysis #named
QFLan: A Tool for the Quantitative Analysis of Highly Reconfigurable Systems (AV, MHtB, AL, ALL), pp. 329–337.
ICMLICML-2018-MetelliMR #markov #process
Configurable Markov Decision Processes (AMM, MM, MR), pp. 3488–3497.
MoDELSMoDELS-2018-ArcegaFC #algorithm #debugging #locality #modelling #runtime
Evolutionary Algorithm for Bug Localization in the Reconfigurations of Models at Runtime (LA, JF, CC), pp. 90–100.
ASEASE-2018-MukelabaiNMBS #case study #combinator #explosion #industrial
Tackling combinatorial explosion: a study of industrial needs and practices for analyzing highly configurable systems (MM, DN, SM, TB, JPS), pp. 155–166.
ESEC-FSEESEC-FSE-2018-ChenLCXL #framework #fuzzing #named
FOT: a versatile, configurable, extensible fuzzing framework (HC, YL, BC0, YX, YL0), pp. 867–870.
ESEC-FSEESEC-FSE-2018-JamshidiVKS #learning #modelling #performance
Learning to sample: exploiting similarities across environments to learn performance models for configurable systems (PJ, MV, CK, NS), pp. 71–82.
ESEC-FSEESEC-FSE-2018-WongMK #automation #execution #higher-order #mutation testing #program repair #testing
Beyond testing configurable systems: applying variational execution to automatic program repair and higher order mutation testing (CPW, JM, CK), pp. 749–753.
ASPLOSASPLOS-2018-ColinRL #architecture #energy
A Reconfigurable Energy Storage Architecture for Energy-harvesting Devices (AC, ER, BL), pp. 767–781.
ASPLOSASPLOS-2018-KwonSK #data flow #flexibility #named
MAERI: Enabling Flexible Dataflow Mapping over DNN Accelerators via Reconfigurable Interconnects (HK, AS, TK), pp. 461–475.
ASPLOSASPLOS-2018-RajaduraiBWA #named #source code
Gloss: Seamless Live Reconfiguration and Reoptimization of Stream Programs (SR, JB, WFW, SPA), pp. 98–112.
ASPLOSASPLOS-2018-ZhaL #multi #scalability
Liquid Silicon-Monona: A Reconfigurable Memory-Oriented Computing Fabric with Scalable Multi-Context Support (YZ, JL), pp. 214–228.
CASECASE-2018-BernardAG #3d #physics
Model for a Rigid, 3D Mechanism Inspired by Pop-Up Origami, and its Application to a Re-configurable, Physical Environmenl (AB, CHDA, KEG), pp. 1146–1151.
CASECASE-2018-GungorFM #composition #design #distributed #robust
Hierarchical Decentralized Robust Control Design for Modular and Reconfigurable Robots (GG, BF, WM), pp. 1006–1011.
CASECASE-2018-MarussyM #analysis #dependence #modelling
Constructing Dependability Analysis Models of Reconfigurable Production Systems (KM, IM), pp. 1158–1163.
CASECASE-2018-ScholzEMGH #composition #framework #knowledge-based
Smart modular reconfigurable fully-digital manufacturing system with a knowledge-based framework: example of a fabrication of microfluidic chips (SS, AE, TM, UG, VH), pp. 1012–1017.
ASEASE-2017-JamshidiSVKPA #analysis #learning #modelling #performance
Transfer learning for performance modeling of configurable systems: an exploratory analysis (PJ, NS, MV, CK, AP, YA), pp. 497–508.
ESEC-FSEESEC-FSE-2017-ErataGTK #automation #reasoning #semantics
A tool for automated reasoning about traces based on configurable formal semantics (FE, AG, BT, GK), pp. 959–963.
ICSE-2017-SoutodG #performance #testing
Balancing soundness and efficiency for practical testing of configurable systems (SS, Md, RG), pp. 632–642.
CASECASE-2017-KooFGPRB #lightweight
Robolink feeder: Reconfigurable bin-picking and feeding with a lightweight cable-driven manipulator (SK, GF, GMG, DP, MR, SB), pp. 41–48.
CGOCGO-2017-EggerLKMCLKHC #architecture #energy
A space- and energy-efficient code Compression/Decompression technique for coarse-grained reconfigurable architectures (BE, HL, DK, MSM, YC, YL, SK, SH, KC), pp. 197–209.
ICSTICST-2017-MarijanLGSI #named #optimisation #testing
TITAN: Test Suite Optimization for Highly Configurable Software (DM, ML, AG, SS, CI), pp. 524–531.
CBSECBSE-2016-SchubertHG #cyber-physical #execution #towards
Towards Safe Execution of Reconfigurations in Cyber-Physical Systems (DS, CH, CG), pp. 33–38.
CBSECBSE-2016-TricoireBLFSMBN #named
KevoreeJS: Enabling Dynamic Software Reconfigurations in the Browser (MT, OB, ML, FF, GS, BM, JB, GN, LM), pp. 49–58.
ECSAECSA-2016-HeimKRRW #architecture
Retrofitting Controlled Dynamic Reconfiguration into the Architecture Description Language MontiArcAutomaton (RH, OK, JOR, BR, AW), pp. 175–182.
ICSMEICSME-2016-AngererPG #composition #impact analysis
Modular Change Impact Analysis for Configurable Software (FA, HP, PG), pp. 468–472.
ICSMEICSME-2016-Dit #information retrieval #re-engineering
Configuring and Assembling Information Retrieval Based Solutions for Software Engineering Tasks (BD), pp. 641–646.
IFM-2016-ZaichenkovTS #constraints #interface
A Constraint Satisfaction Method for Configuring Non-local Service Interfaces (PZ, OT, AS), pp. 474–488.
OOPSLAOOPSLA-2016-HanappiHD #convergence #reliability
Asserting reliable convergence for configuration management scripts (OH, WH, SD), pp. 328–343.
ASEASE-2016-MeinickeWKTS #complexity #interactive #on the
On essential configuration complexity: measuring interactions in highly-configurable systems (JM, CPW, CK, TT, GS), pp. 483–494.
FSEFSE-2016-NguyenKCFP #interactive #named
iGen: dynamic interaction inference for configurable software (TN, UK, JC, JSF, AAP), pp. 655–665.
ICSE-2016-MedeirosKRGA #algorithm #comparison
A comparison of 10 sampling algorithms for configurable systems (FM, CK, MR, RG, SA), pp. 643–654.
ICSE-2016-SchroterKTBS #analysis #composition #interface
Feature-model interfaces: the highway to compositional analyses of highly-configurable systems (RS, SK, TT, FB, GS), pp. 667–678.
GPCEGPCE-2016-Al-HajjajiMKSTL #testing
Tool demo: testing configurable systems with FeatureIDE (MAH, JM, SK, RS, TT, TL, GS), pp. 173–177.
GPCEGPCE-2016-BrazGMRMT #approach
A change-centric approach to compile configurable systems with #ifdefs (LB, RG, MM, MR, FM, LT), pp. 109–119.
SLESLE-2016-LuoA #c #modelling #named #programming #semantics #state machine
BSML-mbeddr: integrating semantically configurable state-machine models in a C programming environment (ZL, JMA), pp. 105–117.
ASPLOSASPLOS-2016-PrabhakarKBLSKO #generative #hardware #parallel
Generating Configurable Hardware from Parallel Patterns (RP, DK, KJB, HL, CDS, CK, KO), pp. 651–665.
CASECASE-2016-CampbellTLPOF #approach #concurrent #modelling #π-calculus
Modeling concurrency and reconfiguration in vehicular systems: A π-calculus approach (JC, CET, PL0, TPP, ÜÖ, GEF), pp. 523–530.
CASECASE-2016-RegulinSAV #automation #design #knowledge base #modelling #multi
Model based design of knowledge bases in multi agent systems for enabling automatic reconfiguration capabilities of material flow modules (DR, DS, TA, BVH), pp. 133–140.
CBSECBSE-2015-LanoreP #component
A Reconfigurable Component Model for HPC (VL, CP), pp. 1–10.
ECSAECSA-2015-AlvaresRS #architecture #component
High-Level Language Support for Reconfiguration Control in Component-Based Architectures (FA, ÉR, LS), pp. 3–19.
QoSAQoSA-2015-MyllarniemiRM #product line #representation #security #variability
Representing and Configuring Security Variability in Software Product Lines (VM, MR, TM), pp. 1–10.
SIGMODSIGMOD-2015-ElmoreATPAA #database #fine-grained #in memory #memory management #named
Squall: Fine-Grained Live Reconfiguration for Partitioned Main Memory Databases (AJE, VA, RT, AP, DA, AEA), pp. 299–313.
ICSMEICSME-2015-Fenske #smell
Code smells in highly configurable software (WF), pp. 602–605.
ICALPICALP-v1-2015-MouawadNPR
Shortest Reconfiguration Paths in the Solution Space of Boolean Formulas (AEM, NN, VP, VR), pp. 985–996.
SEFMSEFM-2015-Jakobs #clustering #reduction #validation
Speed Up Configurable Certificate Validation by Certificate Reduction and Partitioning (MCJ), pp. 159–174.
ICGTICGT-2015-Padberg #petri net
Reconfigurable Petri Nets with Transition Priorities and Inhibitor Arcs (JP), pp. 104–120.
CHICHI-2015-DevendorfR #hybrid
Being the Machine: Reconfiguring Agency and Control in Hybrid Fabrication (LD, KR), pp. 2477–2486.
CAiSECAiSE-2015-TsuchiyaWFOM #feedback #interactive #requirements #traceability #using
Interactive Recovery of Requirements Traceability Links Using User Feedback and Configuration Management Logs (RT, HW, YF, KO, RM), pp. 247–262.
ICEISICEIS-v1-2015-GharbiGB #approach #embedded #hybrid #realtime #scheduling #search-based
A Hybrid Genetic based Approach for Real-time Reconfigurable Scheduling of OS Tasks in Uniprocessor Embedded Systems (IG, HG, SB), pp. 385–390.
ICEISICEIS-v2-2015-KriouileMA #as a service #towards
Towards a High Configurable SaaS — To Deploy and Bind Auser-aware Tenancy of the SaaS (HK, ZM, BEA), pp. 674–679.
ICEISICEIS-v2-2015-PieroniP #domain-specific language #network
A DSL for Configuration Management of Integrated Network Management System (RP, RADP), pp. 355–364.
CIKMCIKM-2015-GiurgiuBW #database #enterprise #modelling #relational
Comprehensible Models for Reconfiguring Enterprise Relational Databases to Avoid Incidents (IG, MB, DW), pp. 1371–1380.
SPLCSPLC-2015-ChavarriagaRNCJ #case study #experience #feature model #modelling #multi #using
Using multiple feature models to specify configuration options for electrical transformers: an experience report (JC, CR, CN, RC, VJ), pp. 216–224.
ASEASE-2015-SarkarGSAC #low cost #performance #predict
Cost-Efficient Sampling for Performance Prediction of Configurable Systems (T) (AS, JG, NS, SA, KC), pp. 342–352.
ASEASE-2015-ZhangGBC #fourier #learning #performance #predict
Performance Prediction of Configurable Software Systems by Fourier Learning (T) (YZ, JG, EB, KC), pp. 365–373.
ESEC-FSEESEC-FSE-2015-SiegmundGAK #modelling
Performance-influence models for highly configurable systems (NS, AG, SA, CK), pp. 284–294.
GTTSEGTTSE-2015-SchultzBSNHW #case study #domain-specific language #programming #self
DSLs in Robotics: A Case Study in Programming Self-reconfigurable Robots (UPS, MB, KS, AN, NH, SW), pp. 98–123.
ICSEICSE-v1-2015-HenardPHT #constraints #multi #product line #scalability #theorem proving
Combining Multi-Objective Search and Constraint Solving for Configuring Large Software Product Lines (CH, MP, MH, YLT), pp. 517–528.
ICSEICSE-v1-2015-RheinGAS0B
Presence-Condition Simplification in Highly Configurable Systems (AvR, AG, SA, NS, DB, TB), pp. 178–188.
CASECASE-2015-ZakharovZYJ #algorithm #distributed #fault tolerance #optimisation #performance #predict
A performance optimization algorithm for controller reconfiguration in fault tolerant distributed model predictive control (AZ, EZ, MY, SLJJ), pp. 886–891.
DACDAC-2015-EwetzJK #design
Construction of reconfigurable clock trees for MCMM designs (RE, SJ, CKK), p. 6.
DACDAC-2015-LiuMLLCLWJBWY #design #named
RENO: a high-efficient reconfigurable neuromorphic computing accelerator design (XL, MM, BL, HL, YC, BL, YW, HJ, MB, QW, JY), p. 6.
DACDAC-2015-LiuZ #performance
A reconfigurable analog substrate for highly efficient maximum flow computation (GL, ZZ), p. 6.
DACDAC-2015-SantosVK #adaptation #embedded #reliability
Dynamically adaptive scrubbing mechanism for improved reliability in reconfigurable embedded systems (RS, SV, AK), p. 6.
DACDAC-2015-ShafiqueAHH #latency
A low latency generic accuracy configurable adder (MS, WA, RH, JH), p. 6.
DACDAC-2015-TodmanSL #design #monitoring #runtime #verification
In-circuit temporal monitors for runtime verification of reconfigurable designs (TT, SS, WL), p. 6.
DACDAC-2015-WangLZYW #architecture #control flow
Acceleration of control flows on reconfigurable architecture with a composite method (JW, LL, JZ, SY, SW), p. 6.
DATEDATE-2015-BalboniFB #distributed #latency #multi #network #scalability #using
Synergistic use of multiple on-chip networks for ultra-low latency and scalable distributed routing reconfiguration (MB, JF, DB), pp. 806–811.
DATEDATE-2015-KriebelRSASH #analysis #combinator #fault #named #performance
ACSEM: accuracy-configurable fast soft error masking analysis in combinatorial circuits (FK, SR, DS, PVA, MS, JH), pp. 824–829.
DATEDATE-2015-Lastras-Montano #hybrid #memory management #named
HReRAM: a hybrid reconfigurable resistive random-access memory (MALM, AG, KTC), pp. 1299–1304.
DATEDATE-2015-LinWPKC
Event-driven and sensorless photovoltaic system reconfiguration for electric vehicles (XL, YW, MP, JK, NC), pp. 19–24.
DATEDATE-2015-MavropoulosKN #architecture
A defect-aware reconfigurable cache architecture for low-vccmin DVFS-enabled systems (MM, GK, DN), pp. 417–422.
DATEDATE-2015-PaganoVRCSS
Thermal-aware floorplanning for partially-reconfigurable FPGA-based systems (DP, MV, MR, RC, DS, MDS), pp. 920–923.
DATEDATE-2015-PaulinoFBC #execution #hardware #using
Transparent acceleration of program execution using reconfigurable hardware (NMCP, JCF, JB, JMPC), pp. 1066–1071.
DATEDATE-2015-RahaVRR #approximate #energy #performance #quality
Quality configurable reduce-and-rank for energy efficient approximate computing (AR, SV, VR, AR), pp. 665–670.
DATEDATE-2015-RanjanRVPRR #memory management #named #using
DyReCTape: a dynamically reconfigurable cache using domain wall memory tapes (AR, SGR, RV, VSP, KR, AR), pp. 181–186.
DATEDATE-2015-TangAKP #communication
Dynamic reconfigurable puncturing for secure wireless communication (LT, JAA, AK, SP), pp. 888–891.
DATEDATE-2015-TuYOLW #architecture #hardware #named
RNA: a reconfigurable architecture for hardware neural acceleration (FT, SY, PO, LL, SW), pp. 695–700.
PDPPDP-2015-SilveiraMCBFM #fault tolerance #performance #preprocessor
Preprocessing of Scenarios for Fast and Efficient Routing Reconfiguration in Fault-Tolerant NoCs (JS, CAMM, PC, GCB, JMF, RM), pp. 404–411.
SOSPSOSP-2015-TangKVCWNDK #facebook
Holistic configuration management at Facebook (CT, TK, PV, AC, ZW, AN, PD, RK), pp. 328–343.
CBSECBSE-2014-BenedittoW #runtime #using
Using a model to generate reconfiguration plans at runtime (MEMDB, CMLW), pp. 65–74.
CBSECBSE-2014-BuissonCDLM #component
Coqcots & pycots: non-stopping components for safe dynamic reconfiguration (JB, EC, FD, EL, SM), pp. 85–90.
CBSECBSE-2014-DuranS #robust
Robust reconfiguration of cloud applications (FD, GS), pp. 179–184.
CBSECBSE-2014-SurajbaliGC #architecture #flexibility #named
AO-OpenCom: an AO-middleware architecture supporting flexible dynamic reconfiguration (BS, PG, GC), pp. 75–84.
ECSAECSA-2014-SurajbaliGC #architecture #consistency #framework
A Consistency Framework for Dynamic Reconfiguration in AO-Middleware Architectures (BS, PG, GC), pp. 398–405.
FDGFDG-2014-GarbeRWM #bound #named #novel
Ice-Bound: A novel of reconfiguration (JG, AAR, NWF, MM).
ICEISICEIS-v2-2014-SilvaFG #product line #runtime #towards
Toward a QoS Based Run-time Reconfiguration in Service-oriented Dynamic Software Product Lines (JRFdS, ASdMF, VCG), pp. 460–465.
RecSysRecSys-2014-Ben-ShimonTFH #as a service #monitoring #recommendation
Configuring and monitoring recommender system as a service (DBS, AT, MF, JH), pp. 363–364.
SEKESEKE-2014-TangLYX #development #towards
Towards Sustainability-Oriented Development of Dynamic Reconfigurable Software Systems (ST, LL, WY, JX), pp. 598–602.
ASEASE-2014-JinCQR #named
PrefFinder: getting the right preference in configurable software systems (DJ, MBC, XQ, BR), pp. 151–162.
ASEASE-2014-LillackKB
Tracking load-time configuration options (ML, CK, EB), pp. 445–456.
ASEASE-2014-MatinnejadNBB #modelling #scalability #testing #using
MiL testing of highly configurable continuous controllers: scalable search using surrogate models (RM, SN, LCB, TB), pp. 163–174.
FSEFSE-2014-SwansonCDGF #adaptation #self
Beyond the rainbow: self-adaptive failure avoidance in configurable systems (JS, MBC, MBD, BJG, JF), pp. 377–388.
ICSEICSE-2014-ZhangE #question
Which configuration option should I change? (SZ, MDE), pp. 152–163.
DACDAC-2014-ChangJC #functional #using
Functional ECO Using Metal-Configurable Gate-Array Spare Cells (HYC, IHRJ, YWC), p. 6.
DACDAC-2014-ParikhDB #power management
Power-Aware NoCs through Routing and Topology Reconfiguration (RP, RD, VB), p. 6.
DACDAC-2014-ShanSFZTXYL #algorithm #analysis #encryption #multi
A Side-channel Analysis Resistant Reconfigurable Cryptographic Coprocessor Supporting Multiple Block Cipher Algorithms (WS, LS, XF, XZ, CT, ZX, JY, JL), p. 6.
DACDAC-2014-ZhangKIBWH #named #reliability
GUARD: GUAranteed Reliability in Dynamically Reconfigurable Systems (HZ, MAK, MEI, LB, HJW, JH), p. 6.
DATEDATE-2014-AhariAKT #architecture #power management #using
A power-efficient reconfigurable architecture using PCM configuration technology (AA, HA, BK, MBT), pp. 1–6.
DATEDATE-2014-AshammagariMH #design #functional #performance #power management
Exploiting STT-NV technology for reconfigurable, high performance, low power, and low temperature functional unit design (ARA, HM, HH), pp. 1–6.
DATEDATE-2014-ButerOGO #named
DCM: An IP for the autonomous control of optical and electrical reconfigurable NoCs (WB, CO, DG, AGO), pp. 1–4.
DATEDATE-2014-CastellanaTF #adaptation #hybrid #interface #memory management
An adaptive Memory Interface Controller for improving bandwidth utilization of hybrid and reconfigurable systems (VGC, AT, FF), pp. 1–4.
DATEDATE-2014-ChenCH #array #constraints #synthesis
Area minimization synthesis for reconfigurable single-electron transistor arrays with fabrication constraints (YHC, JYC, JDH), pp. 1–4.
DATEDATE-2014-LeePB
Brisk and limited-impact NoC routing reconfiguration (DL, RP, VB), pp. 1–6.
DATEDATE-2014-LeeWP #framework #manycore #named
VRCon: Dynamic reconfiguration of voltage regulators in a multicore platform (WL, YW, MP), pp. 1–6.
DATEDATE-2014-LiuHL #approximate #fault #multi #power management
A low-power, high-performance approximate multiplier with configurable partial error recovery (CL, JH, FL), pp. 1–4.
DATEDATE-2014-LiuW #design #embedded #logic #obfuscation
Embedded reconfigurable logic for ASIC design obfuscation against supply chain attacks (BL, BW), pp. 1–6.
DATEDATE-2014-MaurichG #encryption #lightweight
Lightweight code-based cryptography: QC-MDPC McEliece encryption on reconfigurable devices (IvM, TG), pp. 1–6.
DATEDATE-2014-TsaiCCC #3d #memory management #multi
Scenario-aware data placement and memory area allocation for Multi-Processor System-on-Chips with reconfigurable 3D-stacked SRAMs (MLT, YJC, YTC, RHC), pp. 1–6.
DATEDATE-2014-WeberTGHKM #challenge
Reconfigurable silicon nanowire devices and circuits: Opportunities and challenges (WMW, JT, MG, AH, MK, TM), pp. 1–6.
DATEDATE-2014-YinOLW
Extending lifetime of battery-powered coarse-grained reconfigurable computing platforms (SY, PO, LL, SW), pp. 1–6.
ISMMISMM-2014-BaconCS #garbage collection #hardware #parallel #realtime
Parallel real-time garbage collection of multiple heaps in reconfigurable hardware (DFB, PC, SS), pp. 117–127.
OSDIOSDI-2014-ArdekaniT #self
A Self-Configurable Geo-Replicated Cloud Storage System (MSA, DBT), pp. 367–381.
PDPPDP-2014-LakhlefMB #algorithm #parallel #performance #self
Efficient Parallel Self-Reconfiguration Algorithm for MEMS Microrobots (HL, HM, JB), pp. 154–161.
FASEFASE-2014-CohenM #analysis #semantics #specification
Semantically Configurable Analysis of Scenario-Based Specifications (BC, SM), pp. 185–199.
FoSSaCSFoSSaCS-2014-BertrandFS #game studies #network
Playing with Probabilities in Reconfigurable Broadcast Networks (NB, PF, AS), pp. 134–148.
CBSECBSE-2013-HeinzemannB #architecture #component
Executing reconfigurations in hierarchical component architectures (CH, SB), pp. 3–12.
ICALPICALP-v2-2013-CosmoMZZ #component
Component Reconfiguration in the Presence of Conflicts (RDC, JM, SZ, GZ), pp. 187–198.
CHICHI-2013-VinesCWMO #design #how #people
Configuring participation: on how we involve people in design (JV, RC, PCW, JCM, PO), pp. 429–438.
HCIHCI-UC-2013-Kampf #design #mobile #online
Reconfiguring the Corporate and Commons: Mobile and Online Activism as a Form of Socio-technical Design (CK), pp. 388–395.
ICEISICEIS-v2-2013-RositoRB #case study
An Experimental Study on the Dynamic Reconfiguration of Software Projects (MCR, MBR, RMB), pp. 232–239.
ICEISICEIS-v3-2013-SbaiFK #adaptation #approach #modelling #process #towards
Towards a Process Patterns based Approach for Promoting Adaptability in Configurable Process Models (HS, MF, LK), pp. 382–387.
SEKESEKE-2013-TaoLG #approach #architecture #component #incremental #testing #using
Testing Configurable Architectures For Component-Based Software Using an Incremental Approach (CT, BL, JG), pp. 356–361.
SPLCSPLC-2013-Fantechi #product line
Topologically configurable systems as product families (AF), pp. 151–156.
ECOOPECOOP-2013-AuerbachBCFR #compilation #graph #hardware
The Shape of Things to Run — Compiling Complex Stream Graphs to Reconfigurable Hardware in Lime (JSA, DFB, PC, SF, RMR), pp. 679–706.
ESEC-FSEESEC-FSE-2013-KimMKBSBd #combinator #dynamic analysis #lightweight #named #testing
SPLat: lightweight dynamic analysis for reducing combinatorics in testing configurable systems (CHPK, DM, SK, DSB, SS, PB, Md), pp. 257–267.
ICSEICSE-2013-BoyerGP #component #robust
Robust reconfigurations of component assemblies (FB, OG, DP), pp. 13–22.
ICSEICSE-2013-FreyFH #deployment #in the cloud #optimisation #search-based
Search-based genetic optimization for deployment and reconfiguration of software in the cloud (SF, FF, WH), pp. 512–521.
SACSAC-2013-ImJLL #manycore #operating system
A dynamically reconfigurable operating system for manycore systems (CI, MJ, JL, SL), pp. 1622–1627.
SACSAC-2013-LakhlefMB #algorithm #distributed #performance #self
Distributed and efficient algorithm for self-reconfiguration of MEMS microrobots (HL, HM, JB), pp. 560–566.
SACSAC-2013-MezghaniHRD #architecture #modelling
A model driven methodology for enabling autonomic reconfiguration of service oriented architecture (EM, RBH, IBR, KD), pp. 1772–1773.
SACSAC-2013-OliveiraB #on the
On the reconfiguration of software connectors (NO, LSB), pp. 1885–1892.
CASECASE-2013-MoubarakAB #composition #multi #scheduling
Reconfiguring a modular robot into a humanoid formation: A multi-body dynamic perspective on motion scheduling for modules and their assemblies (PMM, EJA, PBT), pp. 687–692.
CASECASE-2013-SaidiM #analysis #development #self
Analysis and development of self-reconfigurable open kinematic machinery systems (RAS, BPM), pp. 966–971.
DACDAC-2013-HamzehSV #architecture #named
REGIMap: register-aware application mapping on coarse-grained reconfigurable architectures (CGRAs) (MH, AS, SBKV), p. 10.
DACDAC-2013-JahnPKCH #optimisation #pipes and filters
Optimizations for configuring and mapping software pipelines in many core systems (JJ, SP, SK, JJC, JH), p. 8.
DACDAC-2013-TangAP #communication #multi #pipes and filters
Reconfigurable pipelined coprocessor for multi-mode communication transmission (LT, JAA, SP), p. 8.
DATEDATE-2013-CassanoCKHPS #online #testing
On-line testing of permanent radiation effects in reconfigurable systems (LC, DC, SK, JH, MP, LS), pp. 717–720.
DATEDATE-2013-ChenPKSCP #named
SMART: a single-cycle reconfigurable NoC for SoC applications (CHOC, SP, TK, SS, APC, LSP), pp. 338–343.
DATEDATE-2013-ChiangTWHCDN #array #on the #order #synthesis #using
On reconfigurable single-electron transistor arrays synthesis using reordering techniques (CEC, LFT, CYW, CYH, YCC, SD, VN), pp. 1807–1812.
DATEDATE-2013-HsiehWH #distributed #named #network
DANCE: distributed application-aware node configuration engine in shared reconfigurable sensor networks (CMH, ZW, JH), pp. 839–842.
DATEDATE-2013-ImagawaTOS #analysis #architecture #effectiveness
A cost-effective selective TMR for heterogeneous coarse-grained reconfigurable architectures based on DFG-level vulnerability analysis (TI, HT, HO, TS), pp. 701–706.
DATEDATE-2013-LiSRRRAHP #named
CSER: HW/SW configurable soft-error resiliency for application specific instruction-set processors (TL, MS, SR, SR, RGR, JAA, JH, SP), pp. 707–712.
DATEDATE-2013-MartinB #integration
Configurable I/O integration to reduce system-on-chip time to market: DDR, PCIe examples (FM, PB), p. 169.
DATEDATE-2013-MiryalaMCMP #logic
A verilog-a model for reconfigurable logic gates based on graphene pn-junctions (SM, MM, AC, EM, MP), pp. 877–880.
DATEDATE-2013-NavasSO #array #flexibility #framework #platform #reuse
The RecoBlock SoC platform: a flexible array of reusable run-time-reconfigurable IP-blocks (BN, IS, ), pp. 833–838.
DATEDATE-2013-PouyanAMR #adaptation #design #implementation
Design and implementation of an adaptive proactive reconfiguration technique for SRAM caches (PP, EA, FM, AR), pp. 1303–1306.
DATEDATE-2013-RutzigBC #energy #framework #multi #platform
A transparent and energy aware reconfigurable multiprocessor platform for simultaneous ILP and TLP exploitation (MBR, ACSB, LC), pp. 1559–1564.
DATEDATE-2013-ShreejithVFL #approach #network #using
An approach for redundancy in FlexRay networks using FPGA partial reconfiguration (SS, KV, SAF, ML), pp. 721–724.
DATEDATE-2013-VenkataramaniRR #approximate #design #named #paradigm #quality
Substitute-and-simplify: a unified design paradigm for approximate and quality configurable circuits (SV, KR, AR), pp. 1367–1372.
DATEDATE-2013-WangLPKC #architecture #cost analysis #design #optimisation
Capital cost-aware design and partial shading-aware architecture optimization of a reconfigurable photovoltaic system (YW, XL, MP, JK, NC), pp. 909–912.
DATEDATE-2013-WangYWZ #3d #manycore #network
3D reconfigurable power switch network for demand-supply matching between multi-output power converters and many-core microprocessors (KW, HY, BW, CZ), pp. 1643–1648.
DATEDATE-2013-XydisPS
Thermal-aware datapath merging for coarse-grained reconfigurable processors (SX, GP, CS), pp. 1649–1654.
PDPPDP-2013-BoukhobzaOR #hybrid #named
CACH-FTL: A Cache-Aware Configurable Hybrid Flash Translation Layer (JB, PO, SR), pp. 94–101.
PPoPPPPoPP-2013-0003CTT #scheduling
Work-stealing with configurable scheduling strategies (MW, DC, JLT, PT), pp. 315–316.
CBSECBSE-2012-GhafariJSH #approach #architecture #component #consistency
An architectural approach to ensure globally consistent dynamic reconfiguration of component-based systems (MG, PJ, SS, HH), pp. 177–182.
CBSECBSE-2012-HeinzemannPB #architecture #component #modelling #towards
Towards modeling reconfiguration in hierarchical component architectures (CH, CP, SB), pp. 23–28.
WICSA-ECSAWICSA-ECSA-2012-Lopez-JaqueroMNEC
Supporting ARINC 653-based Dynamic Reconfiguration (VLJ, FM, EN, AE, JAC), pp. 11–20.
SIGMODSIGMOD-2012-TeubnerWN #automaton
Skeleton automata for FPGAs: reconfiguring without reconstructing (JT, LW, CN), pp. 229–240.
ITiCSEITiCSE-2012-Kurmas12b #documentation #html #named
Kielce: configurable HTML course documents (ZK), p. 403.
FMFM-2012-DormoyKL #component #refinement
When Structural Refinement of Components Keeps Temporal Properties over Reconfigurations (JD, OK, AL), pp. 171–186.
SEFMSEFM-2012-ColomboFMP #bound #monitoring #named #resource management #runtime #verification
polyLarva: Runtime Verification with Configurable Resource-Aware Monitoring Boundaries (CC, AF, RM, GJP), pp. 218–232.
CAiSECAiSE-2012-LonnUWJ #modelling #process
Configurable Process Models for the Swedish Public Sector (CML, EU, PW, GJS), pp. 190–205.
SEKESEKE-2012-AffonsoR #architecture #development
A Proposal of Reference Architecture for the Reconfigurable Software Development (FJA, ELLR), pp. 668–671.
SEKESEKE-2012-ShinKKJR #dependence #impact analysis #using
Reconfiguration of Robot Applications using Data Dependency and Impact Analysis (MES, TK, SK, SJ, MR), pp. 684–687.
AdaEuropeAdaEurope-2012-Garcia-VallsI #ada #distributed #middleware #realtime
Integrating Middleware for Timely Reconfiguration of Distributed Soft Real-Time Systems with Ada DSA (MGV, FIV), pp. 35–48.
PLDIPLDI-2012-BaconCS #garbage collection #hardware #realtime
And then there were none: a stall-free real-time garbage collector for reconfigurable hardware (DFB, PC, SS), pp. 23–34.
RERE-2012-LiZ0 #feature model #modelling #named
MbFM: A matrix-based tool for modeling and configuring feature models (LL, HZ, WZ), pp. 325–326.
SACSAC-2012-CalhauF #integration #ontology #semantics
A Configuration Management task ontology for semantic integration (RFC, RdAF), pp. 348–353.
SACSAC-2012-HorikoshiNTO #adaptation #non-functional #self
Dynamic reconfiguration in self-adaptive systems considering non-functional properties (HH, HN, YT, AO), pp. 1144–1150.
DACDAC-2012-ChangJC #optimisation #using
Timing ECO optimization using metal-configurable gate-array spare cells (HYC, IHRJ, YWC), pp. 802–807.
DACDAC-2012-KahngK #approximate #design
Accuracy-configurable adder for approximate arithmetic designs (ABK, SK), pp. 820–825.
DACDAC-2012-LinWYSCP
Near-optimal, dynamic module reconfiguration in a photovoltaic system to combat partial shading effects (XL, YW, SY, DS, NC, MP), pp. 516–521.
DACDAC-2012-YuanLX #debugging #named
X-tracer: a reconfigurable X-tolerant trace compressor for silicon debug (FY, XL, QX), pp. 555–560.
DATEDATE-2012-BonamyPPC #named #power management
UPaRC — Ultra-fast power-aware reconfiguration controller (RB, HMP, SP, DC), pp. 1373–1378.
DATEDATE-2012-ChaoCTHC #scheduling
Congestion-aware scheduling for NoC-based reconfigurable systems (HLC, YRC, SYT, PAH, SJC), pp. 1561–1566.
DATEDATE-2012-ChenCHLLPR #design #energy #hybrid
Dynamically reconfigurable hybrid cache: An energy-efficient last-level cache design (YTC, JC, HH, BL, CL, MP, GR), pp. 45–50.
DATEDATE-2012-ChenMM #manycore #online #scheduling
Online scheduling for multi-core shared reconfigurable fabric (LC, TM, TM), pp. 582–585.
DATEDATE-2012-GrudnitskyBH #architecture
Partial online-synthesis for mixed-grained reconfigurable architectures (AG, LB, JH), pp. 1555–1560.
DATEDATE-2012-HanPC #architecture #power management
State-based full predication for low power coarse-grained reconfigurable architecture (KH, SP, KC), pp. 1367–1372.
DATEDATE-2012-MarianiSPZSB #architecture #design #multi #resource management #runtime #using
Using multi-objective design space exploration to enable run-time resource management for reconfigurable architectures (GM, VMS, GP, VZ, CS, KB), pp. 1379–1384.
DATEDATE-2012-QianTT #self #using
A flit-level speedup scheme for network-on-chips using self-reconfigurable bi-directional channels (ZQ, YFT, CYT), pp. 1295–1300.
DATEDATE-2012-RudolfTWW #automation #identification
Automated critical device identification for configurable analogue transistors (RR, PT, RW, PRW), pp. 858–861.
DATEDATE-2012-TsaiLL #analysis #design
Design and analysis of via-configurable routing fabrics for structured ASICs (HPT, RBL, LCL), pp. 1479–1482.
DATEDATE-2012-WernerOGHB #distributed #manycore
Virtualized on-chip distributed computing for heterogeneous reconfigurable multi-core systems (SW, OO, DG, MH, JB), pp. 280–283.
DATEDATE-2012-ZhengLGBYC #communication #power management
Power-efficient calibration and reconfiguration for on-chip optical communication (YZ, PL, MG, JB, SY, KTC), pp. 1501–1506.
HPCAHPCA-2012-MukundanM #memory management #multi #named #self
MORSE: Multi-objective reconfigurable self-optimizing memory scheduler (JM, JFM), pp. 65–76.
HPDCHPDC-2012-ParkLKHM #pipes and filters #virtual machine
Locality-aware dynamic VM reconfiguration on MapReduce clouds (JP, DL, BK, JH, SM), pp. 27–36.
ISMMISMM-2012-ZhouD #locality #manycore #memory management #policy
Memory management for many-core processors with software configurable locality policies (JZ, BD), pp. 3–14.
ECSAECSA-2011-KrichenHZJ #approach #modelling #towards
Towards a Model-Based Approach for Reconfigurable DRE Systems (FK, BH, BZ, MJ), pp. 295–302.
ECSAECSA-2011-MassowHH #architecture #component #performance #runtime #simulation
Performance Simulation of Runtime Reconfigurable Component-Based Software Architectures (RvM, AvH, WH), pp. 43–58.
ECSAECSA-2011-OrlicDML #architecture #component #concept #framework #resource management
Dynamically Reconfigurable Resource-Aware Component Framework: Architecture and Concepts (BO, ID, RHM, JJL), pp. 212–215.
FMFM-2011-BoyerGS #protocol #specification #verification
Specifying and Verifying the SYNERGY Reconfiguration Protocol with LOTOS NT and CADP (FB, OG, GS), pp. 103–117.
SEFMSEFM-2011-MoriLDID #adaptation #self
Leveraging State-Based User Preferences in Context-Aware Reconfigurations for Self-Adaptive Systems (MM, FL, CD, PI, SD), pp. 286–301.
AGTIVEAGTIVE-2011-BuchmannWW #case study #graph transformation
The Added Value of Programmed Graph Transformations — A Case Study from Software Configuration Management (TB, BW, SW), pp. 198–209.
HCIHCI-DDA-2011-BomsdorfGHM #design #execution #modelling #runtime
Configurable Executable Task Models Supporting the Transition from Design Time to Runtime (BB, SG, MH, JTM), pp. 155–164.
HCIHCI-DDA-2011-Sandnes #framework #image #scalability
A Configurable Photo Browser Framework for Large Image Collections (FES), pp. 643–652.
HCIHIMI-v1-2011-ChiabrandoFGLL #interface #ontology
Dynamic Interface Reconfiguration Based on Different Ontological Relations (EC, RF, PG, SL, IL), pp. 538–547.
EDOCEDOC-2011-UotiJKOG #collaboration #process
Project Alignment: A Configurable Model and Tool for Managing Critical Shared Processes in Collaborative Projects (MU, KJ, IK, MO, SG), pp. 87–96.
SEKESEKE-2011-GaoGMTBK #analysis #complexity #component #modelling #testing
Testing Configurable Component-Based Software — Configuration Test Modeling and Complexity Analysis (JG, JG, AM, CT, XB, DCK), pp. 495–502.
SEKESEKE-2011-KimLZKLS #approach #modelling #privacy
A Feature-Based Modeling Approach to Configuring Privacy and Temporality in RBAC (SK, YTL, YZ, DKK, LL, VS), pp. 666–671.
MODELSMoDELS-2011-MaozRR #analysis #consistency #diagrams #semantics
Semantically Configurable Consistency Analysis for Class and Object Diagrams (SM, JOR, BR), pp. 153–167.
ESEC-FSEESEC-FSE-2011-MaBGML #component #distributed
Version-consistent dynamic reconfiguration of component-based distributed systems (XM, LB, CG, VPLM, JL), pp. 245–255.
ICSEICSE-2011-RabkinK
Static extraction of program configuration options (AR, RHK), pp. 131–140.
ICSEICSE-2011-RamasubbuCBH #analysis #multi #quality
Configuring global software teams: a multi-company analysis of project productivity, quality, and profits (NR, MC, RKB, JDH), pp. 261–270.
SACSAC-2011-KungasD #web #web service
Configurable SOAP proxy cache for data provisioning web services (PK, MD), pp. 1614–1621.
SACSAC-2011-LinTBBK #component #distributed #evolution #programming
Transparent componentisation: high-level (re)configurable programming for evolving distributed systems (SL, FT, MB, GSB, AMK), pp. 203–208.
SACSAC-2011-Liu #agile #using
Rapid application configuration in Amazon cloud using configurable virtual appliances (HL), pp. 147–154.
DACDAC-2011-ChenEWDXN #array #automation
Automated mapping for reconfigurable single-electron transistor arrays (YCC, SE, CYW, SD, YX, VN), pp. 878–883.
DACDAC-2011-ChenM #manycore
Shared reconfigurable fabric for multi-core customization (LC, TM), pp. 830–835.
DACDAC-2011-KinsmanN #on the fly
Dynamic binary translation to a reconfigurable target for on-the-fly acceleration (PK, NN), pp. 286–287.
DACDAC-2011-LifaEP #detection #fault #optimisation #performance
Performance optimization of error detection based on speculative reconfiguration (AAL, PE, ZP), pp. 369–374.
DACDAC-2011-WangMR #clustering #energy #manycore #optimisation #realtime
Dynamic cache reconfiguration and partitioning for energy optimization in real-time multi-core systems (WW, PM, SR), pp. 948–953.
DATEDATE-2011-AhmedSBH #multi #named #runtime
mRTS: Run-time system for reconfigurable processors with multi-grained instruction-set extensions (WA, MS, LB, JH), pp. 1554–1559.
DATEDATE-2011-AnsaloniPTD #array #scheduling
Slack-aware scheduling on Coarse Grained Reconfigurable Arrays (GA, LP, KT, ND), pp. 1513–1516.
DATEDATE-2011-Cilardo #hardware
The potential of reconfigurable hardware for HPC cryptanalysis of SHA-1 (AC), pp. 998–1003.
DATEDATE-2011-DragomirB #architecture
Loop distribution for K-loops on Reconfigurable Architectures (OSD, KB), pp. 1548–1553.
DATEDATE-2011-KlobedanzK0 #approach #fault tolerance #network
A reconfiguration approach for fault-tolerant FlexRay networks (KK, AK, WM), pp. 82–87.
DATEDATE-2011-LafiLJ #3d #framework #platform
A 3D reconfigurable platform for 4G telecom applications (WL, DL, AAJ), pp. 555–558.
DATEDATE-2011-LangeWK #memory management #multi
MARC II: A parametrized speculative multi-ported memory subsystem for reconfigurable computers (HL, TW, AK), pp. 1352–1357.
DATEDATE-2011-MeyerNHBSGSB #performance #using
Fast Start-up for Spartan-6 FPGAs using Dynamic Partial Reconfiguration (JM, JN, MH, LB, OS, RMG, RS, JB), pp. 1542–1547.
DATEDATE-2011-SafarESS #pipes and filters #satisfiability
A reconfigurable, pipelined, conflict directed jumping search SAT solver (MS, MWEK, MS, AS), pp. 1243–1248.
DATEDATE-2011-ShafiqueBAH #manycore #resource management #runtime
Minority-Game-based resource allocation for run-time reconfigurable multi-core processors (MS, LB, WA, JH), pp. 1261–1266.
DATEDATE-2011-SterponeCMWF #power management
A new reconfigurable clock-gating technique for low power SRAM-based FPGAs (LS, LC, DM, SW, FF), pp. 752–757.
DATEDATE-2011-VidalLGDG #design #implementation #uml
Dynamic applications on reconfigurable systems: From UML model design to FPGAs implementation (JV, FdL, GG, JPD, SG), pp. 1208–1211.
DATEDATE-2011-WangNKWRLMB #using
High-temperature (>500°C) reconfigurable computing using silicon carbide NEMS switches (XW, SN, ARK, FGW, SR, THL, MM, SB), pp. 1065–1070.
DATEDATE-2011-YoonLJPKPC #embedded #incremental #named
I2CRF: Incremental interconnect customization for embedded reconfigurable fabrics (JWY, JL, JJ, SP, YK, YP, DC), pp. 1346–1351.
HPCAHPCA-2011-SrikantaiahKZKIX #adaptation #multi #named
MorphCache: A Reconfigurable Adaptive Multi-level Cache hierarchy (SS, EK, TZ, MTK, MJI, YX), pp. 231–242.
LCTESLCTES-2011-JangKLKYKKR #architecture #clustering
An instruction-scheduling-aware data partitioning technique for coarse-grained reconfigurable architectures (CJ, JK, JL, HSK, DY, SK, HK, SR), pp. 151–160.
PDPPDP-2011-KassickBN #file system #parallel
Dynamic I/O Reconfiguration for a NFS-Based Parallel File System (RK, FZB, POAN), pp. 11–18.
CAVCAV-2011-BeyerK #named #verification
CPAchecker: A Tool for Configurable Software Verification (DB, MEK), pp. 184–190.
CBSECBSE-2010-DelavalR #component #modelling
Reactive Model-Based Control of Reconfiguration in the Fractal Component-Based Model (GD, ÉR), pp. 93–112.
CBSECBSE-2010-LegerLC #component #reliability
Reliable Dynamic Reconfigurations in a Reflective Component Model (ML, TL, TC), pp. 74–92.
ECSAECSA-2010-FiadeiroL #architecture
A Model for Dynamic Reconfiguration in Service-Oriented Architectures (JLF, AL), pp. 70–85.
ECSAECSA-2010-JohnWP #architecture #constraints #monitoring
Dynamic Architectural Constraints Monitoring and Reconfiguration in Service Architectures (JJ, MW, CP), pp. 311–318.
SIGMODSIGMOD-2010-ThummalaB #database #named #parametricity #visualisation
iTuned: a tool for configuring and visualizing database parameters (VT, SB), pp. 1231–1234.
SIGMODSIGMOD-2010-VaidyaLBDNX #data type #logic #named
Symbiote: a reconfigurable logic assisted data streammanagement system (RLADSMS) (PV, JJL, FB, YD, CHN, YX), pp. 1147–1150.
VLDBVLDB-2010-SadoghiJLSS #algorithm #hardware #performance
Efficient Event Processing through Reconfigurable Hardware for Algorithmic Trading (MS, HAJ, ML, WS, HS), pp. 1525–1528.
WCREWCRE-2010-BiegelD #clone detection #detection
Highly Configurable and Extensible Code Clone Detection (BB, SD), pp. 237–241.
ICFPICFP-2010-BuissonD #execution #named
ReCaml: execution state as the cornerstone of reconfigurations (JB, FD), pp. 27–38.
ICPRICPR-2010-OrtegaGJV #self #video
A Combined Self-Configuring Method for Object Tracking in Colour Video (JARO, GAG, ÁRJ, VLAV), pp. 2081–2084.
SEKESEKE-2010-BaratK #approach #code generation #development #modelling
Developing configurable extensible code generators for model-driven development approach (SB, VK), pp. 577–582.
SEKESEKE-2010-NakagawaTM #testing
Software Configuration Management as a Crosscutting Concern: An Example on Software Testing (EYN, JVTT, JCM), pp. 628–633.
SPLCSPLC-2010-BagheriNRG #feature model #modelling #product line #requirements
Configuring Software Product Line Feature Models Based on Stakeholders’ Soft and Hard Requirements (EB, TDN, AR, DG), pp. 16–31.
POPLPOPL-2010-GershenfeldDCKGDGS #automaton #logic
Reconfigurable asynchronous logic automata: (RALA) (NG, DD, KC, AK, FG, EDD, SG, PSN), pp. 1–6.
ASEASE-2010-CoorayMRK #reliability
RESISTing reliability degradation through proactive reconfiguration (DC, SM, RR, DK), pp. 83–92.
ASEASE-2010-MelissonMRRS #architecture #component #distributed #runtime
Reconfigurable run-time support for distributed service component architectures (RM, PM, DR, RR, LS), pp. 171–172.
ICSEICSE-2010-ReisnerSMFP #behaviour #evaluation #symbolic computation #using
Using symbolic evaluation to understand behavior in configurable software systems (ER, CS, KKM, JSF, AP), pp. 445–454.
SACSAC-2010-GuoQL #energy #network #optimisation #using
A general energy optimization model for wireless networks using configurable antennas (SG, ZQ, SL), pp. 246–250.
ASPLOSASPLOS-2010-EbrahimiLMP #manycore #memory management
Fairness via source throttling: a configurable and high-performance fairness substrate for multi-core memory systems (EE, CJL, OM, YNP), pp. 335–346.
CASECASE-2010-AngererPA #mobile #named #using
MobComm: Using BDI-agents for the reconfiguration of mobile commissioning robots (SA, RP, RA), pp. 822–827.
CASECASE-2010-DjuricSE #generative
Global Kinematic Model generation for n-DOF reconfigurable machinery structure (AMD, RAS, WE), pp. 804–809.
CASECASE-2010-FreitasGLH #mobile #multi #optimisation
Multi-objective optimization for kinematic reconfiguration of mobile robots (GMF, GG, FCL, LH), pp. 686–691.
CASECASE-2010-LinAK
Manipulator inverse dynamics computation on FPGA for reconfigurable applications (CSL, PKA, HK), pp. 810–815.
DACDAC-2010-ModarressiST #architecture #network #performance
An efficient dynamically reconfigurable on-chip network architecture (MM, HSA, AT), pp. 166–169.
DACDAC-2010-TanachutiwatLWS #logic #multi
Reconfigurable multi-function logic based on graphene P-N junctions (ST, JUL, WW, CYS), pp. 883–888.
DATEDATE-2010-AkinSH #estimation #hardware #multi
A reconfigurable hardware for one bit transform based multiple reference frame Motion Estimation (AA, GS, IH), pp. 393–398.
DATEDATE-2010-BenitezMRL #memory management
A reconfigurable cache memory with heterogeneous banks (DB, JCM, DR, EL), pp. 825–830.
DATEDATE-2010-EconomakosXKS #component #synthesis
Construction of dual mode components for reconfiguration aware high-level synthesis (GE, SX, IK, DS), pp. 1357–1360.
DATEDATE-2010-FacchiniMCD #3d #memory management
An RDL-configurable 3D memory tier to replace on-chip SRAM (MF, PM, FC, WD), pp. 291–294.
DATEDATE-2010-Jara-BerrocalG #architecture #embedded #named
VAPRES: A Virtual Architecture for Partially Reconfigurable Embedded Systems (AJB, AGR), pp. 837–842.
DATEDATE-2010-KoenigBSSABH #architecture #multi #named #novel
KAHRISMA: A novel Hypermorphic Reconfigurable-Instruction-Set Multi-grained-Array architecture (RK, LB, TS, MS, WA, JB, JH), pp. 819–824.
DATEDATE-2010-KootiBLB #embedded #realtime #scheduling
Transition-aware real-time task scheduling for reconfigurable embedded systems (HK, EB, SL, LB), pp. 232–237.
DATEDATE-2010-ProssGMJLHKS #network
Demonstration of an in-band reconfiguration data distribution and network node reconfiguration (UP, SG, EM, MJ, JL, UH, JK, AS), pp. 614–617.
DATEDATE-2010-ShenHH #adaptation
Learning-based adaptation to applications and environments in a reconfigurable Network-on-Chip (JSS, CHH, PAH), pp. 381–386.
DATEDATE-2010-TumeoRPFS #architecture #implementation #multi #recognition #reliability
A reconfigurable multiprocessor architecture for a reliable face recognition implementation (AT, FR, GP, FF, DS), pp. 319–322.
DATEDATE-2010-VidalLGDS #design #embedded #multi #uml
UML design for dynamically reconfigurable multiprocessor embedded systems (JV, FdL, GG, JPD, PS), pp. 1195–1200.
DATEDATE-2010-WhittySHEP #architecture #memory management #performance
Application-specific memory performance of a heterogeneous reconfigurable architecture (SW, HS, BH, RE, WPR), pp. 387–392.
DATEDATE-2010-WongAN
Dynamically reconfigurable register file for a softcore VLIW processor (SW, FA, FN), pp. 969–972.
DATEDATE-2010-ZhuSJ #cpu #design #performance #streaming
Pareto efficient design for reconfigurable streaming applications on CPU/FPGAs (JZ, IS, AJ), pp. 1035–1040.
HPCAHPCA-2010-GreskampKT #multi #named #performance #thread
LeadOut: Composing low-overhead frequency-enhancing techniques for single-thread performance in configurable multicores (BG, URK, JT), pp. 1–12.
FASEFASE-2010-KimLK #approach #data access #modelling
A Verifiable Modeling Approach to Configurable Role-Based Access Control (DKK, LL, SK), pp. 188–202.
WICSA-ECSAWICSA-ECSA-2009-IngstrupH #architecture #modelling
Modeling architectural change: Architectural scripting and its applications to reconfiguration (MI, KMH), pp. 337–340.
WICSA-ECSAWICSA-ECSA-2009-RodriguezDCJ #adaptation #architecture #communication #modelling #multi
A model-based multi-level architectural reconfiguration applied to adaptability management in context-aware cooperative communication support systems (IBR, KD, CC, MJ), pp. 353–356.
CSMRCSMR-2009-SoriaPC09a #architecture #aspect-oriented #using
Handling the Dynamic Reconfiguration of Software Architectures Using Aspects (CCS, JP, JÁC), pp. 263–266.
ICPCICPC-2009-KimSW #named
Kenyon-web: Reconfigurable web-based feature extractor (SK, SS, EJWJ), pp. 287–288.
ICPCICPC-2009-RothlisbergerNDPR #heatmap #ide #navigation
Supporting task-oriented navigation in IDEs with configurable HeatMaps (DR, ON, SD, DP, RR), pp. 253–257.
IFMIFM-2009-HasanAT #analysis #array #fault #memory management #probability
Formal Probabilistic Analysis of Stuck-at Faults in Reconfigurable Memory Arrays (OH, NA, ST), pp. 277–291.
HCIIDGD-2009-McCollough #case study #quote
“Whose Rule Is It Anyway?” — A Case Study in the Internationalization of User-Configurable Business Rules (MM), pp. 274–282.
CAiSECAiSE-2009-DalpiazGM #architecture #self
An Architecture for Requirements-Driven Self-reconfiguration (FD, PG, JM), pp. 246–260.
CAiSECAiSE-2009-GottschalkWJAR #case study #experience #modelling #process
Configurable Process Models: Experiences from a Municipality Case Study (FG, TACW, MHJV, WMPvdA, MLR), pp. 486–500.
CIKMCIKM-2009-BalachandranPK #clustering #dataset #documentation
Interpretable and reconfigurable clustering of document datasets by deriving word-based rules (VB, DP, DK), pp. 1773–1776.
SEKESEKE-2009-ShinS #consistency #self
Consistency in Self-Reconfiguration of Self-Healing Systems (MES, KGRS), pp. 10–15.
SPLCSPLC-2009-LopezCH #architecture #product line
Issues in mapping change-based product line architectures to configuration management systems (NL, RC, AvdH), pp. 21–30.
ASEASE-2009-NguyenNPAN
Clone-Aware Configuration Management (TTN, HAN, NHP, JMAK, TNN), pp. 123–134.
ASEASE-2009-WangM #approach #requirements #self
Self-Repair through Reconfiguration: A Requirements Engineering Approach (YW, JM), pp. 257–268.
GTTSEGTTSE-2009-DinizC #architecture #embedded #program transformation
Code Transformations for Embedded Reconfigurable Computing Architectures (PCD, JMPC), pp. 322–344.
SACSAC-2009-LeeBPCLN #named #precise
CPS-SIM: configurable and accurate clock precision solid state drive simulator (JL, EB, HP, JC, DL, SHN), pp. 318–325.
DACDAC-2009-ChattopadhyayZ
Serial reconfigurable mismatch-tolerant clock distribution (AC, ZZ), pp. 611–612.
DACDAC-2009-GeMW #memory management #pipes and filters
A DVS-based pipelined reconfigurable instruction memory (ZG, TM, WFW), pp. 897–902.
DACDAC-2009-KimM #array #embedded #performance
Hierarchical reconfigurable computing arrays for efficient CGRA-based embedded systems (YK, RNM), pp. 826–831.
DATEDATE-2009-BauerSH #architecture #design
Cross-architectural design space exploration tool for reconfigurable processors (LB, MS, JH), pp. 958–963.
DATEDATE-2009-BordeHP #architecture #component
Mode-based reconfiguration of critical software component architectures (EB, GH, LP), pp. 1160–1165.
DATEDATE-2009-BruneelAS #automation #framework #self
Automatically mapping applications to a self-reconfiguring platform (KB, FA, DS), pp. 964–969.
DATEDATE-2009-DongCC #design
Reconfigurable circuit design with nanomaterials (CD, SC, DC), pp. 442–447.
DATEDATE-2009-FaruqueEH #adaptation #communication #runtime
Configurable links for runtime adaptive on-chip communication (MAAF, TE, JH), pp. 256–261.
DATEDATE-2009-FlynnGG
Bitstream relocation with local clock domains for partially reconfigurable FPGAs (AF, AGR, ADG), pp. 300–303.
DATEDATE-2009-FytrakiP
ReSim, a trace-driven, reconfigurable ILP processor simulator (SF, DNP), pp. 536–541.
DATEDATE-2009-HuynhM #embedded #realtime #runtime
Runtime reconfiguration of custom instructions for real-time embedded systems (HPH, TM), pp. 1536–1541.
DATEDATE-2009-Jara-BerrocalG #architecture #communication #composition #named #parametricity #scalability
SCORES: A scalable and parametric streams-based communication architecture for modular reconfigurable systems (AJB, AGR), pp. 268–273.
DATEDATE-2009-KasperskiPDS #architecture #development #flexibility
High data rate fully flexible SDR modem advanced configurable architecture & development methodology (FK, OP, FD, MS), pp. 1040–1044.
DATEDATE-2009-KeCG #design
A design methodology for fully reconfigurable Delta-Sigma data converters (YK, JC, GGEG), pp. 1379–1384.
DATEDATE-2009-KoesterLHP #design #optimisation
Design optimizations to improve placeability of partial reconfiguration modules (MK, WL, JH, MP), pp. 976–981.
DATEDATE-2009-LarcherBGIBG #standard
A MEMS reconfigurable quad-band Class-E Power Amplifier for GSM standard (LL, RB, MG, JI, MB, AG), pp. 364–368.
DATEDATE-2009-LoiAB #interface #network #synthesis
Synthesis of low-overhead configurable source routing tables for network interfaces (IL, FA, LB), pp. 262–267.
DATEDATE-2009-PotterLC #design
Partition-based exploration for reconfigurable JPEG designs (PGP, WL, PYKC), pp. 886–889.
DATEDATE-2009-SabeghiB #approach #runtime #towards
Toward a runtime system for reconfigurable computers: A virtualization approach (MS, KB), pp. 1576–1579.
DATEDATE-2009-SchallenbergNHHO #framework #modelling #synthesis
OSSS+R: A framework for application level modelling and synthesis of reconfigurable systems (AS, WN, AH, PAH, FO), pp. 970–975.
DATEDATE-2009-SubramanianGD #adaptation #design #embedded #grid #network #online #policy
Online adaptation policy design for grid sensor networks with reconfigurable embedded nodes (VS, MG, AD), pp. 1273–1278.
DATEDATE-2009-TasdizenKAH #architecture #estimation #hardware #performance
A high performance reconfigurable Motion Estimation hardware architecture (OT, HK, AA, IH), pp. 882–885.
DATEDATE-2009-WhittySEP #algorithm #architecture
Mapping of a film grain removal algorithm to a heterogeneous reconfigurable architecture (SW, HS, RE, WPR), pp. 27–32.
DATEDATE-2009-WilsonW #variability
Optimal sizing of configurable devices to reduce variability in integrated circuits (PRW, RW), pp. 1385–1390.
HPCAHPCA-2009-MadanZMUBIMN #3d #capacity #communication #optimisation
Optimizing communication and capacity in a 3D stacked reconfigurable cache hierarchy (NM, LZ, NM, ANU, RB, RI, SM, DN), pp. 262–274.
LCTESLCTES-2009-OhEPM #architecture #scheduling
Recurrence cycle aware modulo scheduling for coarse-grained reconfigurable architectures (TO, BE, HP, SAM), pp. 21–30.
ECSAECSA-2008-BatistaGCCG #aspect-oriented #on the
On the Interplay of Aspects and Dynamic Reconfiguration in a Specification-to-Deployment Environment (TVB, ATAG, GC, CC, AG), pp. 314–317.
WICSAWICSA-2008-ChangMQ #architecture #formal method #graph #towards
Towards a Formal Model for Reconfigurable Software Architectures by Bigraphs (ZC, XM, ZQ), pp. 331–334.
DocEngDocEng-2008-LumleyGR #documentation #editing #xml
Configurable editing of XML-based variable-data documents (JWL, RG, OR), pp. 76–85.
DocEngDocEng-2008-LumleyGR08a #editing #framework
A demonstration of a configurable editing framework (JWL, RG, OR), pp. 217–218.
SIGITESIGITE-2008-Abbott-McCuneNGG #network
Developing a reconfigurable network lab (SAM, AJN, JG, BSG), pp. 255–258.
SIGITESIGITE-2008-JiangK #education #integration
Integration of configuration management into the IT curriculum (KJ, RK), pp. 183–186.
GT-VMTGT-VMT-2008-BiermannM #analysis #independence #rule-based
Independence Analysis of Firing and Rule-based Net Transformations in Reconfigurable Object Nets (EB, TM).
GT-VMTGT-VMT-2008-KoehlerCPA #data flow
Reconfiguration of Reo Connectors Triggered by Dataflow (CK, DC, JP, FA).
GT-VMTGT-VMT-2008-ReinPLHP
Negative Application Conditions for Reconfigurable Place/Transition Systems (AR, UP, LL, KH, JP).
ICGTICGT-2008-Khan #analysis #graph transformation #modelling #network #using
Model-Based Analysis of Network Reconfigurations Using Graph Transformation Systems (AK), pp. 502–504.
SEKESEKE-2008-HuLTS #approach #enterprise #maintenance #named
MAPLE: a Maintenance Approach for Pattern-enabLed rEconfiguration of SOA-based Enterprise Application (SH, YL, JT, YS), pp. 209–214.
SEKESEKE-2008-VogelBW #enterprise
Autonomous Reconfiguration Procedures for EJB-based Enterprise Applications (TV, JB, GW), pp. 48–53.
ECMFAECMDA-FA-2008-KuhnG #modelling #platform #simulation #testing
Model-Driven Platform-Specific Testing through Configurable Simulations (TK, RG), pp. 278–293.
MODELSMoDELS-2008-ProutADS #code generation #semantics
Semantically Configurable Code Generation (AP, JMA, NAD, PS), pp. 705–720.
SPLCSPLC-2008-BotterweckTNAC #comprehension #product line #tool support #visual notation
Visual Tool Support for Configuring and Understanding Software Product Lines (GB, ST, DN, SbA, CC), pp. 77–86.
MODELSMoDELS-2008-ProutADS #code generation #semantics
Semantically Configurable Code Generation (AP, JMA, NAD, PS), pp. 705–720.
FSEFSE-2008-SarmaRH #empirical
Empirical evidence of the benefits of workspace awareness in software configuration management (AS, DFR, AvdH), pp. 113–123.
SACSAC-2008-GuiFSB #component #embedded #hybrid #realtime
A hybrid real-time component model for reconfigurable embedded systems (NG, VDF, HS, CB), pp. 1590–1596.
SACSAC-2008-PereiraAGS #architecture #hybrid #using
Using traditional loop unrolling to fit application on a new hybrid reconfigurable architecture (MMP, SRFdA, BCdO, ISS), pp. 1552–1553.
SACSAC-2008-PerngCK #embedded #hardware #platform
The minimization of hardware size in reconfigurable embedded platforms (NCP, JJC, TWK), pp. 1517–1522.
SACSAC-2008-YuLLM
Configuring features with stakeholder goals (YY, JCSdPL, AL, JM), pp. 645–649.
CASECASE-2008-GuetaCAUO #design #multi
Design of the end-effector tool attachment for robot arm with multiple reconfigurable goals (LBG, RC, TA, TU, JO), pp. 876–881.
CASECASE-2008-KuruvillaGS #evaluation #reliability
Reliability evaluation of reconfigurable conveyor systems (SAK, SSG, SS), pp. 929–934.
CCCC-2008-BergeronFD #compilation #hardware #jit #off the shelf
Hardware JIT Compilation for Off-the-Shelf Dynamically Reconfigurable FPGAs (EB, MF, JPD), pp. 178–192.
DACDAC-2008-ChaudhuriGFHD #embedded #runtime
An 8x8 run-time reconfigurable FPGA embedded in a SoC (SC, SG, FF, PH, JLD), pp. 120–125.
DACDAC-2008-DavisTYZ #hardware #satisfiability
A practical reconfigurable hardware accelerator for Boolean satisfiability solvers (JDD, ZT, FY, LZ), pp. 780–785.
DACDAC-2008-NieuwoudtKM #automation #design #network
Automated design of tunable impedance matching networks for reconfigurable wireless applications (AN, JK, YM), pp. 498–503.
DACDAC-2008-PaulB #memory management #performance #resource management #using
Reconfigurable computing using content addressable memory for improved performance and resource usage (SP, SB), pp. 786–791.
DACDAC-2008-ZhangGT #2d #algorithm #fault tolerance
A reconfigurable routing algorithm for a fault-tolerant 2D-Mesh Network-on-Chip (ZZ, AG, ST), pp. 441–446.
DATEDATE-2008-BeckRGC #embedded
Transparent Reconfigurable Acceleration for Heterogeneous Embedded Applications (ACSB, MBR, GG, LC), pp. 1208–1213.
DATEDATE-2008-ChattopadhyayCILAM #architecture #modelling
High-level Modelling and Exploration of Coarse-grained Re-configurable Architectures (AC, XC, HI, RL, GA, HM), pp. 1334–1339.
DATEDATE-2008-CopeCL #gpu #logic #memory management #using
Using Reconfigurable Logic to Optimise GPU Memory Accesses (BC, PYKC, WL), pp. 44–49.
DATEDATE-2008-DeleddaMVBGMKRHBCPLMCD #communication #design #framework
Design of a HW/SW Communication Infrastructure for a Heterogeneous Reconfigurable Processor (AD, CM, AV, PB, AG, PM, MK, FR, MH, JB, MC, LP, RL, GM, FC, TD), pp. 1352–1357.
DATEDATE-2008-Garcia #automation
Safe Automatic Flight Back and Landing of Aircraft Flight Reconfiguration Function (FRF) (JAHG), pp. 280–283.
DATEDATE-2008-GlasKSMB #architecture #platform
A System Architecture for Reconfigurable Trusted Platforms (BG, AK, OS, KDMG, JB), pp. 541–544.
DATEDATE-2008-MarconiLBG #algorithm #online
Intelligent Merging Online Task Placement Algorithm for Partial Reconfigurable Systems (TM, YL, KB, GG), pp. 1346–1351.
DATEDATE-2008-MokhovY #graph #partial order #synthesis
Conditional Partial Order Graphs and Dynamically Reconfigurable Control Synthesis (AM, AY), pp. 1142–1147.
DATEDATE-2008-MorgadoRR #multi #standard
A Triple-Mode Reconfigurable Sigma-Delta Modulator for Multi-Standard Wireless Applications (AM, RdR, JMdlR), pp. 862–867.
DATEDATE-2008-MucciVMGDGKSCC #adaptation #array #implementation #parallel #pipes and filters
Implementation of Parallel LFSR-based Applications on an Adaptive DSP featuring a Pipelined Configurable Gate Array (CM, LV, IM, DG, AD, SG, JK, AS, LC, FC), pp. 1444–1449.
DATEDATE-2008-PurnaprajnaPP #encryption #multi #power management
Power Aware Reconfigurable Multiprocessor for Elliptic Curve Cryptography (MP, CP, MP), pp. 1462–1467.
DATEDATE-2008-QuSN #performance #runtime
Improving the Efficiency of Run Time Reconfigurable Devices by Configuration Locking (YQ, JPS, JN), pp. 264–267.
DATEDATE-2008-RedaelliSS #anti #scheduling
Task Scheduling with Configuration Prefetching and Anti-Fragmentation techniques on Dynamically Reconfigurable Systems (FR, MDS, DS), pp. 519–522.
DATEDATE-2008-VogtW #set
A Reconfigurable Application Specific Instruction Set Processor for Convolutional and Turbo Decoding in a SDR Environment (TV, NW), pp. 38–43.
DATEDATE-2008-WolinskiK #automation
Automatic Selection of Application-Specific Reconfigurable Processor Extensions (CW, KK), pp. 1214–1219.
LCTESLCTES-2008-RajopadhyeGR
A domain specific interconnect for reconfigurable computing (SVR, GG, LR), pp. 79–88.
LCTESLCTES-2008-SutterCAM #array
Placement-and-routing-based register allocation for coarse-grained reconfigurable arrays (BDS, PC, TVA, BM), pp. 151–160.
PDPPDP-2008-AlbrechtRKPM #analysis #framework #performance #platform #runtime
Performance Analysis of Bus-Based Interconnects for a Run-Time Reconfigurable Co-Processor Platform (CA, PR, RK, TP, EM), pp. 200–205.
CBSECBSE-2007-PolakovicMSD #component #embedded #experience
Experience with Safe Dynamic Reconfigurations in Component-Based Embedded Systems (JP, SM, JBS, PCD), pp. 242–257.
ECSAECSA-2007-SoriaAPCR #architecture #aspect-oriented
Dynamic Reconfiguration of Software Architectures Through Aspects (CCS, NA, JP, JÁC, IR), pp. 279–283.
CSMRCSMR-2007-Leeten #evolution #scalability
Software Configuration Management, Fundament for Evolution of Large Existing Code Bases (BL), pp. 321–322.
SEFMSEFM-2007-FraserB #proving #tool support
Configurable Proof Obligations in the Frog Toolkit (SF, RB), pp. 361–370.
AIIDEAIIDE-2007-UrsuCZKWHT #declarative #image #interactive
ShapeShifting Screen Media: A Declarative Computational Model for Interactive Reconfigurable Moving Image Narratives (MFU, JJC, VZ, IK, DW, MH, MLT), pp. 101–105.
AGTIVEAGTIVE-2007-ErmelE #analysis #simulation #visualisation
Visualization, Simulation and Analysis of Reconfigurable Systems (CE, KE), pp. 265–280.
EDOCEDOC-2007-TaoY #development #process
Context Aware Differentiated Services Development with Configurable Business Processes (ATT, JY), pp. 241–252.
SEKESEKE-2007-HuangL #process #repository
Analyzing Configuration Management Repository Data for Software Process Improvement (SH, CL), p. 67–?.
MODELSMoDELS-2007-BatoriTA #modelling
Domain Specific Modeling Methodology for Reconfigurable Networked Systems (GB, ZT, DA), pp. 316–330.
MODELSMoDELS-2007-BatoriTA #modelling
Domain Specific Modeling Methodology for Reconfigurable Networked Systems (GB, ZT, DA), pp. 316–330.
ASEASE-2007-SarmaBH #towards
Towards supporting awareness of indirect conflicts across software configuration management workspaces (AS, GB, AvdH), pp. 94–103.
ESEC-FSEESEC-FSE-2007-EstublierV #data transformation
Reconciling software configuration management and product data management (JE, GV), pp. 265–274.
ICSEICSE-2007-DigMJN #object-oriented #refactoring #source code
Refactoring-Aware Configuration Management for Object-Oriented Programs (DD, KM, REJ, TNN), pp. 427–436.
SACSAC-2007-ChangA #web
Supporting reconfigurable object distribution for customized web applications (PHC, GA), pp. 1286–1292.
SACSAC-2007-GramoliAV #memory management #named #scalability
SQUARE: scalable quorum-based atomic memory with local reconfiguration (VG, EA, AV), pp. 574–579.
SACSAC-2007-MengC #adaptation #database #platform #sequence
An adaptive data prefetching scheme for biosequence database search on reconfigurable platforms (XM, VC), pp. 140–141.
SACSAC-2007-NazKOF #embedded #novel
Reconfigurable split data caches: a novel scheme for embedded systems (AN, KMK, JHO, PF), pp. 707–712.
CASECASE-2007-Alcaraz-MejiaLR #fault
Redundancy Based Controller Reconfiguration for Fault Recovery of Manufacturing Systems (MAM, ELM, ART), pp. 128–133.
CASECASE-2007-GarciaV #automation #feature model #visual notation
Automated Feature Selection Methodology for Reconfigurable Automated Visual Inspection Systems (HCG, JRV), pp. 542–547.
CASECASE-2007-HajiD #petri net #project management
Petri Net based Supervisory Control Reconfiguration of Project Management Systems (MH, HD), pp. 460–465.
CASECASE-2007-MalecNNN #automation #knowledge-based
Knowledge-Based Reconfiguration of Automation Systems (JM, AN, KN, SN), pp. 170–175.
DACDAC-2007-BanerjeeBDN #architecture #resource management #scheduling
Selective Band width and Resource Management in Scheduling for Dynamically Reconfigurable Architectures (SB, EB, ND, JN), pp. 771–776.
DACDAC-2007-Gordon-RossV #self
A Self-Tuning Configurable Cache (AGR, FV), pp. 234–237.
DACDAC-2007-LiuONG #design #logic #novel
Novel CNTFET-based Reconfigurable Logic Gate Design (JL, IO, DN, FG), pp. 276–277.
DACDAC-2007-ZhangSJ #architecture #design #hybrid #named #optimisation
NanoMap: An Integrated Design Optimization Flow for a Hybrid Nanotube/CMOS Dynamically Reconfigurable Architecture (WZ, LS, NKJ), pp. 300–305.
DATEDATE-2007-AlamRMGCS
An area optimized reconfigurable encryptor for AES-Rijndael (MA, SR, DM, SG, DRC, IS), pp. 1116–1121.
DATEDATE-2007-ArbeloKLLBSM #architecture #kernel #video
Mapping control-intensive video kernels onto a coarse-grain reconfigurable architecture: the H.264/AVC deblocking filter (CA, AK, SL, JFL, MB, RS, JYM), pp. 177–182.
DATEDATE-2007-CampiDPCRMLVV #adaptation #platform
A dynamically adaptive DSP for heterogeneous reconfigurable platforms (FC, AD, MP, LC, PLR, CM, AL, AV, LV), pp. 9–14.
DATEDATE-2007-ChattopadhyayAKKLAM #design #embedded
Design space exploration of partially re-configurable embedded processors (AC, WA, KK, DK, RL, GA, HM), pp. 319–324.
DATEDATE-2007-ClausZMS #hardware #using #video
Using partial-run-time reconfigurable hardware to accelerate video processing in driver assistance system (CC, JZ, FHM, WS), pp. 498–503.
DATEDATE-2007-CuiDHG #2d #algorithm #online #performance
An efficient algorithm for online management of 2D area of partially reconfigurable FPGAs (JC, QD, XH, ZG), pp. 129–134.
DATEDATE-2007-DittmannF #realtime #scheduling
Hard real-time reconfiguration port scheduling (FD, SF), pp. 123–128.
DATEDATE-2007-FaragES #2d #using
Improving utilization of reconfigurable resources using two dimensional compaction (AAEF, HMEB, SIS), pp. 135–140.
DATEDATE-2007-FietheMDOZ
Reconfigurable system-on-chip data processing units for space imaging instruments (BF, HM, CD, BO, GZ), pp. 977–982.
DATEDATE-2007-GeWL #embedded #memory management #named #power management
DRIM: a low power dynamically reconfigurable instruction memory hierarchy for embedded systems (ZG, WFW, HBL), pp. 1343–1348.
DATEDATE-2007-Gordon-RossVVNB #energy #performance
A one-shot configurable-cache tuner for improved energy and performance (AGR, PV, FV, WAN, EB), pp. 755–760.
DATEDATE-2007-HanssonCG #multi #network
Undisrupted quality-of-service during reconfiguration of multiple applications in networks on chip (AH, MC, KG), pp. 954–959.
DATEDATE-2007-KhanA #architecture #implementation #pipes and filters #programmable #realtime
Pipelined implementation of a real time programmable encoder for low density parity check code on a reconfigurable instruction cell architecture (ZK, TA), pp. 349–354.
DATEDATE-2007-KrishnaiahES #architecture #scalability
Scalable reconfigurable channel decoder architecture for future wireless handsets (GK, NE, SS), pp. 1563–1568.
DATEDATE-2007-KumarHHC #design #interactive #multi
Interactive presentation: An FPGA design flow for reconfigurable network-based multi-processor systems on chip (AK, AH, JH, HC), pp. 117–122.
DATEDATE-2007-ManetMTCMGLAGLB #hardware #interactive #programmable
Interactive presentation: RECOPS: reconfiguring programmable devices for military hardware electronics (PM, DM, LT, MDC, OM, YG, JDL, DA, CG, RL, VLB), pp. 994–999.
DATEDATE-2007-MucciVCT #architecture #implementation #interactive
Interactive presentation: Implementation of AES/Rijndael on a dynamically reconfigurable architecture (CM, LV, FC, MT), pp. 355–360.
DATEDATE-2007-NahapetianLABS #energy #network
Dynamic reconfiguration in sensor networks with regenerative energy sources (AN, PL, AA, LB, MS), pp. 1054–1059.
DATEDATE-2007-QuSN #energy #interactive #runtime #scalability #using
Interactive presentation: Using dynamic voltage scaling to reduce the configuration energy of run time reconfigurable devices (YQ, JPS, JN), pp. 147–152.
DATEDATE-2007-RousseauMGMLDG #certification #using
Enabling certification for dynamic partial reconfiguration using a minimal flow (BR, PM, DG, DM, JDL, FD, YG), pp. 983–988.
DATEDATE-2007-SafarSES #interactive #satisfiability
Interactive presentation: A shift register based clause evaluator for reconfigurable SAT solver (MS, MS, MWEK, AS), pp. 153–158.
DATEDATE-2007-SunWD #design
Flexibility-oriented design methodology for reconfigurable DeltaSigma modulators (PS, YW, AD), pp. 415–420.
DATEDATE-2007-YonedaIF #algorithm #interactive #scheduling #using
Interactive presentation: An SoC test scheduling algorithm using reconfigurable union wrappers (TY, MI, HF), pp. 231–236.
SOSPSOSP-2007-SuAF #analysis #named #operating system
AutoBash: improving configuration management with operating system causality analysis (YYS, MA, JF), pp. 237–250.
CAVCAV-2007-BeyerHT #convergence #model checking #program analysis #verification
Configurable Software Verification: Concretizing the Convergence of Model Checking and Program Analysis (DB, TAH, GT), pp. 504–518.
ISSTAISSTA-2007-CohenDS #constraints #interactive #testing
Interaction testing of highly-configurable systems in the presence of constraints (MBC, MBD, JS), pp. 129–139.
CBSECBSE-2006-HnetynkaP #component #modelling
Dynamic Reconfiguration and Access to Services in Hierarchical Component Models (PH, FP), pp. 352–359.
SIGITESIGITE-2006-GowanMR #assessment #information management
A configurable assessment information system (AG, BM, HR), pp. 77–82.
ICSMEICSM-2006-Nguyen #object-oriented
Object-Oriented Software Configuration Management (TNN), pp. 351–354.
CAiSECAiSE-2006-Saeki
Configuration Management in a Method Engineering Context (MS), pp. 384–398.
ICEISICEIS-ISAS-2006-KnackstedtJR #approach #modelling #transaction
Configuring Reference Models — An Integrated Approach for Transaction Processing and Decision Support (RK, CJ, TR), pp. 135–143.
ICPRICPR-v2-2006-Maruyama #clustering #hardware #image #realtime
Real-time K-Means Clustering for Color Images on Reconfigurable Hardware (TM), pp. 816–819.
ICPRICPR-v4-2006-JunejoCF06a #artificial reality
Configuring Mixed Reality Environment (INJ, XC, HF), pp. 884–887.
SPLCSPLC-2006-LeeK #approach #feature model #product line
A Feature-Oriented Approach to Developing Dynamically Reconfigurable Products in Product Line Engineering (JL, KCK), pp. 131–140.
ASEASE-2006-Nguyen #data transformation
A Unified Model for Product Data Management and Software Configuration Management (TNN), pp. 269–272.
ASEASE-2006-WarrenSKW #approach #automation #formal method
An Automated Formal Approach to Managing Dynamic Reconfiguration (IW, JS, SK, TW), pp. 37–46.
CASECASE-2006-0002WM
Dynamic Reconfiguration for Robot Software (ZY, IW, BAM), pp. 292–297.
DACDAC-2006-AbramoviciBDLMM #framework
A reconfigurable design-for-debug infrastructure for SoCs (MA, PB, KND, PL, GM, DM), pp. 7–12.
DACDAC-2006-JonesHDTSFCM #automation #power management
An automated, reconfigurable, low-power RFID tag (AKJ, RRH, SRD, SCT, RS, JF, JTC, MHM), pp. 131–136.
DACDAC-2006-VianaGKBV #performance
Configurable cache subsetting for fast cache tuning (PV, AGR, EJK, EB, FV), pp. 695–700.
DACDAC-2006-ZhangJS #architecture #hybrid #named
NATURE: a hybrid nanotube/CMOS dynamically reconfigurable architecture (WZ, NKJ, LS), pp. 711–716.
DATEDATE-2006-AhnYPKKC #algorithm #architecture
A spatial mapping algorithm for heterogeneous coarse-grained reconfigurable architectures (MA, JWY, YP, YK, MK, KC), pp. 363–368.
DATEDATE-2006-ArpinenKSHH #distributed #execution #framework #multi #platform #uml
Configurable multiprocessor platform with RTOS for distributed execution of UML 2.0 designed applications (TA, PK, ES, MH, TDH), pp. 1324–1329.
DATEDATE-2006-HeJ #framework #named #synthesis
RAS-NANO: a reliability-aware synthesis framework for reconfigurable nanofabrics (CH, MFJ), pp. 1179–1184.
DATEDATE-2006-LeupersKKP #design #embedded #set #synthesis
A design flow for configurable embedded processors based on optimized instruction set extension synthesis (RL, KK, SK, MP), pp. 581–586.
DATEDATE-2006-LucasHRERWGFHES #framework #platform #realtime
A reconfigurable HW/SW platform for computation intensive high-resolution real-time digital film applications (AdCL, SH, PR, RE, HR, GW, KG, RF, WH, SE, GS), pp. 194–199.
DATEDATE-2006-NascimentoL #architecture #clustering #complexity #image
Temporal partitioning for image processing based on time-space complexity in reconfigurable architectures (PSBdN, MEdL), pp. 375–380.
DATEDATE-2006-PanainteBV #compilation
Compiler-driven FPGA-area allocation for reconfigurable computing (EMP, KB, SV), pp. 369–374.
DATEDATE-2006-PionteckAK
A dynamically reconfigurable packet-switched network-on-chip (TP, CA, RK), pp. 136–137.
DATEDATE-2006-QuSN #parallel #runtime
A parallel configuration model for reducing the run-time reconfiguration overhead (YQ, JPS, JN), pp. 965–969.
DATEDATE-2006-SekarLRD #adaptation #platform
Integrated data relocation and bus reconfiguration for adaptive system-on-chip platforms (KS, KL, AR, SD), pp. 728–733.
DATEDATE-2006-VandierendonckML
Application-specific reconfigurable XOR-indexing to eliminate cache conflict misses (HV, PM, JDL), pp. 357–362.
DATEDATE-2006-WeiTD #communication #design #multi
Systematic methodology for designing reconfigurable Delta-Sigma modulator topologies for multimode communication systems (YW, HT, AD), pp. 393–398.
DATEDATE-2006-YiNMKAL #scheduling
System-level scheduling on instruction cell based reconfigurable systems (YY, IN, MM, SK, TA, IL), pp. 381–386.
DATEDATE-DF-2006-DavilaTSSBR #algorithm #architecture #design #implementation
Design and implementation of a rendering algorithm in a SIMD reconfigurable architecture (MorphoSys) (JD, AdT, JMS, MSE, NB, FR), pp. 52–57.
DATEDATE-DF-2006-SerafiniCRZ #framework #multi #platform
Multi-sensor configurable platform for automotive applications (LS, FC, TR, VZ), pp. 219–220.
LCTESLCTES-2006-DanneP #hardware #scheduling
An EDF schedulability test for periodic tasks on reconfigurable hardware devices (KD, MP), pp. 93–102.
LCTESLCTES-2006-YanSG #architecture #estimation #implementation
Area and delay estimation for FPGA implementation of coarse-grained reconfigurable architectures (LY, TS, NG), pp. 182–188.
OSDIOSDI-2006-Ta-MinLL #interface #operating system #trust
Splitting Interfaces: Making Trust Between Applications and Operating Systems Configurable (RTM, LL, DL), pp. 279–292.
PDPPDP-2006-AlbrechtFKM #architecture #named #network
DynaCORE — A Dynamically Reconfigurable Coprocessor Architecture for Network Processors (CA, JF, RK, EM), pp. 101–108.
PDPPDP-2006-LaskowskiT #branch #scheduling #source code
Scheduling Programs with Conditional Branches for Look-Ahead Dynamically Reconfigurable Systems (EL, MT), pp. 211–218.
PDPPDP-2006-NagelR #clustering #middleware #multi #named
RCM — A Multi-Layered Reconfigurable Cluster Middleware (RN, TR), pp. 203–210.
ESOPESOP-2006-SecoC
Types for Dynamic Reconfiguration (JCS, LC), pp. 214–229.
WICSAWICSA-2005-JooliaBCG #component #framework #performance #platform #runtime #specification
Mapping ADL Specifications to an Efficient and Reconfigurable Runtime Component Platform (AJ, TVB, GC, ATAG), pp. 131–140.
ICDARICDAR-2005-KumarJ #architecture #hybrid #recognition
Configurable Hybrid Architectures for Character Recognition Applications (MNSSKPK, CVJ), pp. 1199–1205.
SIGMODSIGMOD-2005-Friedrich #metadata #multi
Meta-data version and configuration management in multi-vendor environments (JRF), pp. 799–804.
VLDBVLDB-2005-Lawrence #algorithm #performance
Early Hash Join: A Configurable Algorithm for the Efficient and Early Production of Join Results (RL), pp. 841–852.
ICSMEICSM-2005-ZhangZ #evolution #maintenance #self #using
Using Self-Reconfigurable Workplaces to Automate the Maintenance of Evolving Business Applications (QZ, YZ), pp. 219–229.
SEFMSEFM-2005-WilsonMC #approach #flexibility #policy #verification
Omnibus Verification Policies: A flexible, configurable approach to assertion-based software verification (TW, SM, RGC), pp. 150–159.
DiGRADiGRA-2005-JakobssonP #behaviour
Configuring the player - subversive behaviour in Project Entropia (PJ, DP).
CHICHI-2005-PatilL #privacy #what
Who gets to know what when: configuring privacy permissions in an awareness application (SP, JL), pp. 101–110.
SEKESEKE-2005-HsuCCY #interface #named
Cricketbot — A Configurable Human Interface Software Robot (WTH, YLC, JCC, YPY), pp. 25–28.
MODELSMoDELS-2005-WalshBS #domain model
A Domain Model for Dynamic System Reconfiguration (DW, FB, BS), pp. 553–567.
MODELSMoDELS-2005-WalshBS #domain model
A Domain Model for Dynamic System Reconfiguration (DW, FB, BS), pp. 553–567.
PADLPADL-2005-TanasescuW #declarative
Role-Based Declarative Synchronization for Reconfigurable Systems (VT, PTW), pp. 52–66.
RERE-2005-LiaskosLWYE #approach
Configuring Common Personal Software: a Requirements-Driven Approach (SL, AL, YW, YY, SME), pp. 9–18.
ASEASE-2005-GeW #architecture #code generation #framework #modelling #named
Bamboo: an architecture modeling and code generation framework for configuration management systems (GG, EJWJ), pp. 427–428.
ICSEICSE-2005-NguyenMBT #development #framework #multi #object-oriented
An infrastructure for development of object-oriented, multi-level configuration management services (TNN, EVM, JTB, CT), pp. 215–224.
SACSAC-2005-OSullivanS #mobile
Agent technology and reconfigurable computing for mobile devices (TO, RS), pp. 963–969.
CASECASE-2005-Hwang #approach #automation #behaviour #finite #generative
Generating finite-state global behavior of reconfigurable automation systems: DEVS approach (MHH), pp. 254–260.
CASECASE-2005-ZhangNML #multi #optimisation
Multi-factory optimization enables kit reconfiguration in semiconductor manufacturing (MTZ, SN, MM, QL), pp. 105–112.
DACDAC-2005-BanerjeeBD #architecture #clustering
Physically-aware HW-SW partitioning for reconfigurable architectures with partial dynamic reconfiguration (SB, EB, NDD), pp. 335–340.
DACDAC-2005-BeckC
Dynamic reconfiguration with binary translation: breaking the ILP barrier with software compatibility (ACSB, LC), pp. 732–737.
DACDAC-2005-EzerJ #verification
Smart diagnostics for configurable processor verification (SE, SJ), pp. 789–794.
DACDAC-2005-SekarLRD #architecture #communication #named
FLEXBUS: a high-performance system-on-chip communication architecture with a dynamically configurable topology (KS, KL, AR, SD), pp. 571–574.
DACDAC-2005-WeiR #implementation #power management #trade-off
Implementing low-power configurable processors: practical options and tradeoffs (JW, CR), pp. 706–711.
DATEDATE-2005-BalakrishnanT #linear #using
Reconfigurable Linear Decompressors Using Symbolic Gaussian Elimination (KJB, NAT), pp. 1130–1135.
DATEDATE-2005-BaradaranD #algorithm #architecture
A Register Allocation Algorithm in the Presence of Scalar Replacement for Fine-Grain Configurable Architectures (NB, PCD), pp. 6–11.
DATEDATE-2005-CheungLC
Reconfigurable Elliptic Curve Cryptosystems on a Chip (RCCC, WL, PYKC), pp. 24–29.
DATEDATE-2005-HeusalaL #modelling #product line
Modeling of a Reconfigurable OFDM IP Block Family For an RF System Simulator (HH, JL), pp. 136–137.
DATEDATE-2005-JeffreyCPLRR #integration #monitoring #online #safety #using
The Integration of On-Line Monitoring and Reconfiguration Functions using IEEE1149.4 Into a Safety Critical Automotive Electronic Control Unit (CJ, RC, SP, ML, AR, SR), pp. 153–158.
DATEDATE-2005-KimKPJC #architecture #optimisation #pipes and filters #resource management
Resource Sharing and Pipelining in Coarse-Grained Reconfigurable Architecture for Domain-Specific Optimization (YK, MK, CP, JJ, KC), pp. 12–17.
DATEDATE-2005-LinkV #runtime
Hotspot Prevention Through Runtime Reconfiguration in Network-On-Chip (GML, NV), pp. 648–649.
DATEDATE-2005-MiramondD #architecture #design
Design Space Exploration for Dynamically Reconfigurable Architectures (BM, JMD), pp. 366–371.
DATEDATE-2005-NolletMAM #hardware #resource management #runtime
Centralized Run-Time Resource Management in a Network-on-Chip Containing Reconfigurable Hardware Tiles (VN, TM, PA, JYM), pp. 234–239.
DATEDATE-2005-ResanoMC #hardware #heuristic #hybrid #runtime #scheduling
A Hybrid Prefetch Scheduling Heuristic to Minimize at Run-Time the Reconfiguration Overhead of Dynamically Reconfigurable Hardware (JR, DM, FC), pp. 106–111.
DATEDATE-2005-SchattkowskyMR #approach #execution #hardware #modelling #specification
A Model-Based Approach for Executable Specifications on Reconfigurable Hardware (TS, WM, AR), pp. 692–697.
DATEDATE-2005-StreichertHT #clustering #distributed #embedded #network
Distributed HW/SW-Partitioning for Embedded Reconfigurable Networks (TS, CH, JT), pp. 894–895.
DATEDATE-2005-SuC #design #fault tolerance
Design of Fault-Tolerant and Dynamically-Reconfigurable Microfluidic Biochips (FS, KC), pp. 1202–1207.
DATEDATE-2005-SuCP #using
Yield Enhancement of Digital Microfluidics-Based Biochips Using Space Redundancy and Local Reconfiguration (FS, KC, VKP), pp. 1196–1201.
WICSAWICSA-2004-GomaaH #architecture #evolution
Software Reconfiguration Patterns for Dynamic Evolution of Software Architectures (HG, MH), pp. 79–88.
WICSAWICSA-2004-LesaintP #architecture #aspect-oriented #constraints #implementation #product line
Aspects and Constraints for Implementing Configurable Product-Line Architectures (DL, GP), pp. 135–144.
ICSMEICSM-2004-JanssensMHV #approach #composition
A Modular Approach Enforcing Safe Reconfiguration of Producer-Consumer Applications (NJ, SM, TH, PV), pp. 274–283.
ICSMEICSM-2004-NguyenMBT #architecture
Architectural Software Configuration Management in Molhado (TNN, EVM, JB, CT), pp. 296–305.
ICSMEICSM-2004-NguyenMBT04a #architecture #named #object-oriented
Molhado: Object-Oriented Architectural Software Configuration Management (TNN, EVM, JB, CT), p. 510.
SIGIRSIGIR-2004-LiuZC #information retrieval #ranking #xml
Configurable indexing and ranking for XML information retrieval (SL, QZ, WWC), pp. 88–95.
ASEASE-2004-Arshad #automation #using
Automated Dynamic Reconfiguration using AI Planning (NA), pp. 402–405.
FSEFSE-2004-GieseBSO #component #composition #design #verification
Modular design and verification of component-based mechatronic systems with online-reconfiguration (HG, SB, WS, OO), pp. 179–188.
ICSEICSE-2004-HillmanW #framework
An Open Framework for Dynamic Reconfiguration (JH, IW), pp. 594–603.
ICSEICSE-2004-LingenH #composition #framework #policy
An Experimental, Pluggable Infrastructure for Modular Configuration Management Policy Composition (RvdL, AvdH), pp. 573–582.
SACSAC-2004-CugolaFMP
Minimizing the reconfiguration overhead in content-based publish-subscribe (GC, DF, ALM, GPP), pp. 1134–1140.
DACDAC-2004-Baron #platform #roadmap #using
Trends in the use of re-configurable platforms (MB), p. 415.
DACDAC-2004-BriskKS #design #set #synthesis
Area-efficient instruction set synthesis for reconfigurable system-on-chip designs (PB, AK, MS), pp. 395–400.
DACDAC-2004-LiLH #reduction #using
FPGA power reduction using configurable dual-Vdd (FL, YL, LH), pp. 735–740.
DACDAC-2004-RanM #design #on the
On designing via-configurable cell blocks for regular fabrics (YR, MMS), pp. 198–203.
DACDAC-2004-ResanoM #hardware #scheduling
Specific scheduling support to minimize the reconfiguration overhead of dynamically reconfigurable hardware (JR, DM), pp. 119–124.
DACDAC-2004-VuleticPI #memory management
Virtual memory window for application-specific reconfigurable coprocessors (MV, LP, PI), pp. 948–953.
DATEDATE-DF-2004-BoschettiSB #architecture #image #runtime
A Run-Time Reconfigurable Datapath Architecture for Image Processing Applications (MRB, ISS, SB), pp. 242–247.
DATEDATE-DF-2004-CilardoMRS #composition #hardware
Carry-Save Montgomery Modular Exponentiation on Reconfigurable Hardware (AC, AM, LR, GPS), pp. 206–211.
DATEDATE-DF-2004-QuaxHM #implementation #scalability
A Scalable Implementation of a Reconfigurable WCDMA Rake Receiver (MQ, JH, JLvM), pp. 230–235.
DATEDATE-v1-2004-BansalGDNG #architecture #network
Network Topology Exploration of Mesh-Based Coarse-Grain Reconfigurable Architectures (NB, SG, ND, AN, RG), pp. 474–479.
DATEDATE-v1-2004-LyseckyV #architecture #clustering #hardware #logic
A Configurable Logic Architecture for Dynamic Hardware/Software Partitioning (RLL, FV), pp. 480–485.
DATEDATE-v1-2004-QuinnLBA #framework #network #platform
A System Level Exploration Platform and Methodology for Network Applications Based on Configurable Processors (DQ, BL, GB, EMA), pp. 364–371.
DATEDATE-v1-2004-VuleticRPI #interface #operating system
Operating System Support for Interface Virtualisation of Reconfigurable Coprocessors (MV, LR, LP, PI), p. 748.
DATEDATE-v1-2004-WongT #encoding #power management
Re-Configurable Bus Encoding Scheme for Reducing Power Consumption of the Cross Coupling Capacitance for Deep Sub-Micron Instruction Bus (SKW, CYT), pp. 130–135.
DATEDATE-v2-2004-KhawamBPAAAW #array #implementation #mobile #performance #video
Efficient Implementations of Mobile Video Computations on Domain-Specific Reconfigurable Arrays (SK, SB, AP, IA, NA, TA, FW), pp. 1230–1235.
DATEDATE-v2-2004-LeiningerGM #using
Diagnosis of Scan-Chains by Use of a Configurable Signature Register and Error-Correcting Code (AL, MG, PM), pp. 1302–1309.
DATEDATE-v2-2004-MeiVVL #architecture #case study #design #matrix
Design Methodology for a Tightly Coupled VLIW/Reconfigurable Matrix Architecture: A Case Study (BM, SV, DV, RL), pp. 1224–1229.
DATEDATE-v2-2004-NaculG #power management
Dynamic Voltage and Cache Reconfiguration for Low Power (ACN, TG), pp. 1376–1379.
DATEDATE-v2-2004-RosaPGL #framework #implementation #platform
Implementation of a UMTS Turbo-Decoder on a Dynamically Reconfigurable Platform (ALR, CP, FG, LL), pp. 1218–1223.
HPDCHPDC-2004-HuangS #self #using
Building Self-Configuring Services Using Service-Specific Knowledge (ACH, PS), pp. 45–54.
LCTESLCTES-2004-GuoBN #compilation #hardware #reuse
Input data reuse in compiling window operations onto reconfigurable hardware (ZG, BB, WAN), pp. 249–256.
DATEDATE-2005-BorgattiCRLMFP04 #design #multi #verification
An Integrated Design and Verification Methodology for Reconfigurable Multimedia Systems (MB, AC, UR, JLL, IM, FF, GP), pp. 266–271.
DATEDATE-2005-GalanisMTSG04 #clustering #hybrid #platform
A Partitioning Methodology for Accelerating Applications in Hybrid Reconfigurable Platforms (MDG, AM, GT, DS, CEG), pp. 247–252.
DATEDATE-2005-RissaDL04 #embedded #evaluation #modelling
Evaluation of SystemC Modelling of Reconfigurable Embedded Systems (TR, AD, WL), pp. 253–258.
DATEDATE-2005-UllmannJB04 #hardware
Hardware Support for QoS-based Function Allocation in Reconfigurable Systems (MU, WJ, JB), pp. 259–264.
HTHT-2003-NguyenMB #development
Configuration management in a hypermedia-based software development environment (TNN, EVM, JB), pp. 194–195.
CAiSECAiSE-2003-BraynerF #database #mobile
Sharing Mobile Databases in Dynamically Configurable Environments (AB, JdAMF), pp. 724–737.
RERE-2003-Romanski #requirements #safety #traceability
Requirements, Configuration Management and Traceability for Safety Critical Software (GR), p. 304.
ICSEICSE-2003-RaghavachariRJ #performance #problem #reliability
The Deployer’s Problem: Configuring Application Servers for Performance and Reliability (MR, DR, RDJ), pp. 484–489.
ICSEICSE-2003-SarmaNH #named
Palantír: Raising Awareness among Configuration Management Workspaces (AS, ZN, AvdH), pp. 444–454.
SACSAC-2003-GoncalvesMCWFRM #architecture #design #named
ARCHITECT-R: A System for Reconfigurable Robots Design (RAG, PAM, JMPC, DFW, MMF, RAFR, EM), pp. 679–683.
SACSAC-2003-SiEHD #composition #process
A Model for the Configurable Composition and Synchronization of Complex Trading Activities (YWS, DE, AHMtH, MD), pp. 595–602.
SACSAC-2003-XiaH #database #design #mobile #protocol
A Dynamic Data/Currency Protocol for Mobile Database Design and Reconfiguration (YX, AH), pp. 550–556.
CGOCGO-2003-ScottKVCDS
Retargetable and Reconfigurable Software Dynamic Translation (KS, NK, SV, BRC, JWD, MLS), pp. 36–47.
DACDAC-2003-BorgattiCSFILMPPR #embedded #memory management #multi
A reconfigurable signal processing IC with embedded FPGA and multi-port flash memory (MB, LC, GDS, BF, DI, FL, GM, MP, MP, PLR), pp. 691–695.
DACDAC-2003-KaplanBK #communication #estimation #reduction
Data communication estimation and reduction for reconfigurable systems (AK, PB, RK), pp. 616–621.
DATEDATE-2003-BeckerTVB #architecture #industrial #integration
An Industrial/Academic Configurable System-on-Chip Project (CSoC): Coarse-Grain XXP-/Leon-Based Architecture Integration (JB, AT, MV, VB), pp. 11120–11121.
DATEDATE-2003-BlodgetML #approach #embedded #lightweight
A Lightweight Approach for Embedded Reconfiguration of FPGAs (BB, SM, PL), pp. 10399–10401.
DATEDATE-2003-Dales
Managing a Reconfigurable Processor in a General Purpose Workstation Environment (MD), pp. 10980–10985.
DATEDATE-2003-DuSTBAF #interactive
Interactive Ray Tracing on Reconfigurable SIMD MorphoSys (HD, MSE, NT, NB, MLA, MF), pp. 20144–20149.
DATEDATE-2003-GericotaASF #logic #runtime
Run-Time Management of Logic Resources on Reconfigurable Systems (MGG, GRA, MLS, JMF), pp. 10974–10979.
DATEDATE-2003-HelmschmidtSRRMB
Reconfigurable Signal Processing in Wireless Terminals (JH, ES, PR, SR, SdM, RB), pp. 20244–20249.
DATEDATE-2003-IndrusiakLRG #hardware #implementation #ubiquitous
Ubiquitous Access to Reconfigurable Hardware: Application Scenarios and Implementation Issues (LSI, FL, RAdLR, MG), pp. 10940–10945.
DATEDATE-2003-LangeK #design #embedded #framework #hardware #platform
Virtual Hardware Byte Code as a Design Platform for Reconfigurable Embedded Systems (SL, UK), pp. 10302–10309.
DATEDATE-2003-MeiVVML #architecture #parallel #scheduling #using
Exploiting Loop-Level Parallelism on Coarse-Grained Reconfigurable Architectures Using Modulo Scheduling (BM, SV, DV, HDM, RL), pp. 10296–10301.
DATEDATE-2003-MignoletNCVVL #design #framework
Infrastructure for Design and Management of Relocatable Tasks in a Heterogeneous Reconfigurable System-on-Chip (JYM, VN, PC, DV, SV, RL), pp. 10986–10993.
DATEDATE-2003-MoraesMPMC #development
Development of a Tool-Set for Remote and Partial Reconfiguration of FPGAs (FGM, DM, JCSP, LM, NLVC), pp. 11122–11123.
DATEDATE-2003-NicolaidisAB #self
Optimal Reconfiguration Functions for Column or Data-bit Built-In Self-Repair (MN, NA, SB), pp. 10590–10595.
DATEDATE-2003-RosaLP #design #hardware
Hardware/Software Design Space Exploration for a Reconfigurable Processor (ALR, LL, CP), pp. 10570–10575.
DATEDATE-2003-Sanchez-ElezFADBH #architecture #data transformation #energy #memory management #multi
Low Energy Data Management for Different On-Chip Memory Levels in Multi-Context Reconfigurable Architectures (MSE, MF, MLA, HD, NB, RH), pp. 10036–10043.
DATEDATE-2003-VanzagoBCL #design #framework #platform #protocol
Design Space Exploration for a Wireless Protocol on a Reconfigurable Platform (LV, BB, JC, LL), pp. 10662–10667.
DATEDATE-2003-Vissers #architecture #parallel
Parallel Processing Architectures for Reconfigurable Systems (KAV), pp. 10396–10397.
DATEDATE-2003-WalderP #online #scheduling
Online Scheduling for Block-Partitioned Reconfigurable Devices (HW, MP), pp. 10290–10295.
LCTESLCTES-2003-GuoSBH #algorithm #graph
A graph covering algorithm for a coarse grain reconfigurable system (YG, GJMS, HB, PMH), pp. 199–208.
LCTESLCTES-2003-LeeCD #algorithm #architecture
An algorithm for mapping loops onto coarse-grained reconfigurable architectures (JeL, KC, NDD), pp. 183–188.
PDPPDP-2003-TudrujM #clustering #communication #execution #on the fly
Communication on the Fly and Program Execution Control in a System of Dynamically Configurable SMP Clusters (MT, LM), pp. 67–74.
FASEFASE-2003-AguirreM #component #logic #specification
A Logical Basis for the Specification of Reconfigurable Component-Based Systems (NA, TSEM), pp. 37–51.
WICSAWICSA-2002-Ramdane-CherifLL #analysis #architecture #evaluation
Dynamic Reconfigurable Software Architecture: Analysis and Evaluation (ARC, NL, FL), pp. 79–93.
CBSECBSE-2003-SridharH #component #generative
Generating Configurable Containers for Component-Based Software (NS, JOH), p. 11.
ICSMEICSM-2002-Arsanjani #architecture #compilation #towards
Business Compilers: Towards Supporting a Highly Re-Configurable Architectural Style for Service-Oriented Architecture (AA), p. 287.
ICSMEICSM-2002-ArsanjaniAZ #architecture #component #maintenance
Externalizing Component Manners to Achieve Greater Maintainability through a Highly Re-Configurable Architectural Style (AA, JJA, HZ), pp. 628–637.
ICSMEICSM-2002-VolzerASLM
A Tool for Subsystem Configuration Management (HV, BA, PAS, PAL, AM), pp. 492–500.
SEKESEKE-2002-BalzarottiGM #peer-to-peer
Supporting configuration management for virtual workgroups ini a peer-to-peer setting (DB, CG, MM), pp. 507–511.
ASEASE-2002-AguirreM #approach #component #logic #specification
A Temporal Logic Approach to the Specification of Reconfigurable Component-Based Systems (NA, TSEM), pp. 271–274.
ASEASE-2002-Chen #component #dependence #distributed
Dependence Management for Dynamic Reconfiguration of Component-Based Distributed Systems (XC), pp. 279–284.
FSEFSE-2002-Chu-CarrollWS #fine-grained
Supporting aggregation in fine grained software configuration management (MCC, JLW, DS), pp. 99–108.
ICSEICSE-2002-EstublierLCCHTW #community #research
Impact of the research community for the field of software configuration management (JE, DBL, GC, RC, AvdH, WFT, DWW), pp. 643–644.
SACSAC-2002-DAdderio #retrieval #reuse
Configuring software, reconfiguring memories: the influence of integrated systems on knowledge storage, retrieval and reuse (LD), pp. 726–731.
DACDAC-2002-ChenMB #generative
A reconfigurable FPGA-based readback signal generator for hard-drive read channel simulator (JC, JM, KB), pp. 349–354.
DACDAC-2002-HortaLTP #hardware #plugin #runtime
Dynamic hardware plugins in an FPGA with partial run-time reconfiguration (ELH, JWL, DET, DBP), pp. 343–348.
DACDAC-2002-HuangM #parallel
Exploiting operation level parallelism through dynamically reconfigurable datapaths (ZH, SM), pp. 337–342.
DATEDATE-2002-GericotaASF #concurrent #novel
A Novel Methodology for the Concurrent Test of Partial and Dynamically Reconfigurable SRAM-Based FPGAs (MGG, GRA, MLS, JMF), p. 1126.
DATEDATE-2002-GuccioneVB #design #platform
Design Technology for Networked Reconfigurable FPGA Platforms (SG, DV, IB), pp. 994–997.
DATEDATE-2002-LewisBLWGT #question #what
Reconfigurable SoC — What Will it Look Like? (JBL, IB, RL, CW, BG, YT), pp. 660–662.
DATEDATE-2002-RizzoC #architecture #case study #video
A Video Compression Case Study on a Reconfigurable VLIW Architecture (DR, OC), pp. 540–546.
DATEDATE-2002-Sanchez-ElezFMMKHB #architecture #multi
A Complete Data Scheduler for Multi-Context Reconfigurable Architectures (MSE, MF, RM, RH, NB, FJK), pp. 547–552.
DATEDATE-2002-SassatelliTBGDCG #architecture #scalability
Highly Scalable Dynamically Reconfigurable Systolic Ring-Architecture for DSP Applications (GS, LT, PB, TG, CD, GC, JG), pp. 553–558.
DATEDATE-2002-SkliarovaF #hardware #satisfiability #using
A SAT Solver Using Software and Reconfigurable Hardware (IS, AdBF), p. 1094.
DATEDATE-2002-TeichK #finite #implementation #self #state machine
(Self-)reconfigurable Finite State Machines: Theory and Implementation (JT, MK), pp. 559–566.
PDPPDP-2002-CorsonelloSST #algorithm #hardware #implementation #performance
Efficient Implementation of Cellular Algorithms on Reconfigurable Hardware (PC, GS, GS, DT), pp. 211–218.
PDPPDP-2002-KalteLVBR #programmable
Dynamically Reconfigurable System-on-Programmable-Chip (HK, DL, EV, AB, UR), pp. 235–242.
PDPPDP-2002-PorrmannWKR #hardware #implementation #network
Implementation of Artificial Neural Networks on a Reconfigurable Hardware Accelerator (MP, UW, HK, UR), p. 243–?.
HTHT-2001-Whitehead01a #authoring #collaboration #version control #web
WebDAV and DeltaV: collaborative authoring, versioning, and configuration management for the Web (EJWJ), pp. 259–260.
ICDARICDAR-2001-SirlantzisF #classification #multi #novel #recognition #self
Investigation of a Novel Self-configurable Multiple Classifier System for Character Recognition (KS, MCF), pp. 1002–1006.
ITiCSEITiCSE-2001-Xizhe #evaluation
Evaluation technique of software configuration management (JX), p. 186.
CAiSECAiSE-2001-Estublier
Objects Control for Software Configuration Management (JE), pp. 359–373.
TOOLSTOOLS-EUROPE-2001-Vollmann #architecture
Architectures for Configurable Systems (DV), p. 162.
ASEASE-2001-AndradeFW #automation #policy
Enforcing Business Policies Through Automated Reconfiguration (LFA, JLF, MW), p. 426–?.
DACDAC-2001-BazarganOS #architecture #compilation #design #physics #scheduling
Integrating Scheduling and Physical Design into a Coherent Compilation Cycle for Reconfigurable Computing Architectures (KB, SO, MS), pp. 635–640.
DACDAC-2001-Bondalapati #architecture #using
Parallelizing DSP Nested Loops on Reconfigurable Architectures using Data Context Switching (KB), pp. 273–276.
DACDAC-2001-SalefskiC
Re-Configurable Computing in Wireless (BS, LC), pp. 178–183.
DACDAC-2001-SchaumontVKS
A Quick Safari Through the Reconfiguration Jungle (PS, IV, KK, MS), pp. 172–177.
DATEDATE-2001-Hartenstein
A decade of reconfigurable computing: a visionary retrospective (RWH), pp. 642–649.
DATEDATE-2001-HuangM #design #network #using
Managing dynamic reconfiguration overhead in systems-on-a-chip design using reconfigurable datapaths and optimized interconnection networks (ZH, SM), p. 735.
DATEDATE-2001-NogueraB #algorithm #architecture #clustering
A HW/SW partitioning algorithm for dynamically reconfigurable architectures (JN, RMB), p. 729.
DATEDATE-2001-OuaissV #memory management #synthesis
Hierarchical memory mapping during synthesis in FPGA-based reconfigurable computers (IO, RV), pp. 650–657.
PDPPDP-2001-Kumova #transaction
Dynamic Re-Configurable Transaction Management in AgentTeam (BYK), pp. 258–264.
PDPPDP-2001-WongCV #multi
Coarse Reconfigurable Multimedia Unit Extension (SW, SC, SV), pp. 235–242.
TOOLSTOOLS-EUROPE-2000-Dujmovic #automation #framework #industrial
An Understandable and Configurable Domain-Specific Framework for Industrial Automation Applications (SD), pp. 348–358.
TOOLSTOOLS-EUROPE-2000-JorgensenTJ #component
Customization of Component-Based Object Request Brokers through Dynamic Reconfiguration (BNJ, ET, WJ), pp. 181–194.
ICSEFoSE-2000-Estublier #roadmap
Software configuration management: a roadmap (JE), pp. 279–289.
FSEFSE-2000-Chu-CarrollS #collaboration #named
Coven: brewing better collaboration through software configuration management (MCC, SS), pp. 88–97.
ICSEICSE-2000-Titze
Improvement of a configuration management system (FT), pp. 618–625.
SACSAC-2000-Dowell #constraints #network #performance
Optimal Configuration of a Command and Control Network: Balancing Performance and Reconfiguration Constraints (LJD), pp. 94–98.
DACDAC-2000-BorosRP #multi
High-level model of a WDMA passive optical bus for a reconfigurable multiprocessor system (VEB, ADR, SP), pp. 221–226.
DACDAC-2000-BoulisS #design #hardware
System design of active basestations based on dynamically reconfigurable hardware (AB, MBS), pp. 501–506.
DACDAC-2000-LiCDHKS #architecture #co-evolution #design #embedded
Hardware-software co-design of embedded reconfigurable architectures (YL, TC, ED, REH, UK, JS), pp. 507–512.
DACDAC-2000-Puig-MedinaEK #verification
Verification of configurable processor cores (MPM, GE, PK), pp. 426–431.
DACDAC-2000-SinghLFMLKB #case study #multi #named
MorphoSys: case study of a reconfigurable computing system targeting multimedia applications (HS, GL, EMCF, RM, MHL, FJK, NB), pp. 573–578.
DATEDATE-2000-BenabdenebiMM #named #scalability
CAS-BUS: A Scalable and Reconfigurable Test Access Mechanism for Systems on a Chip (MB, WM, MM), pp. 141–145.
DATEDATE-2000-EdwardsG #design #object-oriented
An Object Oriented Design Method for Reconfigurable Computing Systems (ME, PG), pp. 692–696.
DATEDATE-2000-GanesanV00a #clustering #design #latency
An Integrated Temporal Partitioning and Partial Reconfiguration Technique for Design Latency Improvement (SG, RV), pp. 320–325.
DATEDATE-2000-OuaissV #performance
Efficient Resource Arbitration in Reconfigurable Computing Environments (IO, RV), pp. 560–566.
DATEDATE-2000-SilvaDM #correlation #hardware #using
Mixed-Signal BIST Using Correlation and Reconfigurable Hardware (JMdS, JSD, JSM), p. 744.
HPCAHPCA-2000-CasadoBQSD #evaluation #network #performance
Performance Evaluation of Dynamic Reconfiguration in High-Speed Local Area Networks (RC, AB, FJQ, JLS, JD), pp. 85–96.
FASEFASE-2000-Parisi-PresicceW #graph transformation #policy #using
Foundations for Software Configuration Management Policies Using Graph Transformations (FPP, ALW), pp. 304–318.
CAiSECAiSE-1999-HeuvelPJ #legacy
Configuring Business Objects from Legacy Systems (WJvdH, MPP, MAJ), pp. 41–56.
TOOLSTOOLS-ASIA-1999-HanSP #framework #workflow
A Framework for Configurable Workflow Systems (YH, TS, HP), pp. 218–224.
TOOLSTOOLS-EUROPE-1999-Pellegrini #corba
Dynamic Reconfiguration of Corba-Based Applications (MCP), pp. 329–340.
ESECESEC-FSE-1999-WermelingerF #algebra #architecture
Algebraic Software Architecture Reconfiguration (MW, JLF), pp. 393–409.
ICSEICSE-1999-Hoek #architecture #deployment
Configurable Software Architecture in Support of Configuration Management and Software Deployment (AvdH), pp. 732–733.
DACDAC-1999-AbramoviciSS #hardware #satisfiability #using
A Massively-Parallel Easily-Scalable Satisfiability Solver Using Reconfigurable Hardware (MA, JTdS, DGS), pp. 684–690.
DACDAC-1999-AdarioRB #architecture #image
Dynamically Reconfigurable Architecture for Image Processor Applications (AMSA, ELR, SB), pp. 623–628.
DACDAC-1999-DeHonW #automation #design #what #why
Reconfigurable Computing: What, Why, and Implications for Design Automation (AD, JW), pp. 610–615.
DACDAC-1999-KaulVGO #approach #automation #clustering #synthesis
An Automated Temporal Partitioning and Loop Fission Approach for FPGA Based Reconfigurable Synthesis of DSP Applications (MK, RV, SG, IO), pp. 616–622.
DACDAC-1999-KocanS #fault #hardware
Dynamic Fault Diagnosis on Reconfigurable Hardware (FK, DGS), pp. 691–696.
DACDAC-1999-ZhuL #compilation #hardware
Hardware Compilation for FPGA-Based Configurable Computing Machines (XZ, BL), pp. 697–702.
DATEDATE-1999-AlippiFPS #approach #design
A DAG-Based Design Approach for Reconfigurable VLIW Processors (CA, WF, LP, MS), pp. 778–779.
DATEDATE-1999-Dav #distributed #embedded #hardware #named #realtime
CRUSADE: Hardware/Software Co-Synthesis of Dynamically Reconfigurable Heterogeneous Real-Time Distributed Embedded Systems (BPD), pp. 97–104.
DATEDATE-1999-FleischmannBK #component #embedded #hardware #java
Codesign of Embedded Systems Based on Java and Reconfigurable Hardware Components (JF, KB, RK), pp. 768–769.
DATEDATE-1999-MaestreKBSHF #kernel #scheduling
Kernel Scheduling in Reconfigurable Computing (RM, FJK, NB, HS, RH, MF), pp. 90–96.
DATEDATE-1999-RenovellPFZ #interface #logic #testing
Testing the Configurable Interconnect/Logic Interface of SRAM-Based FPGA’s (MR, JMP, JF, YZ), pp. 618–622.
HPDCHPDC-1999-UengSLL #distributed #memory management #named #runtime
Proteus: A Runtime Reconfigurable Distributed Shared Memory System (JCU, CKS, WHL, CCL), pp. 347–348.
PDPPDP-1999-JinHZ
A RAID reconfiguration scheme for gracefully degraded operations (HJ, KH, JZ), pp. 66–73.
TACASTACAS-1999-Kreitz #automation #communication
Automated Fast-Track Reconfiguration of Group Communication Systems (CK), pp. 104–118.
CSMRCSMR-1998-JensenH #reuse
Protection Reconfiguration for Reusable Software (CDJ, DH), pp. 74–81.
ICGTTAGT-1998-TaentzerGM #distributed #graph transformation #towards
Dynamic Change Management by Distributed Graph Transformation: Towards Configurable Distributed Systems (GT, MG, TM), pp. 179–193.
EDOCEDOC-1998-KoschelK #corba
Configurable event triggered services for CORBA-based systems (AK, RK), pp. 306–318.
ASEASE-1998-TemplerJ #automation #c
A Configurable Automatic Instrumentation Tool for ANSI C (KT, CLJ), p. 249–?.
ICSEICSE-1998-Jezequel #object-oriented
Reifying Configuration Management for Object-Oriented Software (JMJ), pp. 240–249.
SACSAC-1998-Kimm #2d #pipes and filters #problem
Two dimensional maximal elements problem on a reconfigurable optical pipelined bus system (HK), pp. 623–627.
SACSAC-1998-WohlmuthM #communication #parallel
A method for them embedding of arbitrary communication topologies into configurable parallel computers (OW, FML), pp. 569–574.
DACDAC-1998-BauerBKV #logic #performance #simulation
A Reconfigurable Logic Machine for Fast Event-Driven Simulation (JB, MB, IK, PV), pp. 668–671.
DACDAC-1998-ZhongAMM #case study #problem #satisfiability #using
Using Reconfigurable Computing Techniques to Accelerate Problems in the CAD Domain: A Case Study with Boolean Satisfiability (PZ, PA, SM, MM), pp. 194–199.
DATEDATE-1998-KaulV #architecture #clustering #synthesis
Optimal Temporal Partitioning and Synthesis for Reconfigurable Architectures (MK, RV), pp. 389–396.
DATEDATE-1998-Neely #logic
Reconfigurable Logic for Systems on a Chip (WSN), p. 340.
DATEDATE-1998-RabaeyW #energy
An Energy-Conscious Exploration Methodology for Reconfigurable DSPs (JMR, MW), pp. 341–342.
DATEDATE-1998-RenovellPFZ #approach #logic
RAM-Based FPGA’s: A Test Approach for the Configurable Logic (MR, JMP, JF, YZ), pp. 82–88.
HPDCHPDC-1998-DongarraFGKPSSM #adaptation #named
HARNESS: Heterogeneous Adaptable Reconfigurable NEtworked SystemS (JD, GEF, AG, JAK, PMP, SLS, VSS, MM), pp. 358–359.
PDPPDP-1998-JanikK #algorithm #fault tolerance #multi #optimisation
An algorithm for optimization of reconfiguration of fault tolerant multiprocessor systems (PJ, MK), pp. 342–348.
PDPPDP-1998-SanchezDG #network #pipes and filters #using
Using channel pipelining in reconfigurable interconnection networks (JLS, JD, JMG), pp. 120–126.
CSMRCSMR-1997-Holl #maintenance
A Software Configuration Management System for the Maintenance of Nuclear Power Plant Simulators (BH), pp. 136–139.
CSMRCSMR-1997-Kilpi #challenge #evaluation #framework #version control
New Challenges for Version Control and Configuration Management: a Framework and Evaluation (TK), pp. 33–42.
CSMRCSMR-1997-Schwille #modelling #process
Modeling product and process characteristics in software configuration management (JS), pp. 25–32.
EDOCEDOC-1997-FossaS #distributed #interactive #object-oriented
Interactive Configuration Management for Distributed Object Systems (HF, MS), pp. 118–128.
ESECESEC-FSE-1997-Joeris #process
Change Management Needs Integrated Process and Configuration Management (GJ), pp. 125–141.
ICSEICSE-1997-KarhinenRT #design #reuse
Configuring Designs for Reuse (AK, AR, TT), pp. 701–710.
DATEEDTC-1997-Lee
Reconfigurable data converter as a building block for mixed-signal test (EKFL), pp. 359–363.
HPDCHPDC-1997-FitzgeraldFKLST #distributed
A Directory Service for Configuring High-Performance Distributed Computations (SF, ITF, CK, GvL, WS, ST), pp. 365–376.
PDPPDP-1997-BenainiL #parallel #scheduling
Task scheduling for dynamically reconfigurable parallel machines (AB, DL), pp. 18–28.
CAiSECAiSE-1996-KellyLR #automation #multi
MetaEdit+: A Fully Configurable Multi-User and Multi-Tool CASE and CAME Environment (SK, KL, MR), pp. 1–21.
ICSEICSE-1996-HoekHW #distributed #peer-to-peer #repository
A Generic, Peer-to-Peer Repository for Distributed Configuration Management (AvdH, DH, ALW), pp. 308–317.
ICSEICSE-1996-LinR #logic
Configuration Management with Logical Structures (YJL, SPR), pp. 298–307.
HPCAHPCA-1996-ElGindySSSS #multi #named #network
RMB — A Reconfigurable Multiple Bus Network (HAE, AKS, HS, HS, AS), pp. 108–117.
CIKMCIKM-1995-YangP #approach #multi
A Configurable Approach for Object Sharing Among Multidatabase Systems (JY, MPP), pp. 129–136.
FSEFSE-1995-Zeller
A Unified Version Model for Configuration Management (AZ), pp. 151–160.
SACSAC-1995-GandolfiGMR #design #fuzzy
Design of a VLSI very high speed reconfigurable digital fuzzy processor (EG, AG, MM, MR), pp. 477–481.
DACDAC-1995-Albrecht #concurrent #design #simulation
Concurrent Design Methodology and Configuration Management of the SIEMENS EWSD — CCS7E Processor System Simulation (TWA), pp. 222–227.
HPDCHPDC-1995-DowdPCHC #clustering #named #network #scalability
LIGHTNING: A Scalable Dynamically Reconfigurable Hierarchical WDM Network for High-Performance Clustering (PWD, JAP, JCC, DCH, DC), pp. 220–229.
ICGTTAGT-1994-DerkD #fault tolerance #graph grammar #parallel
Reconfiguration Graph Grammar for Massively Parallel, Fault Tolerant Computers (MDD, LSD), pp. 185–195.
CIKMCIKM-1994-WoodsMFK #architecture #information retrieval #parallel
A Case for Reconfigurable Parallel Architectures for Information Retrieval (WAW, HDM, OF, PBK), pp. 57–63.
PDPPDP-1994-BagliettoMM #architecture #parallel
A Simulator For Reconfigurable Massively Parallel Architectures (PB, MM, MM), pp. 185–189.
PDPPDP-1994-HowardKTAJ #logic #named #novel #parallel #using
Zelig: A Novel Parallel Computing Machine Using Reconfigurable Logic (NJH, AK, AMT, NMA, AJJ), pp. 70–75.
PDPPDP-1994-LiveseyA #kernel
A Dynamically Configurable Co-processor For Microkernels (ML, CA), pp. 372–376.
HPDCHPDC-1993-MukherjeeS #adaptation #concurrent #multi #performance #thread #using
Improving Performance by Use of Adaptive Objects: Experimentation with a Configurable Multiprocessor Thread Package (BM, KS), pp. 59–66.
PDPPDP-1993-0001D #multi #network #trade-off
Dynamic reconfiguration of multicomputer networks: limitations and tradeoffs (JMG, JD), pp. 317–323.
STOCSTOC-1993-ColeMS #array #fault #multi #self
Multi-scale self-simulation: a technique for reconfiguring arrays with faults (RC, BMM, RKS), pp. 561–572.
SEKESEKE-1992-Bendix #automation
Automatic Configuration Management in a General Object-Based Environment (LB), pp. 186–193.
AdaTRI-Ada-C-1992-Blair #ada #library #using #version control
Managing Ada Using Rational’s Configuration Management/Version Control and IBM’s Software Configuration Library Manager (DJB), pp. 424–431.
ASEKBSE-1992-Jarke #re-engineering #towards
Towards a Logic-Based Reconstruction of Software Configuration Management (MJ), p. 21.
ICALPICALP-1991-Ben-AsherPRS #power of
The POwer of Reconfiguration (YBA, DP, RR, AS), pp. 139–150.
AdaEuropeAdaEurope-1991-LevyRT #ada #distributed
Reconfigurable Ada Distributed Control System Software (DCL, MCR, JLT), pp. 279–302.
PEPMPEPM-1991-MasonT #component #program transformation
Program Transformations for Configuring Components (IAM, CLT), pp. 297–308.
ESECESEC-1991-Feldman #challenge
Software Configuration Management: Past Uses and Future Challenges (SIF), pp. 1–6.
DACDAC-1991-BanksBEFH #data transformation #framework
A Configuration Management System in a Data Management Framework (SB, CB, RE, LF, PH), pp. 699–703.
PPoPPPPoPP-1991-FeeleyBCL
Dynamic Node Reconfiguration in a Parallel-Distributed Environment (MJF, BNB, JSC, HML), pp. 114–121.
SOSPSOSP-1991-RodehefferS #automation
Automatic Reconfiguration in Autonet (TLR, MDS), pp. 183–197.
CAiSECAiSE-1990-ReckH
Software Configuration Management for Medium-Size Systems (WR, HH), pp. 79–87.
VLDBVLDB-1989-AgrawalJ #on the #version control
On Correctly Configuring Versioned Objects (RA, HVJ), pp. 367–374.
ICSEICSE-1989-BernardYL #approach
A Process-Oriented Approach to Configuration Management (YB, PL), pp. 320–330.
ICSEICSE-1989-GalloST #approach #named #object-oriented #scalability
ObNet: An Object-Oriented Approach for Supporting Large, Long-Lived, Highly Configurable Systems (TG, GS, FT), pp. 138–144.
ICSEICSE-1989-SchwankeCGHSW
Configuration Management in BiiN SMS (RWS, ESC, RG, WMH, DAS, MEW), pp. 383–393.
DACDAC-1989-HwangWF #architecture #evaluation #using
Evaluation of a Reconfigurable Architecture for Digital Beamforming Using the OODRA Workbench (DLH, TLW, WKF), pp. 614–617.
ISSTATAV-1989-Moriconi #approach #semantics
A Practical Approach to Semantic Configuration Management (MM), pp. 103–113.
OOPSLAOOPSLA-1988-Sridhar
Configuring Stand-Alone Smalltalk-80 Applications (SS), pp. 95–104.
OOPSLAOOPSLA-1988-ThomasJ #named #programming
Orwell — A Configuration Management System for Team Programming (DAT, KJ), pp. 135–141.
DACDAC-1988-KuoF #scalability
Spare Allocation and Reconfiguration in Large Area VLSI (SYK, WKF), pp. 609–612.
ESECESEC-1987-BernardLLV
Configuration Management in an Open Environment (YB, ML, PL, MV), pp. 35–43.
STOCSTOC-1987-HastadLN #fault
Reconfiguring a Hypercube in the Presence of Faults (JH, FTL, MN), pp. 274–284.
LISPLFP-1986-White #case study #lisp #performance
Reconfigurable, Retargetable Bignums: A Case Study in Efficient, Portable Lisp System Building (JLW), pp. 174–191.
DACDAC-1986-KuoF #array #performance
Efficient spare allocation in reconfigurable arrays (SYK, WKF), pp. 385–390.
ICSEICSE-1981-Huff #database #effectiveness #programming
A Database Model for Effective Configuration Management in the Programming Environment (KEH), pp. 54–62.

Bibliography of Software Language Engineering in Generated Hypertext (BibSLEIGH) is created and maintained by Dr. Vadim Zaytsev.
Hosted as a part of SLEBOK on GitHub.