BibSLEIGH
BibSLEIGH corpus
BibSLEIGH tags
BibSLEIGH bundles
BibSLEIGH people
CC-BY
Open Knowledge
XHTML 1.0 W3C Rec
CSS 2.1 W3C CanRec
email twitter
Used together with:
more (28)
system (7)
use (7)
make (7)
base (7)

Stem less$ (all stems)

79 papers:

DATEDATE-2015-RustP #approximate #design #multi
Design method for multiplier-less two-variable numeric function approximation (JR, SP), pp. 948–953.
VLDBVLDB-2015-MullerG #abstract interpretation #sql
Provenance for SQL through Abstract Interpretation: Value-less, but Worthwhile (TM, TG), pp. 1872–1883.
ICSEICSE-v1-2015-HerzigGCM #quality #testing
The Art of Testing Less without Sacrificing Quality (KH, MG, JC, BM), pp. 483–493.
ASPLOSASPLOS-2015-PangDL #less is more
More is Less, Less is More: Molecular-Scale Photonic NoC Power Topologies (JP, CD, ARL), pp. 283–296.
SIGMODSIGMOD-2014-LiuHM #data transformation #development
JSON data management: supporting schema-less development in RDBMS (ZHL, BCH, DM), pp. 1247–1258.
SEFMSEFM-2014-HusterHERBKR #flexibility #invariant #specification
More Flexible Object Invariants with Less Specification Overhead (SH, PH, HE, JR, SB, TK, WR), pp. 302–316.
CHICHI-2014-HenleyF #editing #navigation #performance #towards
The patchworks code editor: toward faster navigation with less code arranging and fewer navigation mistakes (AZH, SDF), pp. 2511–2520.
CBSECBSE-2013-GortonLLED #case study #component #experience #using
Build less code deliver more science: an experience report on composing scientific environments using component-based and commodity software platforms (IG, YL, CL, TE, KKvD), pp. 159–168.
SIGMODSIGMOD-2013-WoodsTA #performance
Less watts, more performance: an intelligent storage engine for data appliances (LW, JT, GA), pp. 1073–1076.
VLDBVLDB-2013-HardockPGB #database #named
NoFTL: Database Systems on FTL-less Flash Storage (SH, IP, RG, APB), pp. 1278–1281.
HCIDUXU-WM-2013-ShenP #performance
Re-thinking Bookmark Management — Less Choice Is More Efficient (STS, SDP), pp. 304–312.
HCIHCI-III-2013-JuL #algorithm
A Dense Stereo Matching Algorithm with Occlusion and Less or Similar Texture Handling (HJ, CL), pp. 171–177.
HCIHCI-III-2013-WangWDL #3d #estimation #image #multi #sequence
Tracking End-Effectors for Marker-Less 3D Human Motion Estimation in Multi-view Image Sequences (WW, ZW, XD, BL), pp. 227–235.
ICMLICML-c2-2013-GolovinSMY #learning #ram #scalability
Large-Scale Learning with Less RAM via Randomization (DG, DS, HBM, MY), pp. 325–333.
OOPSLAOOPSLA-2013-LiSWL #execution #symbolic computation
Steering symbolic execution to less traveled paths (YL, ZS, LW, XL), pp. 19–32.
VLDBVLDB-2013-DongSS12 #integration #less is more
Less is More: Selecting Sources Wisely for Integration (XLD, BS, DS), pp. 37–48.
SFMSFM-2012-Selic #uml
The Less Well Known UML — A Short User Guide (BS), pp. 1–20.
CHICHI-2012-MerrittM
Protecting artificial team-mates: more seems like less (TRM, KM), pp. 2793–2802.
ICPRICPR-2012-BianZSL #realtime
Parallelized Annealed Particle Filter for real-time marker-less motion tracking via heterogeneous computing (YB, XZ, JS, YL), pp. 2444–2447.
ICPRICPR-2012-ZhuVL #re-engineering
Camera-less articulated trajectory reconstruction (YZ, JV, SL), pp. 841–844.
KDIRKDIR-2012-PrietoALC #architecture
Architecture for a Garbage-less and Fresh Content Search Engine (VMP, , RLG, FC), pp. 378–381.
RecSysRecSys-2012-ShiKBLOH #collaboration #learning #named #rank
CLiMF: learning to maximize reciprocal rank with collaborative less-is-more filtering (YS, AK, LB, ML, NO, AH), pp. 139–146.
DATEDATE-2011-BaiocchiC #embedded
Demand code paging for NAND flash in MMU-less embedded systems (JB, BRC), pp. 517–532.
ICFPICFP-2011-GonthierZND #ad hoc #automation #how #proving
How to make ad hoc proof automation less ad hoc (GG, BZ, AN, DD), pp. 163–175.
HCIDHM-2011-WortelenL #approach #automation #behaviour #estimation
Less Driving While Driving? An Approach for the Estimation of Effects of Future Vehicle Automation Systems on Driver Behavior (BW, AL), pp. 523–532.
HCIHCI-DDA-2011-HessMT #interactive #using
Differentiating between Successful and Less Successful Products by Using MAInEEAC — A Model for Interaction Characterization (SH, AM, MT), pp. 238–247.
HCIIDGD-2011-Gould #question
Seeing the World in 5 Dimensions — More or Less? (EWG), pp. 302–311.
CIKMCIKM-2011-WahabzadaKPB #performance #scheduling
More influence means less work: fast latent dirichlet allocation by influence scheduling (MW, KK, AP, CB), pp. 2273–2276.
CIKMCIKM-2011-WattanakitrungrojL #clustering #data type #streaming
Memory-less unsupervised clustering for data streaming by versatile ellipsoidal function (NW, CL), pp. 967–972.
KDDKDD-2011-McCue #security
Operational security analytics: doing more with less (CM), p. 782.
GPCEGPCE-2011-ArnoldusBS #less is more #metalanguage
Less is more: unparser-completeness of metalanguages for template engines (BJA, MvdB, AS), pp. 137–146.
LISPILC-2010-RideauG #coordination #evolution
Evolving ASDF: more cooperation, less coordination (FRR, RPG), pp. 29–42.
ICPRICPR-2010-AdamsWDMBG #feature model #less is more #recognition
Genetic-Based Type II Feature Extraction for Periocular Biometric Recognition: Less is More (JA, DLW, GVD, PEM, KSB, GG), pp. 205–208.
SACSAC-2010-AnastasiadisSP #detection #multi #performance
A fast multiplier-less edge detection accelerator for FPGAs (NA, IS, KZP), pp. 510–515.
OSDIOSDI-2010-SoaresS #flexibility #named #scheduling
FlexSC: Flexible System Call Scheduling with Exception-Less System Calls (LS, MS), pp. 33–46.
SEFMSEFM-2009-Chalin #verification
Adjusted Verification Rules for Loops Are More Complete and Give Better Diagnostics for Less (PC), pp. 317–324.
SIGIRSIGIR-2009-OulasvirtaHS
When more is less: the paradox of choice in search engine use (AO, JPH, BS), pp. 516–523.
SACSAC-2009-KokashCRI #flexibility #network #protocol
A flexible QoS-aware routing protocol for infrastructure-less B3G networks (NK, RSC, PGR, VI), pp. 212–216.
ASEASE-2008-GibbsBSDC #automation #question
Living with the Law: Can Automation give us Moore with Less? (CG, JB, NS, MD, YC), pp. 395–398.
CIKMCIKM-2008-AnciauxBHPA
Data degradation: making private data less sensitive over time (NA, LB, HvH, PP, PMGA), pp. 1401–1402.
ICPRICPR-2008-ChuangLL #analysis #process #representation
Human activity analysis based on a torso-less representation (JHC, CWL, KHL), pp. 1–4.
ICSTICST-2008-KaplanKPSWY #approach #consistency #generative #less is more #modelling #testing #uml
Less is More: A Minimalistic Approach to UML Model-Based Conformance Test Generation (MK, TK, AMP, AS, CW, CY), pp. 82–91.
PPoPPPPoPP-2007-MamidalaNVSP #on the #performance #scalability #trade-off #using
On using connection-oriented vs. connection-less transport for performance and scalability of collective and one-sided operations: trade-offs and impact (ARM, SN, AV, GS, DKP), pp. 46–54.
MSRMSR-2006-WeissgerberD #question #refactoring
Are refactorings less error-prone than other changes? (PW, SD), pp. 112–118.
SCAMSCAM-2006-BeszedesGG #algorithm #dependence #slicing
Graph-Less Dynamic Dependence-Based Dynamic Slicing Algorithms (ÁB, TG, TG), pp. 21–30.
ICEISICEIS-J-2006-FrangeskidesL06a #interactive #multi
Multi-modal Contact-Less Human Computer Interaction (FF, AL), pp. 405–419.
SIGIRSIGIR-2006-BastW #performance
Type less, find more: fast autocompletion search with a succinct index (HB, IW), pp. 364–371.
SIGIRSIGIR-2006-ChenK #documentation #less is more #modelling #probability
Less is more: probabilistic models for retrieving fewer relevant documents (HC, DRK), pp. 429–436.
LICSLICS-2006-ShohamG #abstraction #precise
3-Valued Abstraction: More Precision at Less Cost (SS, OG), pp. 399–410.
ICALPICALP-2005-BraekenBNP #classification #encryption
Classification of Boolean Functions of 6 Variables or Less with Respect to Some Cryptographic Properties (AB, YLB, SN, BP), pp. 324–334.
CHICHI-2005-WangN #performance
Less visible and wireless: two experiments on the effects of microphone type on users’ performance and perception (QW, CN), pp. 809–818.
ICEISICEIS-v4-2004-BalatosPIJL #concept #design #e-commerce #framework
Introducing an Operational and Techonological E-Commerce Framework for European SMEs: Designing Regional and Interegional E-Commerce Zones for SMEs in Four Less Favoured European Regions (LFRS) Based on Request Based Virtual Organisation (RBVO) Concept (AB, KP, II, VJ, ML), pp. 309–315.
ICPRICPR-v3-2004-FinlaysonM
Error-Less Colour Correctio (GDF, PMM), pp. 181–185.
DACDAC-2003-BullockM
An arbitrary twoqubit computation In 23 elementary gates or less (SSB, ILM), pp. 324–329.
OOPSLAOOPSLA-2003-SachindranEM #garbage collection #named #performance
Mark-copy: fast copying GC with less space overhead (NS, JEBM), pp. 326–343.
ASEASE-2002-OwenMC #finite #modelling #question #what
What Makes Finite-State Models More (or Less) Testable? (DO, TM, BC), pp. 237–240.
SATSAT-2002-Bacchus #reasoning #trade-off
Exploring the computational tradeoff of more reasoning and less searching (FB), p. 34.
STOCSTOC-2001-Ta-ShmaUZ
Loss-less condensers, unbalanced expanders, and extractors (ATS, CU, DZ), pp. 143–152.
ICMLICML-2000-SchohnC #learning #less is more
Less is More: Active Learning with Support Vector Machines (GS, DC), pp. 839–846.
KDDKDD-2000-WangZH
Growing decision trees on support-less association rules (KW, SZ, YH), pp. 265–269.
SACSAC-2000-BodolayE #database
A Schema-less Spatio-Temporal Database System (MB, MEM), pp. 366–373.
ASPLOSASPLOS-2000-DuesterwaldB #less is more #predict #profiling
Software Profiling for Hot Path Prediction: Less is More (ED, VB), pp. 202–211.
CHICHI-1999-SingerHSW #less is more
Tangible Progress: Less is More in Somewire Audio Spaces (AS, DH, LS, SW), pp. 104–111.
ICPRICPR-1998-Govindu0C #geometry #image #using
Using geometric properties for correspondence-less image alignment (VG, CS, RC), pp. 37–41.
SIGIRSIGIR-1997-Cooper #approximate #how
How to Read Less and Know More — Approximate OCR for Thai (DC), pp. 216–225.
ICPRICPR-1996-OgiharaNY #image #using
Data embedding into pictorial images with less distortion using discrete cosine transform (TO, DN, NY), pp. 675–679.
AdaTRI-Ada-1994-GreeneL #cpu #embedded #migration
Embedded CPU Target Migration, Doing More With Less (RG, GL), pp. 429–436.
ASPLOSASPLOS-1994-TalluriH #operating system #performance
Surpassing the TLB Performance of Superpages with Less Operating System Support (MT, MDH), pp. 171–182.
CSLCSL-1992-Schiermeyer #satisfiability
Solving 3-Satisfiability in Less Then 1, 579n Steps (IS), pp. 379–394.
FPCAFPCA-1991-Hannan #automaton
Making Abstract Machines Less Abstract (JH), pp. 618–635.
POPLPOPL-1991-Odersky #how
How to Make Destructive Updates Less Destructive (MO), pp. 25–36.
ASPLOSASPLOS-1991-ChaikenKA #scalability
LimitLESS Directories: A Scalable Cache Coherence Scheme (DC, JK, AA), pp. 224–234.
POPLPOPL-1989-WadlerB #ad hoc #how #morphism #polymorphism
How to Make ad-hoc Polymorphism Less ad-hoc (PW, SB), pp. 60–76.
VLDBVLDB-1984-Tan #constraints #dependence
A Less Costly Constraints Checking for Join Dependency (KPT), pp. 63–68.
POPLPOPL-1984-Murtagh #algol #memory management
A Less Dynamic Memory Allocation Scheme for Algol-like Languages (TPM), pp. 283–289.
ICLPILPC-1982-RoachF82 #migration #prolog #simulation
A Prolog Simulation of Migration Decision Making in a Less Developed Country (JWR, TDF), pp. 110–115.
DACDAC-1979-Pimont #algorithm
New algorithms for grid-less routing of high density printed circuit boards (SP), p. 485.
ICALPICALP-1979-MonienS #nondeterminism #on the #turing machine
On Eliminating Nondeterminism From Turing Machines Which Use Less Than Logarithmic Worktape Space (BM, IHS), pp. 431–445.
STOCSTOC-1976-GrahamHR #context-free grammar #on the #polynomial #recognition
On Line Context Free Language Recognition in Less than Cubic Time (Extended Abstract) (SLG, MAH, WLR), pp. 112–120.

Bibliography of Software Language Engineering in Generated Hypertext (BibSLEIGH) is created and maintained by Dr. Vadim Zaytsev.
Hosted as a part of SLEBOK on GitHub.