BibSLEIGH
BibSLEIGH corpus
BibSLEIGH tags
BibSLEIGH bundles
BibSLEIGH people
CC-BY
Open Knowledge
XHTML 1.0 W3C Rec
CSS 2.1 W3C CanRec
email twitter
Used together with:
branch (10)
perform (7)
use (7)
qualiti (5)
softwar (5)

Stem predictor$ (all stems)

44 papers:

CASECASE-2015-KruseW #predict
Application of the Smith-Åström Predictor to robot force control (DK, JTW), pp. 383–388.
DATEDATE-2015-FaravelonFP #branch #performance #predict #simulation
Fast and accurate branch predictor simulation (AF, NF, FP), pp. 317–320.
CHICHI-2015-OttleyYC #how #predict #visualisation
Personality as a Predictor of User Strategy: How Locus of Control Affects Search Strategies on Tree Visualizations (AO, HY, RC), pp. 3251–3254.
HPCAHPCA-2015-PeraisS #effectiveness #framework #named #predict
BeBoP: A cost effective predictor infrastructure for superscalar value prediction (AP, AS), pp. 13–25.
CHICHI-2014-YurutenZP #mobile #predict #process
Predictors of life satisfaction based on daily activities from mobile sensor data (OY, JZ, PHZP), pp. 497–500.
HCISCSM-2014-Hu #health #predict #social #social media
Health Slacktivism on Social Media: Predictors and Effects (CWH), pp. 354–364.
CHICHI-2013-HuttoYG #predict #twitter
A longitudinal study of follow predictors on twitter (CJH, SY, EG), pp. 821–830.
CSCWCSCW-2013-HsiehHCT #community #exclamation #online #predict #quote #social #volunteer
“Welcome!”: social and psychological predictors of volunteer socializers in online communities (GH, YH, IC, KNT), pp. 827–838.
HCIDHM-SET-2013-KongZC #behaviour #predict #risk management
Personality and Attitudes as Predictors of Risky Driving Behavior: Evidence from Beijing Drivers (JK, KZ, XC), pp. 38–44.
HPCAHPCA-2013-FarooqKJ #branch #compilation #predict
Store-Load-Branch (SLB) predictor: A compiler assisted branch prediction for data dependent branches (MUF, K, LKJ), pp. 59–70.
SIGIRSIGIR-2012-Cummins #modelling #monte carlo #performance #predict #simulation #using
Investigating performance predictors using monte carlo simulation and score distribution models (RC), pp. 1097–1098.
SIGIRSIGIR-2012-MahdabiAKC #automation #concept #predict #query #refinement #using
Automatic refinement of patent queries using concept importance predictors (PM, LA, MK, FC), pp. 505–514.
ITiCSEITiCSE-2011-GiannakosV #education #identification #predict
Identifying the predictors of educational webcasts’ adoption (MNG, PV), p. 376.
KDDKDD-2011-IfrimW #biology #bound #classification #coordination #predict #sequence
Bounded coordinate-descent for biological sequence classification in high dimensional predictor space (GI, CW), pp. 708–716.
SEKESEKE-2011-RiazMT #bibliography #maintenance #predict #relational
Maintainability Predictors for Relational Database-Driven Software Applications: Results from a Survey (MR, EM, EDT), pp. 420–425.
SIGIRSIGIR-2011-KanhabuaN #performance #predict #query
Time-based query performance predictors (NK, KN), pp. 1181–1182.
HPCAHPCA-2011-Seznec #branch #estimation #predict
Storage free confidence estimation for the TAGE branch predictor (AS), pp. 443–454.
CHICHI-2010-JeonKC #online #predict #quality
Re-examining price as a predictor of answer quality in an online q&a site (GYJ, YMK, YC), pp. 325–328.
ITiCSEITiCSE-2009-RodrigoBJADELPST #behaviour #predict
Affective and behavioral predictors of novice programmer achievement (MMTR, RSB, MCJ, ACMA, TD, MBVEL, SALL, SAMSP, JOS, EST), pp. 156–160.
KDDKDD-2009-MonrealePTG #mining #named #predict
WhereNext: a location predictor on trajectory pattern mining (AM, FP, RT, FG), pp. 637–646.
SIGIRSIGIR-2009-KumaranC #predict #quality #query #using
Reducing long queries using query quality predictors (GK, VRC), pp. 564–571.
TOOLSTOOLS-EUROPE-2009-ConejeroFGHJ #metric #predict
Early Crosscutting Metrics as Predictors of Software Instability (JMC, EF, AG, JH, EJ), pp. 136–156.
CHICHI-2008-HarperRRK #online #predict #quality
Predictors of answer quality in online Q&A sites (FMH, DRR, SR, JAK), pp. 865–874.
CIKMCIKM-2008-HauffHJ #bibliography #performance #predict #query
A survey of pre-retrieval query performance predictors (CH, DH, FdJ), pp. 1419–1420.
ASPLOSASPLOS-2008-BurceaSMF #predict
Predictor virtualization (IB, SS, AM, BF), pp. 157–167.
ASEASE-2007-JiangS #control flow #debugging #predict #statistics
Context-aware statistical debugging: from bug predictors to faulty control flow paths (LJ, ZS), pp. 184–193.
ICMLICML-2006-XuWSS #learning #predict
Discriminative unsupervised learning of structured predictors (LX, DFW, FS, DS), pp. 1057–1064.
HPCAHPCA-2006-RileyZ #predict #probability
Probabilistic counter updates for predictor hysteresis and stratification (NR, CBZ), pp. 110–120.
ICSEICSE-2005-MockusZL #predict #quality
Predictors of customer perceived software quality (AM, PZ, PLL), pp. 225–233.
CSCWCSCW-2004-NagelHA #communication #predict
Predictors of availability in home life context-mediated communication (KSN, JMH, GDA), pp. 497–506.
SEKESEKE-2004-RyanO #development #information management #performance #predict
Team Tacit Knowledge as a Predictor of Performance in Software Development Teams (SR, RO), pp. 312–317.
HPCAHPCA-2003-Jimenez #branch #predict
Reconsidering Complex Branch Predictors (DAJ), pp. 43–52.
HPCAHPCA-2003-SimonCF #branch #predict
Incorporating Predicate Information into Branch Predictors (BS, BC, JF), pp. 53–64.
ICPRICPR-v4-2002-BrittoSBS #predict #recognition #string
A String Length Predictor to Control the Level Building of HMMs for Handwritten Numeral Recognition (AdSBJ, RS, FB, CYS), pp. 31–34.
HPCAHPCA-2002-KampeSD #analysis #branch #fourier #predict #using
The FAB Predictor: Using Fourier Analysis to Predict the Outcome of Conditional Branches (MK, PS, MD), pp. 223–232.
ICSMEICSM-2000-RamilL #case study #evolution #metric #predict
Metrics of Software Evolution as Effort Predictors — A Case Study (JFR, MML), pp. 163–172.
CHICHI-2000-WatsonFM #predict #quality #using
Using naming time to evaluate quality predictors for model simplification (BW, AF, AM), pp. 113–120.
ICSEICSE-1998-BinkleyS #dependence #maintenance #metric #predict #runtime #validation
Validation of the Coupling Dependency Metric as a Predictor of Run-Time Failures and Maintenance Measures (ABB, SRS), pp. 452–455.
HPCAHPCA-1998-VengroffG #branch #estimation #performance #predict #re-engineering
Partial Sampling with Reverse State Reconstruction: A New Technique for Branch Predictor Performance Estimation (DEV, GRG), pp. 342–351.
STOCSTOC-1997-FreundSSW #predict #using
Using and Combining Predictors That Specialize (YF, RES, YS, MKW), pp. 334–343.
ASPLOSASPLOS-1996-SeznecJSM #branch #multi #predict
Multiple-Block Ahead Branch Predictors (AS, SJ, PS, PM), pp. 116–127.
KDDKDD-1995-Glymour #modelling #predict
Available Technology for Discovering Causal Models, Building Bayes Nets, and Selecting Predictors: The TETRAD II Program (CG), pp. 130–135.
ESECESEC-1995-Chamillard #analysis #case study #metric #performance #predict #reachability
An Exploratory Study of Program Metrics as Predictors of Reachability Analysis Performance (ATC), pp. 343–361.
PLDIPLDI-1993-BarrettZ #memory management #performance #predict #using
Using Lifetime Predictors to Improve Memory Allocation Performance (DAB, BGZ), pp. 187–196.

Bibliography of Software Language Engineering in Generated Hypertext (BibSLEIGH) is created and maintained by Dr. Vadim Zaytsev.
Hosted as a part of SLEBOK on GitHub.