BibSLEIGH
BibSLEIGH corpus
BibSLEIGH tags
BibSLEIGH bundles
BibSLEIGH people
EDIT!
CC-BY
Open Knowledge
XHTML 1.0 W3C Rec
CSS 2.1 W3C CanRec
email twitter
control flow
Google control flow

Tag #control flow

155 papers:

ECOOPECOOP-2019-MeierMC #named #protocol
Lifestate: Event-Driven Protocols and Callback Control Flow (SM, SM, BYEC), p. 29.
PEPMPEPM-2019-Lu #continuation #obfuscation
Control flow obfuscation via CPS transformation (KZML), pp. 54–60.
POPLPOPL-2019-SkorstengaardDB #encapsulation #linear #named #stack #using
StkTokens: enforcing well-bracketed control flow and stack encapsulation using linear capabilities (LS, DD, LB), p. 28.
CCCC-2019-PathadeK
Path sensitive MFP solutions in presence of intersecting infeasible control flow path segments (KP, UPK), pp. 159–169.
VMCAIVMCAI-2019-GermaneM0M #analysis
Demand Control-Flow Analysis (KG, JM, MDA0, MM), pp. 226–246.
PLDIPLDI-2018-MollH
Partial control-flow linearization (SM, SH), pp. 543–556.
ASEASE-2018-ChenH #smt #verification
Control flow-guided SMT solving for program verification (JC, FH), pp. 351–361.
ASEASE-2018-LiuWX #android #named
TDroid: exposing app switching attacks in Android with control flow specialization (JL0, DW, JX), pp. 236–247.
ICSTICST-2018-HendersonP #behaviour #fault #locality
Behavioral Fault Localization by Sampling Suspicious Dynamic Control Flow Subgraphs (TADH, AP), pp. 93–104.
IFM-2017-SteinhofelW #analysis #invariant #standard
A New Invariant Rule for the Analysis of Loops with Non-standard Control Flows (DS, NW), pp. 279–294.
PLDIPLDI-2017-OhmannBDL
Control-flow recovery from partial failure reports (PO, AB, LD, BL), pp. 390–405.
ASPLOSASPLOS-2017-GeCJ #named #using
GRIFFIN: Guarding Control Flows Using Intel Processor Trace (XG, WC, TJ), pp. 585–598.
ICPCICPC-2016-KothariTM #human-computer #question
Human-machine resolution of Invisible Control Flow? (SK, AT, JM), pp. 1–4.
SCAMSCAM-2016-MingW #concurrent #multi #named #performance #profiling #thread
BinCFP: Efficient Multi-threaded Binary Code Control Flow Profiling (JM0, DW), pp. 61–66.
SEFMSEFM-2016-FeistMP #execution #symbolic computation #using
Guided Dynamic Symbolic Execution Using Subgraph Control-Flow Information (JF, LM, MLP), pp. 76–81.
ICFP-2016-CicekP0 #complexity #incremental #type system
A type theory for incremental computational complexity with control flow changes (, ZP, DG0), pp. 132–145.
ICFP-2016-Gilray0M #analysis
Allocation characterizes polyvariance: a unified methodology for polyvariant control-flow analysis (TG, MDA0, MM), pp. 407–420.
KDDKDD-2016-NandiMADB #detection #execution #graph #mining #using
Anomaly Detection Using Program Control Flow Graph Mining From Execution Logs (AN, AM, SA, GBD, SB), pp. 215–224.
POPLPOPL-2016-GilrayL0MH #analysis #automaton #for free
Pushdown control-flow analysis for free (TG, SL, MDA0, MM, DVH), pp. 691–704.
SCAMSCAM-2015-LudemannK #graph
From preprocessor-constrained parse graphs to preprocessor-constrained control flow (DL, RK), pp. 211–220.
OOPSLAOOPSLA-2015-BlackshearCS #abstraction
Selective control-flow abstraction via jumping (SB, BYEC, MS), pp. 163–182.
OOPSLAOOPSLA-2015-FengWDL #interprocedural
EXPLORER : query- and demand-driven exploration of interprocedural control flow properties (YF, XW, ID, CL), pp. 520–534.
ASEASE-2015-BarrosJMVDdE #android #java #static analysis
Static Analysis of Implicit Control Flow: Resolving Java Reflection and Android Intents (T) (PB, RJ, SM, PV, WD, Md, MDE), pp. 669–679.
ICSEICSE-v1-2015-YangYWWR #analysis #android
Static Control-Flow Analysis of User-Driven Callbacks in Android Applications (SY, DY, HW, YW, AR), pp. 89–99.
CGOCGO-2015-ArthurMDA
Getting in control of your control flow with control-data isolation (WA, BM, RD, TMA), pp. 79–90.
DACDAC-2015-WangLZYW #architecture #configuration management
Acceleration of control flows on reconfigurable architecture with a composite method (JW, LL, JZ, SY, SW), p. 6.
PDPPDP-2015-NazarianRMCG #detection #fault
Bit-Flip Aware Control-Flow Error Detection (GN, DGR, ÁFM, LC, GG), pp. 215–221.
IFLIFL-2014-AdsitF #analysis #performance #system f
An Efficient Type- and Control-Flow Analysis for System F (CA, MF), p. 3.
VISSOFTVISSOFT-2014-ToprakWS #assembly #lightweight #regular expression #visualisation
Lightweight Structured Visualization of Assembler Control Flow Based on Regular Expressions (ST, AW, SS), pp. 97–106.
ICEISICEIS-v3-2014-KubovyAK #behaviour #composition
Behavior-based Decomposition of BPMN 2.0 Control Flow (JK, DA, JK), pp. 263–271.
PLDIPLDI-2014-HuangMR #abstraction #concurrent #detection #predict
Maximal sound predictive race detection with control flow abstraction (JH, POM, GR), p. 36.
PLDIPLDI-2014-NiuT #composition
Modular control-flow integrity (BN, GT), p. 58.
FSEFSE-2014-JaffarM #graph #slicing
A path-sensitively sliced control flow graph (JJ, VM), pp. 133–143.
ICSEICSE-2014-LeP #graph #interprocedural #multi #verification
Patch verification via multiversion interprocedural control flow graphs (WL, SDP), pp. 1047–1058.
SLESLE-2014-Hills #graph
Streamlining Control Flow Graph Construction with DCFlow (MH), pp. 322–341.
CCCC-2014-AnantpurG
Taming Control Divergence in GPUs through Control Flow Linearization (JA, RG), pp. 133–153.
DACDAC-2014-ChenT #design
Reliability-aware Register Binding for Control-Flow Intensive Designs (LC, MBT), p. 6.
DACDAC-2014-DaviKS #embedded #fine-grained #performance #towards
Hardware-Assisted Fine-Grained Control-Flow Integrity: Towards Efficient Protection of Embedded Systems Against Software Exploitation (LD, PK, ARS), p. 6.
DACDAC-2014-ShrivastavaRJW #analysis #fault
Quantitative Analysis of Control Flow Checking Mechanisms for Soft Errors (AS, AR, RJ, CJW), p. 6.
HPCAHPCA-2014-ElTantawyMOA #architecture #gpu #multi #performance #scalability
A scalable multi-path microarchitecture for efficient GPU control flow (AE, JWM, MO, TMA), pp. 248–259.
FASEFASE-2014-GomesPG #bytecode #graph #java #source code
Sound Control Flow Graph Extraction from Incomplete Java Bytecode Programs (PdCG, AP, DG), pp. 215–229.
ICSTICST-2014-PotetMPD #approach #evaluation #injection #named #robust
Lazart: A Symbolic Approach for Evaluation the Robustness of Secured Codes against Control Flow Injections (MLP, LM, MP, LD), pp. 213–222.
VMCAIVMCAI-2014-HardekopfWCK
Widening for Control-Flow (BH, BW, BRC, VK), pp. 472–491.
ASEASE-2013-OhmannL #analysis #debugging #lightweight
Lightweight control-flow instrumentation and postmortem analysis in support of debugging (PO, BL), pp. 378–388.
SACSAC-2013-AktasG #assessment #authentication #platform #runtime
Run-time control flow authentication: an assessment on contemporary x86 platforms (EA, KG), pp. 1859–1866.
DACDAC-2013-WangK #detection #hardware #kernel #named #performance #using
NumChecker: detecting kernel control-flow modifying rootkits by using hardware performance counters (XW, RK), p. 7.
HPCAHPCA-2013-RhuE #execution #gpu #performance
The dual-path execution model for efficient GPU control flow (MR, ME), pp. 591–602.
LCTESLCTES-2013-HuberPP #analysis #graph #using
Combined WCET analysis of bitcode and machine code using control-flow relation graphs (BH, DP, PPP), pp. 163–172.
LCTESLCTES-2013-KhudiaM #low cost #using
Low cost control flow protection using abstract control signatures (DSK, SAM), pp. 3–12.
FoSSaCSFoSSaCS-2013-KrebbersW #logic
Separation Logic for Non-local Control Flow and Block Scope Variables (RK, FW), pp. 257–272.
SCAMSCAM-2012-VinjuG #complexity #metric #what
What Does Control Flow Really Look Like? Eyeballing the Cyclomatic Complexity Metric (JJV, MWG), pp. 154–163.
SEFMSEFM-2012-AmighiGGH #exception #graph #java #source code
Sound Control-Flow Graph Extraction for Java Programs with Exceptions (AA, PdCG, DG, MH), pp. 33–47.
IFLIFL-2012-Fluet #analysis #system f
A Type- and Control-Flow Analysis for System F (MF), pp. 122–139.
SASSAS-2012-CalvertM #analysis #calculus
Control Flow Analysis for the Join Calculus (PC, AM), pp. 181–197.
VMCAIVMCAI-2012-KinderK #re-engineering
Alternating Control Flow Reconstruction (JK, DK), pp. 267–282.
SEKESEKE-2011-BadriT #analysis #dependence #empirical #testing
Empirical Analysis for Investigating the Effect of Control Flow Dependencies on Testability of Classes (MB, FT), pp. 475–480.
PADLPADL-2011-PetricekS #concurrent #named #parallel #programming
Joinads: A Retargetable Control-Flow Construct for Reactive, Parallel and Concurrent Programming (TP, DS), pp. 205–219.
SACSAC-2011-Sanchez-GonzalezRGC #complexity #metric #modelling #towards
Towards thresholds of control flow complexity measures for BPMN models (LSG, FR, FG, JC), pp. 1445–1450.
HPCAHPCA-2011-FungA #concurrent #performance #thread
Thread block compaction for efficient SIMT control flow (WWLF, TMA), pp. 25–36.
FASEFASE-2011-ErmelGLT #behaviour #consistency #functional #modelling
Modeling with Plausibility Checking: Inspecting Favorable and Critical Signs for Consistency between Control Flow and Functional Behavior (CE, JG, LL, GT), pp. 156–170.
OOPSLAOOPSLA-2010-HerzeelC #interactive #parallel #recursion
Dynamic parallelization of recursive code: part 1: managing control flow interactions with the continuator (CH, PC), pp. 377–396.
SACSAC-2010-LlorensOST #algorithm #graph
An algorithm to generate the context-sensitive synchronized control flow graph (ML, JO, JS, ST), pp. 2144–2148.
ESOPESOP-2010-VardoulakisS #analysis #approach #named
CFA2: A Context-Free Approach to Control-Flow Analysis (DV, OS), pp. 570–589.
DLTDLT-2009-CampanoniC #graph
Traces of Control-Flow Graphs (SC, SCR), pp. 156–169.
ICFPICFP-2009-MidtgaardJ #abstract interpretation #analysis
Control-flow analysis of function calls and returns by abstract interpretation (JM, TPJ), pp. 287–298.
PLDIPLDI-2009-GulwaniJK #analysis #bound #invariant #refinement
Control-flow refinement and progress invariants for bound analysis (SG, SJ, EK), pp. 375–385.
SASSAS-2009-NiedzielskiRGP #bound #constraints
A Verifiable, Control Flow Aware Constraint Analyzer for Bounds Check Elimination (DN, JvR, AG, KP), pp. 137–153.
ESEC-FSEESEC-FSE-2009-AbadiEF #slicing
Improving slice accuracy by compression of data and control flow paths (AA, RE, YAF), pp. 223–232.
VMCAIVMCAI-2009-KinderZV #abstract interpretation #framework #re-engineering
An Abstract Interpretation-Based Framework for Control Flow Reconstruction from Binaries (JK, FZ, HV), pp. 214–228.
ICSMEICSM-2008-KinneerR #algorithm #java #maintenance #representation #type inference
Assessing the usefulness of type inference algorithms in representing Java control flow to support software maintenance tasks (AK, GR), pp. 127–136.
GT-VMTGT-VMT-2008-BottoniMWY
Composing control flow and formula rules for computing on grids (PB, NNM, YW, RY).
SASSAS-2008-MidtgaardJ #abstract interpretation #analysis #approach
A Calculational Approach to Control-Flow Analysis by Abstract Interpretation (JM, TPJ), pp. 347–362.
SASSAS-2008-PerryW #fault #reasoning
Reasoning about Control Flow in the Presence of Transient Faults (FP, DW), pp. 332–346.
SASSAS-2008-Simon
Splitting the Control Flow with Boolean Flags (AS), pp. 315–331.
FSEFSE-2008-LaiCC #data flow #test coverage #testing
Inter-context control-flow and data-flow test adequacy criteria for nesC applications (ZL, SCC, WKC), pp. 94–104.
SACSAC-2008-RuiWFKZ #architecture
Control flow checking and recovering based on 8051 architecture (RG, WC, FL, KD, ZW), pp. 1550–1551.
CCCC-2008-LashariLM #architecture
Control Flow Emulation on Tiled SIMD Architectures (GL, OL, MM), pp. 100–115.
ICSMEICSM-2007-BernardiL #aspect-oriented #graph #interprocedural #maintenance
An Interprocedural Aspect Control Flow Graph to Support the Maintenance of Aspect Oriented Systems (MLB, GADL), pp. 435–444.
ICSMEICSM-2007-NagarajanGMZS
Matching Control Flow of Program Versions (VN, RG, MM, XZ, BDS), pp. 84–93.
ICFPICFP-2007-HornM #analysis #complexity #precise
Relating complexity and precision in control flow analysis (DVH, HGM), pp. 85–96.
ASEASE-2007-JiangS #debugging #predict #statistics
Context-aware statistical debugging: from bug predictors to faulty control flow paths (LJ, ZS), pp. 184–193.
SACSAC-2007-BraghettoFP #process #specification #using
Using control-flow patterns for specifying business processes in cooperative environments (KRB, JEF, CP), pp. 1234–1241.
CCCC-2007-LeeRBM #constraints #graph #using
Correcting the Dynamic Call Graph Using Control-Flow Constraints (BL, KR, MDB, KSM), pp. 80–95.
PDPPDP-2007-LorenzoMMV #analysis #automation #composition #process #web service
Automatic Analysis of Control Flow inWeb Services Composition Processes (GDL, FM, NM, VV), pp. 299–306.
WCREWCRE-2006-Krinke #graph #mining
Mining Control Flow Graphs for Crosscutting Concerns (JK), pp. 334–342.
SOFTVISSOFTVIS-2006-LiuV #animation #debugging #low level
Animation of control flow for low-level debugging (HL, FSV), pp. 157–158.
SEKESEKE-2006-TylerS #automation #inheritance #monitoring
Automatic Monitoring of Control-flow Through Inheritance Hierarchies (BT, NS), pp. 355–358.
OOPSLAOOPSLA-2006-BockischKHAM #performance #quantifier
Efficient control flow quantification (CB, SK, MH, MA, MM), pp. 125–138.
CGOCGO-2006-BorinWWA #detection #fault
Software-Based Transparent and Comprehensive Control-Flow Error Detection (EB, CW, YW, GA), pp. 333–345.
TACASTACAS-2006-GuptaJ #comparison #effectiveness #fault #testing
An Experimental Comparison of the Effectiveness of Control Flow Based Testing Approaches on Seeded Faults (AG, PJ), pp. 365–378.
VMCAIVMCAI-2006-TanA #composition #logic
A Compositional Logic for Control Flow (GT, AWA), pp. 80–94.
IWPCIWPC-2005-DearmanCF #data flow #representation #visual notation
Adding Control-Flow to a Visual Data-Flow Representation (DD, AC, MF), pp. 297–306.
PASTEPASTE-2005-RountevVR #analysis #diagrams #reverse engineering #sequence chart #uml
Static control-flow analysis for reverse engineering of UML sequence diagrams (AR, OV, MR), pp. 96–102.
SCAMSCAM-2005-BermudoKH #assembly #graph #re-engineering #source code
Control Flow Graph Reconstruction for Assembly Language Programs with Delayed Instructions (NB, AK, RNH), pp. 107–118.
ECMFAECMDA-FA-2005-GarousiBL #analysis #diagrams #sequence chart #uml
Control Flow Analysis of UML 2.0 Sequence Diagrams (VG, LCB, YL), pp. 160–174.
PLDIPLDI-2005-AyersSMARW #distributed #fault #named #re-engineering
TraceBack: first fault diagnosis by reconstruction of distributed control flow (AA, RS, CM, AA, JR, EW), pp. 201–212.
CGOCGO-2005-ShinHC #parallel
Superword-Level Parallelism in the Presence of Control Flow (JS, MWH, JC), pp. 165–175.
DATEDATE-2005-HanlaiMJ #graph #memory management #optimisation #performance #using
Extended Control Flow Graph Based Performance Optimization Using Scratch-Pad Memory (PH, LM, JJ), pp. 828–829.
CSLCSL-2005-VIICH #distributed #logic
Distributed Control Flow with Classical Modal Logic (TMV, KC, RH), pp. 51–69.
SCAMSCAM-2004-NaumannULF #code generation
Control Flow Reversal for Adjoint Code Generation (UN, JU, AL, MWF), pp. 55–64.
WCREWCRE-2004-Harrold #analysis #source code
Static and Dynamic Analyses of Programs with Implicit Control Flow (MJH), p. 5.
ICSEICSE-2004-SinhaOH #automation #development #maintenance #testing
Automated Support for Development, Maintenance, and Testing in the Presence of Implicit Control Flow (SS, AO, MJH), pp. 336–345.
GPCEGPCE-2004-DouenceT #aspect-oriented
A Pointcut Language for Control-Flow (RD, LT), pp. 95–114.
DACDAC-2004-KappS #automation #behaviour #scheduling #synthesis
Automatic correct scheduling of control flow intensive behavioral descriptions in formal synthesis (KK, VKS), pp. 61–66.
DATEDATE-v1-2004-GuptaDGN #design #synthesis
Loop Shifting and Compaction for the High-Level Synthesis of Designs with Complex Control Flow (SG, ND, RG, AN), pp. 114–121.
LCTESLCTES-2004-LiZ #java #programming
Advanced control flow in Java card programming (PL, SZ), pp. 165–174.
ESOPESOP-2004-LeviB #analysis
A Control Flow Analysis for Safe and Boxed Ambients (FL, CB), pp. 188–203.
ICLPICLP-2004-TronconJV #compilation #evaluation #lazy evaluation #performance #query
Fast Query Evaluation with (Lazy) Control Flow Compilation (RT, GJ, HV), pp. 240–253.
DATEDATE-2003-FalkM #source code
Control Flow Driven Splitting of Loop Nests at the Source Code Level (HF, PM), pp. 10410–10415.
FMFME-2002-Fidge #analysis #assembly
Timing Analysis of Assembler Code Control-Flow Paths (CJF), pp. 370–389.
SASSAS-2002-Probst #analysis #composition #library
Modular Control Flow Analysis for Libraries (CWP), pp. 165–179.
ICSEICSE-2002-GraunkeK #flexibility #user interface #visual notation
Advanced control flows for flexible graphical user interfaces: or, growing GUIs on trees or, bookmarking GUIs (PTG, SK), pp. 277–287.
ASPLOSASPLOS-2002-LiJSVR #comprehension #operating system #predict
Understanding and improving operating system effects in control flow prediction (TL, LKJ, AS, NV, JR), pp. 68–80.
LCTESLCTES-SCOPES-2002-KastnerW #assembly #re-engineering
Generic control flow reconstruction from assembly code (DK, SW), pp. 46–55.
CSMRCSMR-2001-MorettiCO #algorithm #graph
New Algorithms for Control-Flow Graph Structuring (EM, GC, AO), pp. 184–187.
VISSOFTSVIS-2001-Evstiougov-Babaev #developer #embedded #graph #visualisation
Call Graph and Control Flow Graph Visualization for Developers of Embedded Applications (AAEB), pp. 337–346.
SEKESEKE-2001-JarzabekZ #abstraction #analysis #component #reuse
Enhacing component reuse with control flow abstraction analysis (SJ, HZ), pp. 171–178.
PPDPPPDP-2001-HengleinMN #approach #memory management
A Direct Approach to Control-Flow Sensitive Region-Based Memory Management (FH, HM, HN), pp. 175–186.
CCCC-2001-YunKM #pipes and filters #towards
A First Step Towards Time Optimal Software Pipelining of Loops with Control Flows (HSY, JK, SMM), pp. 182–199.
ESOPESOP-2001-NielsonS #analysis #polynomial
Control-Flow Analysis in Cubic Time (FN, HS), pp. 252–268.
CSMRCSMR-2000-JohnstoneSW #assembly #challenge #compilation #what
What Assembly Language Programmers Get Up To: Control Flow Challenges in Reverse Compilation (AJ, ES, TW), pp. 83–92.
DATEDATE-2000-BjureusJ #named #specification
MASCOT: A Specification and Cosimulation Method Integrating Data and Control Flow (PB, AJ), pp. 161–168.
ICSEICSE-1999-SinhaHR #interprocedural #slicing #source code
System-Dependence-Graph-Based Slicing of Programs with Arbitrary Interprocedural Control Flow (SS, MJH, GR), pp. 432–441.
ESOPESOP-1999-NielsonN #analysis #interprocedural
Interprocedural Control Flow Analysis (FN, HRN), pp. 20–39.
CSMRCSMR-1998-BrandSV #cics #cobol #legacy #normalisation
Control Flow Normalization for COBOL/CICS Legacy System (MvdB, MPAS, CV), pp. 11–20.
SASSAS-1998-HandjievaT #analysis #clustering #using
Refining Static Analyses by Trace-Based Partitioning Using Control Flow (MH, ST), pp. 200–214.
CCCC-1998-BraunKK #analysis #generative #named
Cool: A Control-Flow Generator for System Analysis (VB, JK, DK), pp. 306–309.
CCCC-1998-MalacariaH #analysis #approach
A New Approach to Control Flow Analysis (PM, CH), pp. 95–108.
DACDAC-1998-LakshminarayanaJ #behaviour #framework #named #optimisation #throughput
FACT: A Framework for the Application of Throughput and Power Optimizing Transformations to Control-Flow Intensive Behavioral Descriptions (GL, NKJ), pp. 102–107.
DACDAC-1998-LakshminarayanaRJ #behaviour #execution #scheduling
Incorporating Speculative Execution into Scheduling of Control-Flow Intensive Behavioral Descriptions (GL, AR, NKJ), pp. 108–113.
DATEDATE-1998-KhouriLJ #named #power management #synthesis
IMPACT: A High-Level Synthesis System for Low Power Control-Flow Intensive Circuits (KSK, GL, NKJ), pp. 848–854.
ISSTAISSTA-1998-Ball #analysis #on the #testing
On the Limit of Control Flow Analysis for Regression Test Selection (TB), pp. 134–142.
ICFPICFP-1997-GasserNN #analysis
Systematic Realisation of Control Flow Analyses for CML (KLSG, FN, HRN), pp. 38–51.
PLDIPLDI-1997-HeintzeM #analysis #linear #transitive
Linear-time Subtransitive Control Flow Analysis (NH, DAM), pp. 261–272.
POPLPOPL-1997-NielsonN #analysis #semantics
Infinitary Control Flow Analysis: a Collecting Semantics for Closure Analysis (HRN, FN), pp. 332–345.
DACDAC-1997-RaghunathanDJW #design #power management
Power Management Techniques for Control-Flow Intensive Designs (AR, SD, NKJ, KW), pp. 429–434.
HPCAHPCA-1997-JacobsonBSS #multi
Control Flow Speculation in Multiscalar Processors (QJ, SB, NS, JES), pp. 218–229.
IFLIFL-1996-DebbabiFT #algorithm #analysis #concurrent #higher-order #source code #type system
A Type-Based Algorithm for the Control-Flow Analysis of Higher-Order Concurrent Programs (MD, AF, NT), pp. 247–266.
DACDAC-1996-BerrebiKVTHFJB #data flow #synthesis
Combined Control Flow Dominated and Data Flow Dominated High-Level Synthesis (EB, PK, SV, SDT, JCH, JF, AAJ, IB), pp. 573–578.
SASSAS-1995-Heintze #analysis #type system
Control-Flow Analysis and Type Systems (NH), pp. 189–206.
SACSAC-1995-Serrano #analysis #compilation #functional #paradigm
Control flow analysis: a functional languages compilation paradigm (MS), pp. 118–122.
ICLPILPS-1995-Lindgren #analysis #prolog
Control Flow Analysis of Prolog (TL), pp. 432–446.
PPDPPLILP-1994-Serrano #analysis #compilation #functional #higher-order #using
Using Higher-Order Control Flow Analysis When Compiling Functional Languages (MS), pp. 447–448.
DATEEDAC-1994-FranssenNSCM #optimisation #performance #simulation
Control flow optimization for fast system simulation and storage minimization (FHMF, LN, HS, FC, HDM), pp. 20–24.
PEPMPEPM-1992-RufW #analysis #higher-order #using
Improving the Accuracy of Higher-Order Specialization using Control Flow Analysis (ER, DW), pp. 67–74.
PLDIPLDI-1992-HanxledenK #constraints #using
Relaxing SIMD Control Flow Constraints using Loop Transformations (RvH, KK), pp. 188–199.
SASWSA-1992-TangJ #analysis
Control-Flow Effects for Escape Analysis (YMT, PJ), pp. 313–321.
PEPMPEPM-1991-Shivers #analysis #semantics
The Semantics of Scheme Control-Flow Analysis (OS), pp. 190–198.
PLDIPLDI-1988-Shivers #analysis
Control-Flow Analysis in Scheme (OS), pp. 164–174.
PLDIBest-of-PLDI-1988-Shivers88a #analysis #higher-order #lessons learnt
Higher-order control-flow analysis in retrospect: lessons learned, lessons abandoned (with retrospective) (OS), pp. 257–269.
DACDAC-1984-SaucierB #using
VLSI test expertise system using a control flow model (GS, CB), pp. 497–503.
PLDISCC-1982-Sethi #aspect-oriented #compilation #semantics
Control Flow Aspects of Semantics Directed Compiling (RS), pp. 245–260.
LISPLISP-1980-Marti #compilation #concurrent #lisp
Compilation Techniques for a Control-Flow Concurrent LISP System (JM), pp. 203–207.
POPLPOPL-1977-KennedyZ #analysis #graph grammar
Applications of Graph Grammar for Program Control Flow Analysis (KK, LZ), pp. 72–85.
POPLPOPL-1977-Rosen
Applications of High-Level Control Flow (BKR), pp. 38–47.

Bibliography of Software Language Engineering in Generated Hypertext (BibSLEIGH) is created and maintained by Dr. Vadim Zaytsev.
Hosted as a part of SLEBOK on GitHub.