BibSLEIGH
BibSLEIGH corpus
BibSLEIGH tags
BibSLEIGH bundles
BibSLEIGH people
CC-BY
Open Knowledge
XHTML 1.0 W3C Rec
CSS 2.1 W3C CanRec
email twitter
Used together with:
non (46)
memori (31)
cach (12)
system (11)
write (9)

Stem volatil$ (all stems)

65 papers:

DACDAC-2015-LiZHLHX #automation #compilation #performance #stack
Compiler directed automatic stack trimming for efficient non-volatile processors (QL, MZ, JH, YL, YH, CJX), p. 6.
DACDAC-2015-TsaiYPLTCC #design #energy #in memory #memory management #using
Energy-efficient non-volatile TCAM search engine design using priority-decision in memory technology for DPI (HJT, KHY, YCP, CCL, YHT, MFC, TFC), p. 6.
DACDAC-2015-WangH0LL #logic #memory management #named
ProPRAM: exploiting the transparent logic resources in non-volatile memory for near data computing (YW, YH, LZ, HL, XL), p. 6.
DACDAC-2015-XieZPHLX #energy
Fixing the broken time machine: consistency-aware checkpointing for energy harvesting powered non-volatile processor (MX, MZ, CP, JH, YL, CJX), p. 6.
DATEDATE-2015-SonLKYL #smarttech
A small non-volatile write buffer to reduce storage writes in smartphones (MS, SL, KK, SY, SL), pp. 713–718.
DATEDATE-2015-WangHNYYWYZ #energy #in memory #recognition
An energy-efficient non-volatile in-memory accelerator for sparse-representation based face recognition (YW, HH, LN, HY, MY, CW, WY, JZ), pp. 932–935.
DATEDATE-2015-ZhaoLXLHX #cyber-physical #energy #reduction
Software assisted non-volatile register reduction for energy harvesting based cyber-physical system (MZ, QL, MX, YL, JH, CJX), pp. 567–572.
SIGMODSIGMOD-2015-ArulrajPD #database #memory management
Let’s Talk About Storage & Recovery Methods for Non-Volatile Memory Database Systems (JA, AP, SD), pp. 707–722.
SIGMODSIGMOD-2015-Viglas #data transformation #memory management
Data Management in Non-Volatile Memory (SDV), pp. 1707–1711.
VLDBVLDB-2015-ChatzistergiouCV #in memory #named
REWIND: Recovery Write-Ahead System for In-Memory Non-Volatile Data-Structures (AC, MC, SDV), pp. 497–508.
VLDBVLDB-2015-ChenJ #in memory #memory management #persistent
Persistent B+-Trees in Non-Volatile Main Memory (SC, QJ), pp. 786–797.
MSRMSR-2015-DonadelliZR #case study #fault #replication #using
Organizational Volatility and Post-release Defects: A Replication Case Study Using Data from Google Chrome (SMD, YCZ, PCR), pp. 391–395.
SACSAC-2015-LeeRH #file system #memory management #performance
Performance implications of cache flushes for non-volatile memory file systems (KL, SR, HH), pp. 2069–2071.
SACSAC-2015-Oikawa #adaptation #memory management
Exposing non-volatile memory cache for adaptive storage access (SO), pp. 2021–2026.
ASPLOSASPLOS-2015-YoungNQ #encryption #named
DEUCE: Write-Efficient Encryption for Non-Volatile Memories (VY, PJN, MKQ), pp. 33–44.
ASPLOSASPLOS-2015-ZhangYMS #memory management #named #reliability
Mojim: A Reliable and Highly-Available Non-Volatile Memory System (YZ, JY, AM, SS), pp. 3–18.
DACDAC-2014-QiuLX #performance #power management
Write Mode Aware Loop Tiling for High Performance Low Power Volatile PCM (KQ, QL, CJX), p. 6.
DACDAC-2014-TsaiCYYHCCC #energy #using
Leveraging Data Lifetime for Energy-Aware Last Level Non-Volatile SRAM Caches using Redundant Store Elimination (HJT, CCC, KHY, TCY, LYH, CHC, MFC, TFC), p. 6.
DATEDATE-2014-DuanW
Exploiting narrow-width values for improving non-volatile cache lifetime (GD, SW), pp. 1–4.
DATEDATE-2014-JunsangsriLH #concurrent #detection #hybrid
A hybrid non-volatile SRAM cell with concurrent SEU detection and correction (PJ, FL, JH), pp. 1–4.
DATEDATE-2014-MaliukM #framework #network #prototype
An analog non-volatile neural network platform for prototyping RF BIST solutions (DM, YM), pp. 1–6.
VLDBVLDB-2014-WangJ #memory management #scalability
Scalable Logging through Emerging Non-Volatile Memory (TW, RJ), pp. 865–876.
ICEISICEIS-v1-2014-PintoNH #multi #optimisation
Multi-objective Optimization of Investment Strategies — Based on Evolutionary Computation Techniques, in Volatile Environments (JMP, RFN, NH), pp. 480–488.
OOPSLAOOPSLA-2014-ChakrabartiBB #consistency #memory management #named
Atlas: leveraging locks for non-volatile memory consistency (DRC, HJB, KB), pp. 433–452.
DACDAC-2013-GoncalvesPPD
Non-volatile FPGAs based on spintronic devices (OG, GP, GdP, BD), p. 3.
DATEDATE-2013-LiSLXCX #adaptation
Cache coherence enabled adaptive refresh for volatile STT-RAM (JL, LS, QL, CJX, YC, YX), pp. 1247–1250.
SEKESEKE-2013-JanesMSS #analysis #problem #programming #requirements
Domain Analysis in Combination with Extreme Programming toAddress Requirements Volatility Problems (S) (AJ, SM, AS, GS), pp. 226–231.
SACSAC-2013-Oikawa #file system #in memory #memory management
Integrating memory management with a file system on a non-volatile main memory system (SO), pp. 1589–1594.
HPCAHPCA-2013-WangDXJ #named
i2WAP: Improving non-volatile cache lifetime by reducing inter- and intra-set write variations (JW, XD, YX, NPJ), pp. 234–245.
LCTESLCTES-2013-LiJZHX #compilation #performance #power management
Compiler directed write-mode selection for high performance low power volatile PCM (QL, LJ, YZ, YH, CJX), pp. 101–110.
DACDAC-2012-BathenD #distributed #hybrid #named
HaVOC: a hybrid memory-aware virtualization layer for on-chip distributed ScratchPad and non-volatile memories (LADB, ND), pp. 447–452.
DACDAC-2012-DinglerKNHCNPBLS #logic
Making non-volatile nanomagnet logic non-volatile (AD, SK, MTN, XSH, GC, JN, WP, GHB, PL, VKS), pp. 476–485.
DACDAC-2012-JogMXXNID #architecture #performance
Cache revive: architecting volatile STT-RAM caches for enhanced performance in CMPs (AJ, AKM, CX, YX, VN, RI, CRD), pp. 243–252.
DACDAC-2012-MorrisBZP #logic #named #using
mLogic: ultra-low voltage non-volatile logic circuits using STT-MTJ devices (DM, DB, JG(Z, LTP), pp. 486–491.
DACDAC-2012-WangDX #architecture
Point and discard: a hard-error-tolerant architecture for non-volatile last level caches (JW, XD, YX), pp. 253–258.
DATEDATE-2012-ZhaoYZCL #architecture #array #memory management
Architecting a common-source-line array for bipolar non-volatile memory devices (BZ, JY, YZ, YC, HL), pp. 1451–1454.
REFSQREFSQ-2012-WangLWZW #approach #dependence #impact analysis #simulation
A Simulation Approach for Impact Analysis of Requirement Volatility Considering Dependency Change (JW, JL, QW, HZ, HW), pp. 59–76.
DACDAC-2011-ChenKCH #analysis #multi #reliability
Reliability analysis and improvement for multi-level non-volatile memories with soft information (SLC, BRK, JNC, CTH), pp. 753–758.
DATEDATE-2011-HuXZTS #energy #hybrid #memory management #performance #towards
Towards energy efficient hybrid on-chip Scratch Pad Memory with non-volatile memory (JH, CJX, QZ, WCT, EHMS), pp. 746–751.
CSMRCSMR-2011-TsantalisC #ranking #refactoring
Ranking Refactoring Suggestions Based on Historical Volatility (NT, AC), pp. 25–34.
ASPLOSASPLOS-2011-CoburnCAGGJS #named #performance #persistent
NV-Heaps: making persistent objects fast and safe with next-generation, non-volatile memories (JC, AMC, AA, LMG, RKG, RJ, SS), pp. 105–118.
HPCAHPCA-2011-SmullenMNGS #energy #performance
Relaxing non-volatility for fast and energy-efficient STT-RAM caches (CWSI, VM, AN, SG, MRS), pp. 50–61.
HPCAHPCA-2011-YoonMCRJE #fault #memory management #named
FREE-p: Protecting non-volatile memory against both hard and soft errors (DHY, NM, JC, PR, NPJ, ME), pp. 466–477.
DACDAC-2010-HuXTHQS #embedded #migration #process
Reducing write activities on non-volatile memories in embedded CMPs via data migration and recomputation (JH, CJX, WCT, YH, MQ, EHMS), pp. 350–355.
KDDKDD-2010-HendersonEFALMPT #approach #forensics #graph #metric #mining #multi
Metric forensics: a multi-level approach for mining volatile graphs (KH, TER, CF, LA, LL, KM, BAP, HT), pp. 163–172.
FSEFSE-2010-Mockus #fault
Organizational volatility and its effects on software defects (AM), pp. 117–126.
DATEDATE-2009-LiC #architecture #bibliography #memory management #tool support
An overview of non-volatile memory technology and the implication for tools and architectures (HL, YC), pp. 731–736.
DATEDATE-2009-RobertsKM #energy #memory management #using
Using non-volatile memory to save energy in servers (DR, TK, TNM), pp. 743–748.
DATEDATE-2009-WuLZSX #hybrid #performance
Power and performance of read-write aware Hybrid Caches with non-volatile memories (XW, JL, LZ, ES, YX), pp. 737–742.
CIKMCIKM-2009-CaoCYX #recommendation
Enhancing recommender systems under volatile userinterest drifts (HC, EC, JY, HX), pp. 1257–1266.
SIGIRSIGIR-2009-Hosseini #case study #information retrieval #performance
A study on performance volatility in information retrieval (MH), p. 853.
ASEASE-2008-AlmeidaSTV #testing
Testing Peers’ Volatility (ECdA, GS, YLT, PV), pp. 419–422.
KDDKDD-2008-ZhouX #correlation #perspective
Volatile correlation computation: a checkpoint view (WZ, HX), pp. 848–856.
RERE-2007-ConejeroHMA #requirements #using
Discovering Volatile and Aspectual Requirements Using a Crosscutting Pattern (JMC, JH, AMDM, JA), pp. 391–392.
CAiSECAiSE-2006-MoreiraAW #aspect-oriented #modelling
Modeling Volatile Concerns as Aspects (AMDM, JA, JW), pp. 544–558.
HPCAHPCA-2006-VenkatesanHR #agile
Retention-aware placement in DRAM (RAPID): software methods for quasi-non-volatile DRAM (RKV, SH, ER), pp. 155–165.
DATEDATE-2003-DaglioR #bottom-up #design #top-down
A Fully Qualified Top-Down and Bottom-Up Mixed-Signal Design Flow for Non Volatile Memories Technologies (PD, CR), pp. 20274–20279.
DATEDATE-2003-Pirola #hardware #memory management
A Solution for Hardware Emulation of Non Volatile Memory Macrocells (AP), pp. 20262–20267.
ICSMEICSM-2002-Barry #analysis #evolution #lifecycle #maintenance
Software Evolution, Volatility and Lifecycle Maintenance Patterns: A Longitudinal Analysis (EJB), pp. 474–477.
SACSAC-1999-Kaizoji #approach
A Synergetic Approach to Speculative Price Volatility (TK), pp. 57–65.
SACSAC-1996-HashemiSHL #identification #testing #using
Identifying and testing of signatures for non-volatile biomolecules using tandem mass spectra (RRH, TMS, WGH, JOL), pp. 44–49.
ASPLOSASPLOS-1994-WuZ #in memory #memory management #named
eNVy: A Non-Volatile, Main Memory Storage System (MW, WZ), pp. 86–97.
HPDCHPDC-1993-BiswasRTK #analysis #distributed #file system #performance
Performance Analysis of Distributed File Systems with Non-Volatile Caches (PB, KKR, DFT, CMK), pp. 252–262.
ASPLOSASPLOS-1992-BakerADOS #file system #memory management #performance #reliability
Non-Volatile Memory for Fast, Reliable File Systems (MB, SA, ED, JKO, MIS), pp. 10–22.
HTHT-1991-BernsteinBJM #architecture #hypermedia
Architectures for Volatile Hypertext (MB, JDB, MJ, EM), pp. 243–260.

Bibliography of Software Language Engineering in Generated Hypertext (BibSLEIGH) is created and maintained by Dr. Vadim Zaytsev.
Hosted as a part of SLEBOK on GitHub.