BibSLEIGH
BibSLEIGH corpus
BibSLEIGH tags
BibSLEIGH bundles
BibSLEIGH people
EDIT!
CC-BY
Open Knowledge
XHTML 1.0 W3C Rec
CSS 2.1 W3C CanRec
email twitter
fault
Google fault

Tag #fault

1948 papers:

POPLPOPL-2020-ZouZXFZS #detection #float
Detecting floating-point errors via atomic conditions (DZ, MZ, YX, ZF, LZ0, ZS), p. 27.
CGOCGO-2020-ParkLZM #low cost #predict
Low-cost prediction-based fault protection strategy (SP, SL, ZZ, SAM), pp. 30–42.
EDMEDM-2019-TaniguchiSK #c #process #programming
Investigating Error Resolution Processes in C Programming Exercise Courses (YT, AS, SK).
ICSMEICSME-2019-Alqadi #complexity #probability
The Relationship Between Cognitive Complexity and the Probability of Defects (BSA), pp. 600–604.
ICSMEICSME-2019-KucukHP #locality #statistics
The Impact of Rare Failures on Statistical Fault Localization: The Case of the Defects4J Suite (YK, TADH, AP), pp. 24–28.
ICSMEICSME-2019-MihanceaS #adaptation #detection
CodeSonar (R) Extension for Copy-Paste-(Mis) Adapt Error Detection (PFM, RS), pp. 386–389.
ICSMEICSME-2019-WongSCH #corpus #stack overflow #syntax
Syntax and Stack Overflow: A Methodology for Extracting a Corpus of Syntax Errors and Fixes (AWW, AS, SAC, AH), pp. 318–322.
MSRMSR-2019-AhluwaliaFP #dataset #named #predict
Snoring: a noise in defect prediction datasets (AA, DF, MDP), pp. 63–67.
MSRMSR-2019-Beyer #invariant #set
A data set of program invariants and error paths (DB0), pp. 111–115.
MSRMSR-2019-DamPN0GGKK #lessons learnt #predict #using
Lessons learned from using a deep tree-based model for software defect prediction in practice (HKD, TP, SWN, TT0, JCG, AG, TK, CJK), pp. 46–57.
MSRMSR-2019-HoangDK0U #framework #learning #named #predict
DeepJIT: an end-to-end deep learning framework for just-in-time defect prediction (TH, HKD, YK, DL0, NU), pp. 34–45.
MSRMSR-2019-RaghuramanHCSV #empirical #modelling #uml
Does UML modeling associate with lower defect proneness?: a preliminary empirical investigation (AR, THQ, MRVC, AS, BV), pp. 101–104.
SANERSANER-2019-YuBLKYX #empirical #learning #predict #rank
An Empirical Study of Learning to Rank Techniques for Effort-Aware Defect Prediction (XY, KEB, JL0, JWK, XY, ZX), pp. 298–309.
SANERSANER-2019-ZhangLML #approach #effectiveness #named #network #using
CNN-FL: An Effective Approach for Localizing Faults using Convolutional Neural Networks (ZZ0, YL, XM, PL), pp. 445–455.
SCAMSCAM-2019-HendersonPK #automation #locality #markov #process #using
Evaluating Automatic Fault Localization Using Markov Processes (TADH, AP, YK), pp. 115–126.
FMFM-2019-BardBD #smt #using
Formally Verified Roundoff Errors Using SMT-based Certificates and Subdivisions (JB, HB, ED), pp. 38–44.
IFM-2019-LoharPD #analysis #probability
Sound Probabilistic Numerical Error Analysis (DL, MP, ED), pp. 322–340.
SEFMSEFM-2019-ElderhalliVHKT #verification
Formal Verification of Rewriting Rules for Dynamic Fault Trees (YE, MV0, OH, JPK, ST), pp. 513–531.
CIKMCIKM-2019-0001WJPC
Tracking Top-k Influential Users with Relative Errors (YY0, ZW, TJ, JP, EC), pp. 1783–1792.
CIKMCIKM-2019-NeutatzMA #detection #learning #named
ED2: A Case for Active Learning in Error Detection (FN, MM, ZA), pp. 2249–2252.
ICMLICML-2019-AdamsJWS #learning #metric #modelling
Learning Models from Data with Measurement Error: Tackling Underreporting (RA, YJ, XW, SS), pp. 61–70.
ICMLICML-2019-BodaA #correlation #how #online
Correlated bandits or: How to minimize mean-squared error online (VPB, PLA), pp. 686–694.
ICMLICML-2019-Chen #analysis #bound #consistency #kernel #nearest neighbour
Nearest Neighbor and Kernel Survival Analysis: Nonasymptotic Error Bounds and Strong Consistency Rates (GHC), pp. 1001–1010.
ICMLICML-2019-GilmerFCC
Adversarial Examples Are a Natural Consequence of Test Error in Noise (JG, NF, NC, EDC), pp. 2280–2289.
ICMLICML-2019-KarimireddyRSJ #feedback
Error Feedback Fixes SignSGD and other Gradient Compression Schemes (SPK, QR, SUS, MJ), pp. 3252–3261.
ICMLICML-2019-MellerFAG #network
Same, Same But Different: Recovering Neural Network Quantization Error Through Weight Factorization (EM, AF, UA, MG), pp. 4486–4495.
ICMLICML-2019-NoklandE #network
Training Neural Networks with Local Error Signals (AN, LHE), pp. 4839–4850.
KDDKDD-2019-SchonD0 #how #predict #using
The Error is the Feature: How to Forecast Lightning using a Model Prediction Error (CS, JD, RM0), pp. 2979–2988.
OOPSLAOOPSLA-2019-SongLO #automation #detection #functional #logic #programming #scalability
Automatic and scalable detection of logical errors in functional programming assignments (DS, ML, HO), p. 30.
OOPSLAOOPSLA-2019-VukoticRV #named
Asphalion: trustworthy shielding against Byzantine faults (IV, VR, PJEV), p. 32.
OOPSLAOOPSLA-2019-WuCHS0 #approach #generative #learning #precise #specification
Generating precise error specifications for C: a zero shot learning approach (BW, JPCI, YH, AS, SC0), p. 30.
POPLPOPL-2019-LagoVMY #runtime #π-calculus
Intersection types and runtime errors in the pi-calculus (UDL, MdV, DM, AY), p. 29.
POPLPOPL-2019-YiCMJ #automation #float #library #performance
Efficient automated repair of high floating-point errors in numerical libraries (XY, LC, XM, TJ), p. 29.
ASEASE-2019-AhmedSSK #compilation #generative
Targeted Example Generation for Compilation Errors (UZA, RS, NS, AK), pp. 327–338.
ASEASE-2019-GongJWJ #empirical #evaluation #predict
Empirical Evaluation of the Impact of Class Overlap on Software Defect Prediction (LG, SJ, RW, LJ), pp. 698–709.
ASEASE-2019-JiaLYLWLL #debugging #detection #specification
Detecting Error-Handling Bugs without Error Specification Input (ZJ, SL, TY, XL, JW, XL, YL), pp. 213–225.
ASEASE-2019-JiangWXCZ #debugging #empirical #locality #statistics
Combining Spectrum-Based Fault Localization and Statistical Debugging: An Empirical Study (JJ, RW, YX, XC, LZ), pp. 502–514.
ASEASE-2019-Li0G00 #named #specification #static analysis
Ares: Inferring Error Specifications through Static Analysis (CL, MZ0, ZG, MG0, HZ0), pp. 1174–1177.
ASEASE-2019-LiW0ZCM #clustering #detection #effectiveness #named #spreadsheet
SGUARD: A Feature-Based Clustering Tool for Effective Spreadsheet Defect Detection (DL, HW, CX0, RZ, SCC, XM), pp. 1142–1145.
ASEASE-2019-MaYLYZ #c++ #named #pointer #source code #static analysis
SPrinter: A Static Checker for Finding Smart Pointer Errors in C++ Programs (XM, JY, YL, JY0, JZ), pp. 1122–1125.
ASEASE-2019-WangC00S #analysis #memory management #named #pointer
TsmartGP: A Tool for Finding Memory Defects with Pointer Analysis (YW, GC, MZ0, MG0, JS), pp. 1170–1173.
ASEASE-2019-ZamanHY #concurrent #named #scalability
SCMiner: Localizing System-Level Concurrency Faults from Large System Call Traces (TSZ, XH, TY), pp. 515–526.
ESEC-FSEESEC-FSE-2019-Caulo #metric #predict #taxonomy
A taxonomy of metrics for software fault prediction (MC), pp. 1144–1147.
ESEC-FSEESEC-FSE-2019-He19a #comprehension #debugging #detection #performance
Tuning backfired? not (always) your fault: understanding and detecting configuration-related performance bugs (HH), pp. 1229–1231.
ESEC-FSEESEC-FSE-2019-MesbahRJGA #compilation #learning #named
DeepDelta: learning to repair compilation errors (AM, AR, EJ, NG, EA), pp. 925–936.
ESEC-FSEESEC-FSE-2019-Pecorelli #empirical
Test-related factors and post-release defects: an empirical study (FP), pp. 1235–1237.
ESEC-FSEESEC-FSE-2019-Zhang0C0Z #compilation #empirical #integration #scalability
A large-scale empirical study of compiler errors in continuous integration (CZ, BC0, LC, XP0, WZ), pp. 176–187.
ESEC-FSEESEC-FSE-2019-Zhou0X0JLXH #learning #locality #predict
Latent error prediction and fault localization for microservice applications by learning from system trace logs (XZ, XP0, TX, JS0, CJ, DL, QX, CH), pp. 683–694.
ICSE-2019-AmarR #debugging #locality #mining #predict
Mining historical test logs to predict bugs and localize faults in the test logs (AA, PCR), pp. 140–151.
ICSE-2019-CabralMSM #evolution #latency #predict #verification
Class imbalance evolution and verification latency in just-in-time software defect prediction (GGC, LLM, ES, SM), pp. 666–676.
ICSE-2019-CuiLCZFJGQ #dependence #multi
Investigating the impact of multiple dependency structures on software defects (DC, TL0, YC, QZ, QF, WJ, JG, YQ), pp. 584–595.
ICSE-2019-HeCHWPY #identification #locality
A system identification based Oracle for control-CPS software fault localization (ZH, YC, EH, QW, YP0, HY), pp. 116–127.
ICSE-2019-YatishJTT #mining #question
Mining software defects: should we consider affected releases? (SY, JJ, PT, CT), pp. 654–665.
SLESLE-2019-RaselimoF #context-free grammar #locality
Spectrum-based fault localization for context-free grammars (MR, BF0), pp. 15–28.
SLESLE-2019-RaselimoTF #generative #parsing #source code #syntax
Breaking parsers: mutation-based generation of programs with guaranteed syntax errors (MR, JT, BF0), pp. 83–87.
ASPLOSASPLOS-2019-BaiLTH #automation #detection #kernel #linux #named
DCNS: Automated Detection Of Conservative Non-Sleep Defects in the Linux Kernel (JJB, JL, WT, SMH0), pp. 287–299.
ASPLOSASPLOS-2019-MahmoudVAMMFA #adaptation #hardware #named #testing
Minotaur: Adapting Software Testing Techniques for Hardware Errors (AM, RV, KA, SM, DM, CWF, SVA), pp. 1087–1103.
CASECASE-2019-HeWDZB #adaptation #detection
An Adaptive Interval Forecast CNN Model for Fault Detection Method (JH, JW, LD, JZ, JB), pp. 602–607.
CASECASE-2019-KuoCCTHLCWHS #generative #industrial
A Labor-Efficient GAN-based Model Generation Scheme for Deep-Learning Defect Inspection among Dense Beans in Coffee Industry (CJK, CCC, TTC, ZJT, MHH, YCL, YCC, DCW, GJH, WTS), pp. 263–270.
CASECASE-2019-LiGZYWSZ #detection #using
Detection model of invisible weld defects using magneto-optical imaging induced by rotating magnetic field (YL, XG, YZ, DY, CW, YS, NZ), pp. 1–5.
CASECASE-2019-MaggipintoSZM #case study #multi #predict #process #what
What are the Most Informative Data for Virtual Metrology? A use case on Multi-Stage Processes Fault Prediction (MM, GAS, FZ, SFM), pp. 1796–1801.
CASECASE-2019-MaoCZZ
An Enhanced POE-Based Method with Identified Transmission Errors for Serial Robotic Kinematic Calibration (CM, ZC, HZ, XZ), pp. 1568–1573.
CASECASE-2019-MiaoHZ #protocol #reliability
Reliable Cooperative Charging Protocol against Fault Data for Supercapacitors Charging Systems (YM, JH, SZ), pp. 954–959.
CASECASE-2019-NiuLNLW #detection #generative #named #network #using
DefectGAN: Weakly-Supervised Defect Detection using Generative Adversarial Network (SN, HL, TN, BL, XW), pp. 127–132.
CASECASE-2019-PengZZZ #analysis #component #detection #kernel #markov #multi #process
Hidden Markov Model Combined with Kernel Principal Component Analysis for Nonlinear Multimode Process Fault Detection (PP, JZ, YZ, HZ), pp. 1586–1591.
CASECASE-2019-SongLLSG #clustering #optimisation
A New Spectral Clustering Based on Particle Swarm Optimization for Unsupervised Fault Diagnosis of Bearings (WS, ML, XL, YS, LG0), pp. 386–391.
CASECASE-2019-XiaoH0HZ #detection #using
Surface Defect Detection using Hierarchical Features (LX, TH, BW0, YH, JZ), pp. 1592–1596.
CASECASE-2019-XuLWMWLS #data-driven #maintenance #predict
Data-Driven Fault Diagnostics and Prognostics for Predictive Maintenance: A Brief Overview* (GX, ML0, JW, YM, JW, FL, WS0), pp. 103–108.
CASECASE-2019-YanYS #detection #monitoring #process
Image-based Process Monitoring via Adversarial Autoencoder with Applications to Rolling Defect Detection (HY, HMY, NS), pp. 311–316.
CASECASE-2019-ZhangCZXL #detection #learning
Weld Defect Detection Based on Deep Learning Method (HZ, ZC, CZ, JX, XL), pp. 1574–1579.
CASECASE-2019-ZhangLWGG #learning #network #using
Fault Diagnosis Using Unsupervised Transfer Learning Based on Adversarial Network (ZZ, XL, LW, LG0, YG), pp. 305–310.
CASECASE-2019-Zhao0Z #detection
Detecting Intermittent Faults with Moving Average Techniques (YZ, XH0, DZ), pp. 1712–1717.
ESOPESOP-2019-BessonBDJW #compilation
Compiling Sandboxes: Formally Verified Software Fault Isolation (FB, SB, AD, TPJ, PW), pp. 499–524.
FASEFASE-2019-EniserGS #locality #named #network
DeepFault: Fault Localization for Deep Neural Networks (HFE, SG, AS0), pp. 171–191.
CAVCAV-2019-DavisHK #formal method #multi #protocol #proving #using
When Human Intuition Fails: Using Formal Methods to Find an Error in the “Proof” of a Multi-agent Protocol (JAD, LRH, DBK), pp. 366–375.
ICSTICST-2019-BaloghHB #developer #eclipse #ide #interactive #java #locality #named
Poster: Aiding Java Developers with Interactive Fault Localization in Eclipse IDE (GB, FH, ÁB), pp. 371–374.
ICSTICST-2019-KhaireddineMM #program repair
Program Repair at Arbitrary Fault Depth (BK, MM, AM), pp. 465–472.
ICSTICST-2019-LiuKB0KT #automation #benchmark #bias #exclamation #locality #metric #program repair #what
You Cannot Fix What You Cannot Find! An Investigation of Fault Localization Bias in Benchmarking Automated Program Repair Systems (KL0, AK, TFB, DK0, JK, YLT), pp. 102–113.
ICSTICST-2019-PatersonCAKFM #empirical #predict #testing #using
An Empirical Study on the Use of Defect Prediction for Test Case Prioritization (DP, JC, RA, GMK, GF, PM), pp. 346–357.
VMCAIVMCAI-2019-KarlSBM #robust #source code #verification
Small Faults Grow Up - Verification of Error Masking Robustness in Arithmetically Encoded Programs (AFK, RS, RB, SM), pp. 183–204.
EDMEDM-2018-DurandGL #parametricity #standard
Standard error considerations on AFM parameters (GD, CG, SL).
ICPCICPC-2018-XuLTLZLX #predict #set
Cross version defect prediction with representative data via sparse subset selection (ZX, SL, YT, XL, TZ0, JL0, JX0), pp. 132–143.
ICSMEICSME-2018-JiarpakdeeTT #automation #correlation #metric #modelling #named
AutoSpearman: Automatically Mitigating Correlated Software Metrics for Interpreting Defect Models (JJ, CT, CT), pp. 92–103.
ICSMEICSME-2018-LuoMPP #testing
Assessing Test Case Prioritization on Real Faults and Mutants (QL, KM, DP, MDP), pp. 240–251.
MSRMSR-2018-Cassee0CS #developer #how
How swift developers handle errors (NC, GP0, FC, AS), pp. 292–302.
MSRMSR-2018-NayrollesH #clone detection #detection #industrial #metric #named #scalability
CLEVER: combining code metrics with clone detection for just-in-time fault prevention and resolution in large industrial projects (MN, AHL), pp. 153–164.
MSRMSR-2018-PaduaS08 #exception
Studying the relationship between exception handling practices and post-release defects (GBdP, WS), pp. 564–575.
MSRMSR-2018-RabbaniHSGM #ide #interactive #replication #using #visual notation
Revisiting “programmers' build errors” in the visual studio context: a replication study using IDE interaction traces (NR, MSH, SS, KG, SM), pp. 98–101.
SANERSANER-2018-JiaLLLL #comprehension #named
SMARTLOG: Place error log statement by deep understanding of log intention (ZJ, SL, XL, XL, YL), pp. 61–71.
SANERSANER-2018-KirbasCHCB0B #industrial #scalability
The relationship between evolutionary coupling and defects in large industrial software (journal-first abstract) (SK, BC, TH, SC, DB, AS0, AB), p. 471.
SANERSANER-2018-LiuLGZX #metric #predict
Connecting software metrics across versions to predict defects (YL, YL, JG, YZ, BX), pp. 232–243.
SANERSANER-2018-SantosCPHA #detection #modelling #syntax #using
Syntax and sensibility: Using language models to detect and correct syntax errors (EAS, JCC, DP, AH, JNA), pp. 311–322.
SANERSANER-2018-SobreiraDDMM #dataset #debugging
Dissection of a bug dataset: Anatomy of 395 patches from Defects4J (VS, TD, FM, MM, MdAM), pp. 130–140.
SANERSANER-2018-XuLLZ #analysis #component #hybrid #kernel #learning #predict
Cross-version defect prediction via hybrid active learning with kernel principal component analysis (ZX, JL0, XL, TZ0), pp. 209–220.
SCAMSCAM-2018-KiniT #developer #metric #predict #research
[Research Paper] Periodic Developer Metrics in Software Defect Prediction (SOK, AT), pp. 72–81.
ICMLICML-2018-FujimotoHM #approximate
Addressing Function Approximation Error in Actor-Critic Methods (SF, HvH, DM), pp. 1582–1591.
ICMLICML-2018-Georgogiannis #learning #taxonomy
The Generalization Error of Dictionary Learning with Moreau Envelopes (AG), pp. 1710–1718.
ICMLICML-2018-ImamuraSS #analysis #clustering #crowdsourcing
Analysis of Minimax Error Rate for Crowdsourcing and Its Application to Worker Clustering Model (HI, IS, MS), pp. 2152–2161.
ICMLICML-2018-LopesWM #algorithm #estimation #random
Error Estimation for Randomized Least-Squares Algorithms via the Bootstrap (MEL, SW, MWM), pp. 3223–3232.
ICMLICML-2018-MussmannL #nondeterminism #on the #performance
On the Relationship between Data Efficiency and Error for Uncertainty Sampling (SM, PL), pp. 3671–3679.
ICMLICML-2018-Wu0H0 #distributed #optimisation #scalability
Error Compensated Quantized SGD and its Applications to Large-scale Distributed Optimization (JW, WH0, JH, TZ0), pp. 5321–5329.
ICPRICPR-2018-PistellatoBCGRA
Neighborhood-Based Recovery of Phase Unwrapping Faults (MP, FB, LC, AG, DR, AA), pp. 2462–2467.
ICPRICPR-2018-YanXAK #3d #detection #feature model
Accumulated Aggregation Shifting Based on Feature Enhancement for Defect Detection on 3D Textured Low-Contrast Surfaces (YY, SX, HA, SK), pp. 2965–2970.
KDDKDD-2018-FuWHW #approximate #learning #reduction #scalability
Scalable Active Learning by Approximated Error Reduction (WF, MW, SH, XW0), pp. 1396–1405.
KDDKDD-2018-Ting #bound #empirical #estimation #named #using
Count-Min: Optimal Estimation and Tight Error Bounds using Empirical Error Distributions (DT), pp. 2319–2328.
MoDELSMoDELS-2018-NordmannM #assessment #component #lessons learnt #modelling #safety
Lessons Learned from Model-Based Safety Assessment with SysML and Component Fault Trees (AN, PM), pp. 134–143.
OOPSLAOOPSLA-2018-BarowyBZ #automation #named #spreadsheet
ExceLint: automatically finding spreadsheet formula errors (DWB, EDB, BGZ), p. 26.
OOPSLAOOPSLA-2018-LeeSSO #automation #functional #logic #programming
Automatic diagnosis and correction of logical errors for functional programming assignments (JL, DS, SS, HO), p. 30.
PLDIPLDI-2018-DuckY #c #c++ #detection #effectiveness #memory management #named #using
EffectiveSan: type and memory error detection using dynamically typed C/C++ (GJD, RHCY), pp. 181–195.
PLDIPLDI-2018-Sanchez-SternPL #float
Finding root causes of floating point error (ASS, PP, SL, ZT), pp. 256–269.
SASSAS-2018-BessonJL #abstract interpretation #composition
Modular Software Fault Isolation as Abstract Interpretation (FB, TPJ, JL), pp. 166–186.
SASSAS-2018-JacqueminPV #analysis #bound #float
A Reduced Product of Absolute and Relative Error Bounds for Floating-Point Analysis (MJ, SP, FV), pp. 223–242.
ASEASE-2018-FanSCMLXP #android #programming
Efficiently manifesting asynchronous programming errors in Android apps (LF, TS, SC, GM, YL0, LX, GP), pp. 486–497.
ASEASE-2018-QuLCJCHZ #2d #named #network #predict #using
node2defect: using network embedding to improve software defect prediction (YQ, TL0, JC, YJ, DC, AH, QZ), pp. 844–849.
ASEASE-2018-Traini #distributed #effectiveness #framework #injection #multi #performance
A multi-objective framework for effective performance fault injection in distributed systems (LT), pp. 936–939.
ESEC-FSEESEC-FSE-2018-KimC0 #using
Which generated test failures are fault revealing? prioritizing failures based on inferred precondition violations using PAF (MK, SCC, SK0), pp. 679–690.
ESEC-FSEESEC-FSE-2018-LeeHO #c #memory management #named #static analysis
MemFix: static analysis-based repair of memory deallocation errors for C (JL, SH, HO), pp. 95–106.
ESEC-FSEESEC-FSE-2018-RoyPDH #debugging #synthesis #tool support
Bug synthesis: challenging bug-finding tools with deep faults (SR, AP, BDG, YH), pp. 224–234.
ICSE-2018-BenninKPMM #approach #named #predict
MAHAKIL: diversity based oversampling approach to alleviate the class imbalance issue in software defect prediction (KEB, JK, PP, AM, SM), p. 699.
ICSE-2018-HerboldTG #benchmark #case study #comparative #metric #predict
A comparative study to benchmark cross-project defect prediction approaches (SH, AT, JG), p. 1063.
ICSE-2018-McIntoshK #case study #predict
Are fix-inducing changes a moving target?: a longitudinal case study of just-in-time defect prediction (SM, YK), p. 560.
ICSE-2018-MorrisonPXCW #question
Are vulnerabilities discovered and resolved like other defects? (PJM, RP, XX, RC, LW), p. 498.
ICSE-2018-PapadakisSYB #correlation #detection #empirical #scalability
Are mutation scores correlated with real fault detection?: a large scale empirical study on the relationship between mutants and real faults (MP, DS0, SY, DHB), pp. 537–548.
ICSE-2018-ReyesDCJ #overview #perspective #re-engineering #statistics
Statistical errors in software engineering experiments: a preliminary literature review (RPR, OD, ERFC, NJ), pp. 1195–1206.
ASPLOSASPLOS-2018-RiggerSMGM #c #debugging #execution #source code
Sulong, and Thanks for All the Bugs: Finding Errors in C Programs by Abstracting from the Native Execution Model (MR, RS, RM, MG, HM), pp. 377–391.
CASECASE-2018-CaoWLG #generative #network
Application of Generative Adversarial Networks for Intelligent Fault Diagnosis (SC, LW, XL, LG0), pp. 711–715.
CASECASE-2018-Cho #automation #design #performance
CKF-based Fast Error Compensation Filter Design for IR-UWB Indoor Positioning System for Building Automation (SYC), pp. 668–670.
CASECASE-2018-HuangQGWY
Surface Defect Saliency of Magnetic Tile (YH, CQ, YG, XW, KY), pp. 612–617.
CASECASE-2018-JalalianLWAC #approach #automation #detection #statistics #visual notation
An Automatic Visual Inspection Method based on Statistical Approach for Defect Detection of Ship Hull Surfaces (AJ, WFL, FSW, SMA, CMC), pp. 445–450.
CASECASE-2018-ZhangZY #algorithm #detection #distributed
A Distributed Algorithm for Sensor Fault Detection (ZZ, QZ, WY), pp. 756–761.
FASEFASE-2018-LandsbergSK #locality #optimisation #source code #specification #using
Optimising Spectrum Based Fault Localisation for Single Fault Programs Using Specifications (DL, YS, DK), pp. 246–263.
ICSTICST-2018-HendersonP #behaviour #control flow #locality
Behavioral Fault Localization by Sampling Suspicious Dynamic Control Flow Subgraphs (TADH, AP), pp. 93–104.
ICSTICST-2018-MarianiMPRX
Localizing Faults in Cloud Systems (LM, CM, MP, OR, RX), pp. 262–273.
ICSTICST-2018-Rajaram #taxonomy #testing #using
Taxonomy Based Testing Using SW91, a Medical Device Software Defect Taxonomy (HKR), pp. 422–423.
ICSTICST-2018-RibeiroSACK #locality #named
Jaguar: A Spectrum-Based Fault Localization Tool for Real-World Software (HLR, HAdS, RPAdA, MLC, FK), pp. 404–409.
ICSTICST-2018-RyouR #automation #detection #html #layout #web
Automatic Detection of Visibility Faults by Layout Changes in HTML5 Web Pages (YR, SR), pp. 182–192.
ICTSSICTSS-2018-ParsaiDB
C++11/14 Mutation Operators Based on Common Fault Patterns (AP, SD, SDB), pp. 102–118.
ICTSSICTSS-2018-TvardovskiiEY #finite #state machine #testing
Deriving Tests with Guaranteed Fault Coverage for Finite State Machines with Timeouts (AT, KEF, NY), pp. 149–154.
VMCAIVMCAI-2018-TitoloFMM #abstract interpretation #analysis #float #framework #source code
An Abstract Interpretation Framework for the Round-Off Error Analysis of Floating-Point Programs (LT, MAF, MMM, CAM), pp. 516–537.
ICSAICSA-2017-NassarS #metric #predict #question #traceability
Traceability Metrics as Early Predictors of Software Defects? (BN, RS), pp. 235–238.
JCDLJCDL-2017-ChironDCVM #library #towards #using
Impact of OCR Errors on the Use of Digital Libraries: Towards a Better Access to Information (GC, AD, MC, MV, JPM), pp. 249–252.
ICSMEICSME-2017-AlorainiN #android #open source #state of the art #static analysis #tool support
Evaluating State-of-the-Art Free and Open Source Static Analysis Tools Against Buffer Errors in Android Apps (BA, MN), pp. 295–306.
ICSMEICSME-2017-FanLZZZ #algorithm #challenge #empirical #predict #privacy
The Utility Challenge of Privacy-Preserving Data-Sharing in Cross-Company Defect Prediction: An Empirical Study of the CLIFF&MORPH Algorithm (YF, CL, XZ, GZ, YZ), pp. 80–90.
ICSMEICSME-2017-HuangXL #modelling #predict
Supervised vs Unsupervised Models: A Holistic Look at Effort-Aware Just-in-Time Defect Prediction (QH, XX0, DL0), pp. 159–170.
ICSMEICSME-2017-LiJZZ #kernel #learning #multi #predict
Heterogeneous Defect Prediction Through Multiple Kernel Learning and Ensemble Learning (ZL0, XYJ, XZ, HZ0), pp. 91–102.
ICSMEICSME-2017-YangQM #automation #empirical #locality #program repair
An Empirical Study on the Usage of Fault Localization in Automated Program Repair (DY, YQ, XM), pp. 504–508.
MSRMSR-2017-GhotraMH #classification #feature model #modelling #scalability
A large-scale study of the impact of feature selection techniques on defect classification models (BG, SM, AEH), pp. 146–157.
MSRMSR-2017-JhaLL #android #developer #empirical
Developer mistakes in writing Android manifests: an empirical study of configuration errors (AKJ, SL, WJL), pp. 25–36.
MSRMSR-2017-MadeyskiK #dataset #idea #predict
Continuous defect prediction: the idea and a related dataset (LM, MK), pp. 515–518.
MSRMSR-2017-Patil #classification #concept
Concept-based classification of software defect reports (SP), pp. 182–186.
MSRMSR-2017-RajbahadurWKH #classification #modelling #using
The impact of using regression models to build defect classifiers (GKR, SW0, YK, AEH), pp. 135–145.
SANERSANER-2017-AltingerHSGW #performance #predict
Performance tuning for automotive Software Fault Prediction (HA, SH, FS, JG, FW), pp. 526–530.
SANERSANER-2017-FengMYLZX #effectiveness #empirical
An empirical investigation into the cost-effectiveness of test effort allocation strategies for finding faults (YF, WM, YY, HL, YZ, BX), pp. 371–381.
SANERSANER-2017-LiuLNB #locality #modelling #predict #search-based #testing #using
Improving fault localization for Simulink models using search-based testing and prediction models (BL, L, SN, LCB), pp. 359–370.
AIIDEAIIDE-2017-OskouieB #algorithm #heuristic #realtime #robust
Robustness of Real-Time Heuristic Search Algorithms to Read/Write Error in Externally Stored Heuristics (MAO, VB), pp. 137–143.
CIKMCIKM-2017-YalavarthiKK
Select Your Questions Wisely: For Entity Resolution With Crowd Errors (VKY, XK, AK), pp. 317–326.
OnwardOnward-2017-WrennK #classification #design #error message #process
Error messages are classifiers: a process to design and evaluate error messages (JW, SK), pp. 134–147.
OOPSLAOOPSLA-2017-LiZ #locality #source code #testing
Transforming programs and tests in tandem for fault localization (XL, LZ), p. 30.
OOPSLAOOPSLA-2017-RiceAJJPA #detection
Detecting argument selection defects (AR, EA, CJ, EJ, MP, YAP), p. 22.
OOPSLAOOPSLA-2017-SeidelSCWJ #data-driven #learning
Learning to blame: localizing novice type errors with data-driven diagnosis (ELS, HS, KC, WW, RJ), p. 27.
OOPSLAOOPSLA-2017-WuC #how #question #student #what
How type errors were fixed and what students did? (BW, SC0), p. 27.
ASEASE-2017-ChengZS0S #automation #integer #named #type inference
IntPTI: automatic integer error repair with proper-type inference (XC, MZ0, XS, MG0, JS), pp. 996–1001.
ASEASE-2017-CoppikSWS #kernel #named #operating system
TrEKer: tracing error propagation in operating system kernels (NC, OS, SW0, NS), pp. 377–387.
ESEC-FSEESEC-FSE-2017-FuM17a #learning #predict
Revisiting unsupervised learning for defect prediction (WF0, TM), pp. 72–83.
ESEC-FSEESEC-FSE-2017-MuraliCJ #api #learning #specification
Bayesian specification learning for finding API usage errors (VM, SC, CJ), pp. 151–162.
ESEC-FSEESEC-FSE-2017-PastoreM #automation #detection #named
VART: a tool for the automatic detection of regression faults (FP, LM), pp. 964–968.
ESEC-FSEESEC-FSE-2017-TianR #automation #c #debugging
Automatically diagnosing and repairing error handling bugs in C (YT, BR), pp. 752–762.
ICSE-2017-AdamsenMKSTS #nondeterminism
Repairing event race errors by controlling nondeterminism (CQA, AM, RK, MS, FT, KS), pp. 289–299.
ICSE-2017-BarikSLHFMP #compilation #developer #error message #question
Do developers read compiler error messages? (TB, JS0, KL, EH, JF, ERMH, CP), pp. 575–585.
ICSE-2017-ChekamPTH #branch #empirical
An empirical study on mutation, statement and branch coverage fault revelation that avoids the unreliable clean program assumption (TTC, MP, YLT, MH), pp. 597–608.
ICSE-2017-PearsonCJFAEPK #locality
Evaluating and improving fault localization (SP, JC, RJ, GF, RA, MDE, DP, BK), pp. 609–620.
ICSE-2017-PerezAD #locality #metric #testing
A test-suite diagnosability metric for spectrum-based fault localization approaches (AP, RA, AvD), pp. 654–664.
ICSE-2017-SayaghKA #on the
On cross-stack configuration errors (MS, NK, BA), pp. 255–265.
ICSE-2017-ZhouGCHPG #api #detection #documentation
Analyzing APIs documentation and code to detect directive defects (YZ0, RG, TC, ZH, SP, HCG), pp. 27–37.
ASPLOSASPLOS-2017-LesokhinERSGLBA #network
Page Fault Support for Network Controllers (IL, HE, SR, GS, SG, LL, MBY, NA, DT), pp. 449–466.
CASECASE-2017-0003AG #bound #reduction
Model reduction techniques with error bounds (MI0, MJA, AG), pp. 1510–1515.
CASECASE-2017-ChenWWWC #big data #classification #detection #multi
Big data analytic for multivariate fault detection and classification in semiconductor manufacturing (YJC, BCW, JZW, YCW, CFC), pp. 731–736.
CASECASE-2017-KimV #adaptation #detection
Quadruple adaptive redundancy with fault detection estimator (DK, RMV), pp. 542–547.
CASECASE-2017-KongN #approach #predict #using
A practical yield prediction approach using inline defect metrology data for system-on-chip integrated circuits (YK, DN), pp. 744–749.
CASECASE-2017-LiuWQWZ #analysis #geometry
A geometric errors analysis method integrated clamping error and wear out error over working space (XL, CW, LQ, YW, SZ), pp. 656–661.
CASECASE-2017-LuanWZCZ #detection #process
Porosity defect detection based on FastICA-RBF during pulsed TIG welding process (RL, GW, RZ, ZC, ZZ), pp. 548–553.
CASECASE-2017-PengZH #distributed #learning
Distributed fault diagnosis with shared-basis and B-splines-based matched learning (CP, YZ, QH), pp. 536–541.
CASECASE-2017-YanLP #delivery
Fault diagnosis of HVAC: Air delivery and terminal systems (YY, PBL, KRP), pp. 882–887.
CASECASE-2017-ZhaoCDW #learning #multi #taxonomy
TQWT-based multi-scale dictionary learning for rotating machinery fault diagnosis (ZZ, XC, BD, SW), pp. 554–559.
CASECASE-2017-ZhaoLHWZ #approach
A nussbaum gain approach to attitude tracking control of spacecrafts with actuator faults (XZ, YL, LH, XW, QZ), pp. 1581–1585.
FASEFASE-2017-ChengT #approach #atl #deduction #locality #model transformation
A Deductive Approach for Fault Localization in ATL Model Transformations (ZC, MT), pp. 300–317.
CAVCAV-2017-SinghBM #debugging #detection #formal method #locality #named #validation
E-QED: Electrical Bug Localization During Post-silicon Validation Enabled by Quick Error Detection and Formal Methods (ES, CWB, SM), pp. 104–125.
ICSTICST-2017-ChanWSPS #analysis #concurrent #invariant #multi #named #source code #thread #using
IPA: Error Propagation Analysis of Multi-Threaded Programs Using Likely Invariants (AC, SW0, HS, KP, NS), pp. 184–195.
ICSTICST-2017-Gay #detection #generative #search-based #testing
The Fitness Function for the Job: Search-Based Generation of Test Suites That Detect Real Faults (GG), pp. 345–355.
ICSTICST-2017-GopinathJG #formal method
The Theory of Composite Faults (RG, CJ, AG), pp. 47–57.
ICSTICST-2017-GuoML #sql
Localizing Faults in SQL Predicates (YG, AM, NL0), pp. 1–11.
ICSTICST-2017-JeongLKKH #embedded #framework #injection #kernel #linux #named
FIFA: A Kernel-Level Fault Injection Framework for ARM-Based Embedded Linux System (EJ, NL, JK, DK, SH), pp. 23–34.
ICSTICST-2017-PerezAd #locality
Prevalence of Single-Fault Fixes and Its Impact on Fault Localization (AP, RA, Md), pp. 12–22.
ICTSSICTSS-2017-HoferNW #spreadsheet
AI for Localizing Faults in Spreadsheets (BH, IN, FW), pp. 71–87.
ICTSSICTSS-2017-PetrenkoS #generative #modelling #sequence
Generating Checking Sequences for User Defined Fault Models (AP, AdSS), pp. 320–325.
VMCAIVMCAI-2017-FerraraT0K #abstract interpretation #using
Using Abstract Interpretation to Correct Synchronization Faults (PF, OT, PL0, EK), pp. 187–208.
VMCAIVMCAI-2017-FrumkinFLPSS #concurrent #proving #reachability
Property Directed Reachability for Proving Absence of Concurrent Modification Errors (AF, YMYF, OL, OP, MS, SS), pp. 209–227.
ICSMEICSME-2016-AbdouSBN #question #what
What is the Cause for a Defect to be Re-Assigned? (TA, BS, AB, AN), pp. 502–508.
ICSMEICSME-2016-GopinathWHK #machine learning #using
Repairing Intricate Faults in Code Using Machine Learning and Path Exploration (DG, KW, JH, SK), pp. 453–457.
ICSMEICSME-2016-XiaBLL #automation #case study #debugging #harmful #locality #scalability #user study #using
“Automated Debugging Considered Harmful” Considered Harmful: A User Study Revisiting the Usefulness of Spectra-Based Fault Localization Techniques with Professionals Using Real Bugs from Large Systems (XX0, LB, DL0, SL), pp. 267–278.
MSRMSR-2016-BarnettGSM #commit #git #java
The relationship between commit message detail and defect proneness in Java projects on GitHub (JGB, CKG, LSS, SM), pp. 496–499.
SANERSANER-2016-0001AKP #consistency #empirical #on the
On the Relationship of Inconsistent Software Clones and Faults: An Empirical Study (SW0, AA, KK, AP), pp. 79–89.
SANERSANER-2016-LiuLNBB #modelling #multi
Localizing Multiple Faults in Simulink Models (BL, L, SN, LCB, TB), pp. 146–156.
SANERSANER-2016-XuXLC #clustering #feature model #information management #named #predict
MICHAC: Defect Prediction via Feature Selection Based on Maximal Information Coefficient with Hierarchical Agglomerative Clustering (ZX, JX, JL0, XC), pp. 370–381.
SCAMSCAM-2016-MuscoMP #graph #locality
Mutation-Based Graph Inference for Fault Localization (VM, MM, PP), pp. 97–106.
FMFM-2016-HolzerSBWW #concurrent #invariant
Error Invariants for Concurrent Traces (AH, DSN, MTB, GW, TW), pp. 370–387.
IFM-2016-FendrichL #automaton #component #interface
A Generalised Theory of Interface Automata, Component Compatibility and Error (SF, GL), pp. 160–175.
ICFP-2016-SeidelJW #source code #static typing
Dynamic witnesses for static type errors (or, ill-typed programs usually go wrong) (ELS, RJ, WW), pp. 228–242.
CIKMCIKM-2016-HanadaPCL #effectiveness #type system #using
Effective Spelling Correction for Eye-based Typing using domain-specific Information about Error Distribution (RH, MdGCP, MC, FAL), pp. 1723–1732.
CIKMCIKM-2016-WangZHZ #detection #wiki
Error Link Detection and Correction in Wikipedia (CW0, RZ0, XH, AZ), pp. 307–316.
ICMLICML-2016-Fan #estimation #performance #robust
Accurate Robust and Efficient Error Estimation for Decision Trees (LF), pp. 239–247.
ICMLICML-2016-PuleoM #bound #clustering #correlation
Correlation Clustering and Biclustering with Locally Bounded Errors (GJP, OM), pp. 869–877.
ICPRICPR-2016-LiTY
Refining pre-image via error compensation for KPCA-based pattern de-noising (JL, QT, ZY), pp. 414–419.
ICPRICPR-2016-NguyenKDCPC16a #segmentation
Segmentation of defects on log surface from terrestrial lidar data (VTN, BK, IDR, FC, AP, TC), pp. 3168–3173.
ICPRICPR-2016-ZhaoIBJ #predict #using
Wind turbine fault prediction using soft label SVM (RZ, MRAI, KPB, QJ), pp. 3192–3197.
KDDKDD-2016-AndersonKM #benchmark #metric
Assessing Human Error Against a Benchmark of Perfection (AA, JMK, SM), pp. 705–714.
OOPSLAOOPSLA-2016-Kell
Dynamically diagnosing type errors in unsafe code (SK), pp. 800–819.
OOPSLAOOPSLA-2016-KimK0KPZR #automation #named #programming
Apex: automatic programming assignment error explanation (DK, YK, PL0, ILK, DMP, XZ0, GRR), pp. 311–327.
OOPSLAOOPSLA-2016-Loncaric0SS #framework #type inference
A practical framework for type inference error explanation (CL, SC0, CS, MS), pp. 781–799.
OOPSLAOOPSLA-2016-ShanAN #android
Finding resume and restart errors in Android applications (ZS, TA, IN), pp. 864–880.
AdaEuropeAdaEurope-2016-LaaliLHSS #detection #online #testing #using
Test Case Prioritization Using Online Fault Detection Information (ML, HL, MH, MS, HWS), pp. 78–93.
PLDIPLDI-2016-SorensenD #gpu #memory management
Exposing errors related to weak memory in GPU applications (TS0, AFD), pp. 100–113.
ASEASE-2016-GuSMLS #automation #runtime #synthesis
Automatic runtime recovery via error handler synthesis (TG, CS, XM, JL, ZS), pp. 684–695.
ASEASE-2016-KangRJ #api #automation #c #named #specification
APEx: automated inference of error specifications for C APIs (YJK, BR, SJ), pp. 472–482.
ASEASE-2016-LaghariMD #locality #set
Fine-tuning spectrum based fault localisation with frequent method item sets (GL, AM, SD), pp. 274–285.
FSEFSE-2016-Cheng #analysis #integer #named
RABIEF: range analysis based integer error fixing (XC), pp. 1094–1096.
FSEFSE-2016-Mackie #java
Preventing signedness errors in numerical computations in Java (CAM), pp. 1148–1150.
FSEFSE-2016-Pearson #evaluation #locality
Evaluation of fault localization techniques (SP), pp. 1115–1117.
FSEFSE-2016-WangZHXZ0 #detection #float
Detecting and fixing precision-specific operations for measuring floating-point errors (RW, DZ, XH, YX, LZ0, GH0), pp. 619–630.
FSEFSE-2016-YangZLZL0XL #modelling #predict
Effort-aware just-in-time defect prediction: simple unsupervised models could be better than supervised models (YY, YZ, JL, YZ, HL, LX0, BX, HL), pp. 157–168.
ICSE-2016-LiuCB #detection #dynamic analysis #named #performance #precise
DoubleTake: fast and precise error detection via evidence-based dynamic analysis (TL, CC, EDB), pp. 911–922.
ICSE-2016-SunLS #compilation
Finding and analyzing compiler warning defects (CS, VL, ZS), pp. 203–213.
ICSE-2016-Tantithamthavorn #automation #classification #modelling #optimisation #parametricity #predict
Automated parameter optimization of classification techniques for defect prediction models (CT, SM, AEH, KM), pp. 321–332.
ICSE-2016-WangLT #automation #learning #predict #semantics
Automatically learning semantic features for defect prediction (SW0, TL, LT0), pp. 297–308.
ICSE-2016-ZhangZZH #classification #predict #using
Cross-project defect prediction using a connectivity-based unsupervised classifier (FZ0, QZ, YZ0, AEH), pp. 309–320.
CASECASE-2016-ChanCY #interface #locality #realtime
BIM-enabled streamlined fault localization with system topology, RFID technology and real-time data acquisition interfaces (PSC, HYC, PHY), pp. 815–820.
CASECASE-2016-ChengZWCJL #case study #data mining #energy #mining #using
Case studies of fault diagnosis and energy saving in buildings using data mining techniques (ZC, QZ, FW, ZC, YJ, YL), pp. 646–651.
CASECASE-2016-LiZHS #detection #identification
Fusing system configuration information for building cooling plant Fault Detection and severity level identification (DL, YZ, GH, CJS), pp. 1319–1325.
CASECASE-2016-WangG #detection #online
On-line fault detection and diagnosis for chiller system (PW, RG), pp. 1313–1318.
CASECASE-2016-WiebeRRV #approach #automation #composition #delivery #generative #injection #simulation #testing #using
Automated test suite generation to test modular designed packaging machines using Fault Injection and a simulink-based simulation approach (FW, SR, SR, BVH), pp. 758–765.
CASECASE-2016-YanLP
Fault diagnosis of HVAC: Air Handling Units and Variable Air Volume boxes (YY, PBL, KRP), pp. 960–965.
CASECASE-2016-YinLW #petri net
Fault diagnosis in DESs modeled by partially observed Petri nets (LY, ZL, NW), pp. 966–971.
CCCC-2016-Pottier #lr #parsing #reachability
Reachability and error diagnosis in LR(1) parsers (FP), pp. 88–98.
CCCC-2016-SinghGN #automation #data type
Automatic fault location for data structures (VS, RG0, IN), pp. 99–109.
CCCC-2016-WuYR #android #detection #energy #static analysis
Static detection of energy defect patterns in Android applications (HW, SY, AR), pp. 185–195.
ESOPESOP-2016-SerranoH #domain-specific language #embedded
Type Error Diagnosis for Embedded DSLs by Two-Stage Specialized Type Rules (AS0, JH), pp. 672–698.
CAVCAV-2016-BeyerD #debugging #interactive
Verification-Aided Debugging: An Interactive Web-Service for Exploring Error Witnesses (DB, MD), pp. 502–509.
ICSTICST-2016-ArcainiGV #automation #consistency #detection #feature model #modelling
Automatic Detection and Removal of Conformance Faults in Feature Models (PA, AG, PV), pp. 102–112.
ICSTICST-2016-SunP #effectiveness #locality #metric #statistics
Properties of Effective Metrics for Coverage-Based Statistical Fault Localization (SFS, AP), pp. 124–134.
SIGMODSIGMOD-2015-AlvaroRH #injection
Lineage-driven Fault Injection (PA, JR, JMH), pp. 331–346.
SIGMODSIGMOD-2015-WangDM
Data X-Ray: A Diagnostic Tool for Data Errors (XW, XLD, AM), pp. 1231–1245.
VLDBVLDB-2015-WangFWDM #profiling
Error Diagnosis and Data Profiling with Data X-Ray (XW, MF, YW, XLD, AM), pp. 1984–1995.
EDMEDM-2015-LiuK #clustering #learning #student
Variations in Learning Rate: Student Clustering Based on Systematic Residual Error Patterns Across Practice Opportunities (RL0, KRK), pp. 420–423.
ICPCICPC-2015-ChittimalliS #locality #testing
Fault localization during system testing (PKC, VS), pp. 285–286.
ICPCICPC-2015-ThungLL #categorisation
Active semi-supervised defect categorization (FT, XBDL, DL), pp. 60–70.
ICSMEICSME-2015-DongAS #static analysis #using
Practical and accurate pinpointing of configuration errors using static analysis (ZD, AA, KS), pp. 171–180.
ICSMEICSME-2015-KasubuchiMYO #effectiveness #empirical #evaluation #repository
An empirical evaluation of the effectiveness of inspection scenarios developed from a defect repository (KK, SM, AY, CO), pp. 439–448.
ICSMEICSME-2015-LeLL #feature model
Constrained feature selection for localizing faults (TDBL, DL, ML), pp. 501–505.
ICSMEICSME-2015-NagyC #mining #query #sql #stack overflow
Mining Stack Overflow for discovering error patterns in SQL queries (CN, AC), pp. 516–520.
MSRMSR-2015-AltingerSDW #dataset #embedded #industrial #modelling #novel #predict
A Novel Industry Grade Dataset for Fault Prediction Based on Model-Driven Developed Automotive Embedded Software (HA, SS, YD, FW), pp. 494–497.
MSRMSR-2015-DonadelliZR #case study #replication #using
Organizational Volatility and Post-release Defects: A Replication Case Study Using Data from Google Chrome (SMD, YCZ, PCR), pp. 391–395.
MSRMSR-2015-HabayebMMBB #dataset
The Firefox Temporal Defect Dataset (MH, AVM, SSM, LB, AB), pp. 498–501.
DLTDLT-2015-HanKS
Generalizations of Code Languages with Marginal Errors (YSH, SKK, KS), pp. 264–275.
FMFM-2015-SolovyevJRG #estimation #float
Rigorous Estimation of Floating-Point Round-off Errors with Symbolic Taylor Expansions (AS, CJ, ZR, GG), pp. 532–550.
ICFPICFP-2015-Pavlinovic0W #locality #smt
Practical SMT-based type error localization (ZP, TK, TW), pp. 412–423.
CHICHI-2015-LiOT
Exploring the Effect of Pre-operational Priming Intervention on Number Entry Errors (KYL, PO, HWT), pp. 1335–1344.
CHICHI-2015-PutzeAS #design #evaluation #gesture #interface #self
Design and Evaluation of a Self-Correcting Gesture Interface based on Error Potentials from EEG (FP, CA, TS), pp. 3375–3384.
HCIDUXU-DD-2015-MartinsMS #case study
A Study of the Fault Tree Human in Aviation (ETM, ITM, MMS), pp. 524–534.
HCIHCI-IT-2015-MijovicMMMKG #human-computer #predict #towards
Towards Creation of Implicit HCI Model for Prediction and Prevention of Operators’ Error (PM, MM, MM, IM, VK, IG), pp. 341–352.
HCIHIMI-IKC-2015-NoridukiSIT
Map Matching to Correct Location Error in an Electric Wheel Chair (YN, HS, SI, HT), pp. 243–252.
HCIHIMI-IKC-2015-Porathe
Human Error and e-Navigation: Developing the Nautical Chart as Resilient Decision Support (TP), pp. 129–139.
HCILCT-2015-Ai #automation #detection #feedback #generative
Automatic Pronunciation Error Detection and Feedback Generation for CALL Applications (RA), pp. 175–186.
HCILCT-2015-FlanaganYSH #predict
Prediction of Learner Native Language by Writing Error Pattern (BF, CY, TS, SH), pp. 87–96.
ICEISICEIS-v1-2015-SilveiraCC #monitoring #testing
Monitoring and Diagnosis of Faults in Tests of Rational Agents based on Condition-action Rules (FRdVS, GALdC, MIC), pp. 585–592.
ICEISICEIS-v2-2015-KustersMT #agile #development #injection
The Impact of Lean Techniques on Factors Influencing Defect Injection in Software Development (RJK, FMM, JJMT), pp. 412–419.
CIKMCIKM-2015-AnwarMS #approach #data-driven #grid #physics #smarttech
A Data-Driven Approach to Distinguish Cyber-Attacks from Physical Faults in a Smart Grid (AA, ANM, ZS), pp. 1811–1814.
ECIRECIR-2015-Moghaddam #analysis #feedback #mining #sentiment
Beyond Sentiment Analysis: Mining Defects and Improvements from Customer Feedback (SM), pp. 400–410.
ICMLICML-2015-GuL #algorithm
A New Generalized Error Path Algorithm for Model Selection (BG, CXL), pp. 2549–2558.
ICMLICML-2015-ScholkopfHWFJSP
Removing systematic errors for exoplanet search via latent causes (BS, DWH, DW, DFM, DJ, CJSG, JP), pp. 2218–2226.
ICMLICML-2015-TagortiS #bound #convergence #on the
On the Rate of Convergence and Error Bounds for LSTD(λ) (MT, BS), pp. 1521–1529.
ICMLICML-2015-TewariC #bound #documentation #learning #matter #question #rank
Generalization error bounds for learning to rank: Does the length of document lists matter? (AT, SC), pp. 315–323.
ICMLICML-2015-UbaruMS #approximate #matrix #rank #using
Low Rank Approximation using Error Correcting Coding Matrices (SU, AM, YS), pp. 702–710.
ICMLICML-2015-Yang0JZ #bound #set
An Explicit Sampling Dependent Spectral Error Bound for Column Subset Selection (TY, LZ, RJ, SZ), pp. 135–143.
ICMLICML-2015-ZhouZS #analysis #bound #convergence #first-order
ℓ₁,p-Norm Regularization: Error Bounds and Convergence Rate Analysis of First-Order Methods (ZZ, QZ, AMCS), pp. 1501–1510.
KDDKDD-2015-ZhengP #kernel #scalability
L∞ Error and Bandwidth Selection for Kernel Density Estimates of Large Data (YZ, JMP), pp. 1533–1542.
SEKESEKE-2015-AndoSUWFIOHKSNY #developer #development #experience #how #process #question
How Does Defect Removal Activity of Developer Vary with Development Experience? (RA, SS, CU, HW, YF, SI, HO, YH, MK, KS, KN, MY), pp. 540–545.
SEKESEKE-2015-ChenM #empirical #predict
An empirical study on predicting defect numbers (MC, YM), pp. 397–402.
SEKESEKE-2015-SunSWZ #analysis #data flow #detection #integer #using
Improving the Accuracy of Integer Signedness Error Detection Using Data Flow Analysis (HS, CS, YW, QZ), pp. 601–606.
SEKESEKE-2015-TunnellA #modelling #predict #release planning #using
Using Time Series Models for Defect Prediction in Software Release Planning (JT, JA), pp. 451–454.
SIGIRSIGIR-2015-SallesGRR #automation #classification #effectiveness #named #random
BROOF: Exploiting Out-of-Bag Errors, Boosting and Random Forests for Effective Automated Classification (TS, MAG, VR, LCdR), pp. 353–362.
OOPSLAOOPSLA-2015-FuBS #analysis #automation
Automated backward error analysis for numerical code (ZF, ZB, ZS), pp. 639–654.
PLATEAUPLATEAU-2015-Pritchard #error message
Frequency distribution of error messages (DP), pp. 1–8.
PLDIPLDI-2015-Sidiroglou-Douskos #automation #multi
Automatic error elimination by horizontal code transfer across multiple applications (SSD, EL, FL, MR), pp. 43–54.
PLDIPLDI-2015-ZhangMVJ
Diagnosing type errors with class (DZ, ACM, DV, SLPJ), pp. 12–21.
ASEASE-2015-HongLKJKKK #locality #multi #source code
Mutation-Based Fault Localization for Real-World Multilingual Programs (T) (SH, BL, TK, YJ, BK, YK, MK), pp. 464–475.
ASEASE-2015-NamK #dataset #named #predict
CLAMI: Defect Prediction on Unlabeled Datasets (T) (JN, SK), pp. 452–463.
ASEASE-2015-ShamshiriJRFMA #automation #challenge #effectiveness #empirical #testing
Do Automatically Generated Unit Tests Find Real Faults? An Empirical Study of Effectiveness and Challenges (T) (SS, RJ, JMR, GF, PM, AA), pp. 201–211.
ASEASE-2015-WalshMK #automation #detection #layout #web
Automatic Detection of Potential Layout Faults Following Changes to Responsive Web Pages (N) (TAW, PM, GMK), pp. 709–714.
ASEASE-2015-WaterlooPE #analysis #testing
Test Analysis: Searching for Faults in Tests (N) (MW, SP, SGE), pp. 149–154.
ESEC-FSEESEC-FSE-2015-JingWDQX #learning #metric #predict #representation
Heterogeneous cross-company defect prediction by unified metric representation and CCA-based transfer learning (XYJ, FW, XD, FQ, BX), pp. 496–507.
ESEC-FSEESEC-FSE-2015-KimNYCK #api #named #performance #predict #testing
REMI: defect prediction for efficient API testing (MK, JN, JY, SC, SK), pp. 990–993.
ESEC-FSEESEC-FSE-2015-NamK #predict
Heterogeneous defect prediction (JN, SK), pp. 508–519.
ICSEICSE-v1-2015-GhotraMH #classification #modelling #performance #predict
Revisiting the Impact of Classification Techniques on the Performance of Defect Prediction Models (BG, SM, AEH), pp. 789–800.
ICSEICSE-v1-2015-PetersML #named #predict #privacy
LACE2: Better Privacy-Preserving Data Sharing for Cross Project Defect Prediction (FP, TM, LL), pp. 801–811.
ICSEICSE-v1-2015-Tantithamthavorn #modelling #performance #predict
The Impact of Mislabelling on the Performance and Interpretation of Defect Prediction Models (CT, SM, AEH, AI, KiM), pp. 812–823.
ICSEICSE-v1-2015-WeissRL #program analysis #scalability
Database-Backed Program Analysis for Scalable Error Propagation (CW, CRG, BL), pp. 586–597.
ICSEICSE-v1-2015-WinterSNSC #injection #parallel
No PAIN, No Gain? The Utility of PArallel Fault INjections (SW, OS, RN, NS, DC), pp. 494–505.
ICSEICSE-v1-2015-YuBC #approach #multi #testing
Does the Failing Test Execute a Single or Multiple Faults? An Approach to Classifying Failing Tests (ZY, CB, KYC), pp. 924–935.
ICSEICSE-v2-2015-CaglayanTBHMC #industrial #metric #predict #replication
Merits of Organizational Metrics in Defect Prediction: An Industrial Replication (BC, BT, ABB, MH, AM, EC), pp. 89–98.
ICSEICSE-v2-2015-Inozemtseva #comprehension #process
Understanding the Software Fault Introduction Process (LI), pp. 843–846.
ICSEICSE-v2-2015-TanTDM #online #predict
Online Defect Prediction for Imbalanced Data (MT, LT, SD, CM), pp. 99–108.
SACSAC-2015-AlvesMM #case study #detection #impact analysis #refactoring #test coverage
Test coverage and impact analysis for detecting refactoring faults: a study on the extract method refactoring (ELGA, TM, PDdLM), pp. 1534–1540.
SACSAC-2015-BekkoucheCR #approach #constraints #locality #named
LocFaults: a new flow-driven and constraint-based error localization approach (MB, HC, MR), pp. 1773–1780.
SACSAC-2015-XuanLXT #empirical #metric #predict #set #using
Evaluating defect prediction approaches using a massive set of metrics: an empirical study (XX, DL, XX, YT), pp. 1644–1647.
ASPLOSASPLOS-2015-SridharanDBFSSG #memory management
Memory Errors in Modern Systems: The Good, The Bad, and The Ugly (VS, ND, SB, KBF, JS, JS, SG), pp. 297–310.
ASPLOSASPLOS-2015-YetimMM #communication #execution #named #parallel
CommGuard: Mitigating Communication Errors in Error-Prone Parallel Execution (YY, SM, MM), pp. 311–323.
CASECASE-2015-AcarS #design
Discrete event supervisor design and application for manufacturing systems with arbitrary faults and repairs (ANA, KWS), pp. 825–830.
CASECASE-2015-AntonelloGM #detection
Autonomous robotic system for thermographic detection of defects in upper layers of carbon fiber reinforced polymers (MA, SG, EM), pp. 634–639.
CASECASE-2015-BoH #clustering #process
Qualitative trend clustering of process data for fault diagnosis (ZB, YH), pp. 1584–1588.
CASECASE-2015-HayakawaI #linear #reduction
GPS error range reduction method based on linear kinematic model (TH, MI), pp. 1515–1520.
CASECASE-2015-JinQH #geometry #predict
Out-of-plane geometric error prediction for additive manufacturing (YJ, SJQ, QH), pp. 918–923.
CASECASE-2015-LiYTC #architecture
Extracting relevant features for diagnosing machine tool faults in cloud architecture (YYL, HCY, HT, FTC), pp. 1434–1439.
CASECASE-2015-LuoCCOLL #geometry #locality #modelling
Enhancement of weld coverage and localization of defect through geometrical modeling for ultrasound inspection of TKY weld (HL, QHC, WSC, ETO, WJL, WL), pp. 1484–1489.
CASECASE-2015-YanLP
A fault diagnosis method for HVAC Air Handling Units considering fault propagation (YY, PBL, KRP), pp. 961–966.
CASECASE-2015-ZhangHZ #detection #metric
Fault detection for wireless networked systems with compressed measurements (JZ, XH, DHZ), pp. 356–361.
DACDAC-2015-CampbellLMC #debugging #detection #hybrid #synthesis #using #validation
Hybrid quick error detection (H-QED): accelerator validation and debug using high-level synthesis principles (KAC, DL, SM, DC), p. 6.
DACDAC-2015-CampbellVPC #detection #low cost #synthesis
High-level synthesis of error detecting cores through low-cost modulo-3 shadow datapaths (KAC, PV, DZP, DC), p. 6.
DACDAC-2015-ChoCSM #component #comprehension
Understanding soft errors in uncore components (HC, CYC, TS, SM), p. 6.
DACDAC-2015-GanapathyKTB
Mitigating the impact of faults in unreliable memories for error-resilient applications (SG, GK, AT, AB), p. 6.
DACDAC-2015-LiuZWYX #analysis #difference #encryption #named
DERA: yet another differential fault attack on cryptographic devices based on error rate analysis (YL, JZ, LW, FY, QX), p. 6.
DACDAC-2015-TziantzioulisGF #correlation #float #integer #named
b-HiVE: a bit-level history-based error model with value correlation for voltage-scaled integer and floating point units (GT, AMG, SMF, NH, SOM, SP), p. 6.
DATEDATE-2015-DengFDWLTINLCW #hardware #network
Retraining-based timing error mitigation for hardware neural networks (JD, YF, ZD, YW, HL, OT, PI, DN, XL, YC, CW), pp. 593–596.
DATEDATE-2015-DeyCAM #analysis #difference
Improved practical differential fault analysis of grain-128 (PD, AC, AA, DM), pp. 459–464.
DATEDATE-2015-DuqueDY #adaptation #behaviour #reliability #runtime
Improving MPSoC reliability through adapting runtime task schedule based on time-correlated fault behavior (LARD, JMMD, CY), pp. 818–823.
DATEDATE-2015-FangHYZLG #estimation #performance
Efficient bit error rate estimation for high-speed link by Bayesian model fusion (CF, QH, FY, XZ, XL, CG), pp. 1024–1029.
DATEDATE-2015-GorevUD #parallel #simulation
Fault simulation with parallel exact critical path tracing in multiple core environment (MG, RU, SD), pp. 1180–1185.
DATEDATE-2015-HuQ #approximate
A new approximate adder with low relative error and correct sign calculation (JH, WQ), pp. 1449–1454.
DATEDATE-2015-IbrahimC #personalisation
Error recovery in digital microfluidics for personalized medicine (MI, KC), pp. 247–252.
DATEDATE-2015-KriebelRSASH #analysis #combinator #configuration management #named #performance
ACSEM: accuracy-configurable fast soft error masking analysis in combinatorial circuits (FK, SR, DS, PVA, MS, JH), pp. 824–829.
DATEDATE-2015-KumarAL #detection #monitoring
Operational fault detection and monitoring of a memristor-based LUT (TNK, HAFA, FL), pp. 429–434.
DATEDATE-2015-KunduBK #design #testing
Fault diagnosis in designs with extreme low pin test data compressors (SK, PB, RK), pp. 1285–1288.
DATEDATE-2015-LiaperdosAT #detection #estimation #probability #testing
A method for the estimation of defect detection probability of analog/RF defect-oriented tests (JL, AA, YT), pp. 1395–1400.
DATEDATE-2015-LinSKRM #debugging #detection #effectiveness #performance #testing #validation
Quick error detection tests with fast runtimes for effective post-silicon validation and debug (DL, ES, SK, ER, SM), pp. 1168–1173.
DATEDATE-2015-LoCH #architecture #clustering
Architecture of ring-based redundant TSV for clustered faults (WHL, KC, TH), pp. 848–853.
DATEDATE-2015-MirkhaniMCA #design #estimation #performance
Efficient soft error vulnerability estimation of complex designs (SM, SM, CYC, JA), pp. 103–108.
DATEDATE-2015-MohammadiGM #modelling
Fault modeling in controllable polarity silicon nanowire circuits (HGM, PEG, GDM), pp. 453–458.
DATEDATE-2015-RamachandranHHM
FPGA accelerated DNA error correction (AR, YH, WmWH, JM, DC), pp. 1371–1376.
DATEDATE-2015-SchneiderHKWW #simulation
GPU-accelerated small delay fault simulation (ES, SH, MAK, XW, HJW), pp. 1174–1179.
DATEDATE-2015-Weis0ESVGKW #metric #modelling
Retention time measurements and modelling of bit error rates of WIDE I/O DRAM in MPSoCs (CW, MJ, PE, CS, PV, SG, MK, NW), pp. 495–500.
DATEDATE-2015-ZhangPJLF #self
Temperature-aware software-based self-testing for delay faults (YZ, ZP, JJ, HL, MF), pp. 423–428.
HPCAHPCA-2015-DuweJ0 #latency #predict
Correction prediction: Reducing error correction latency for on-chip memories (HD, XJ, RK), pp. 463–475.
HPCAHPCA-2015-JinPSCSZ #hardware #named
FTXen: Making hypervisor resilient to hardware faults on relaxed cores (XJ, SP, TS, RC, ZS, YZ), pp. 451–462.
HPCAHPCA-2015-PalframanKL #energy #named #performance
iPatch: Intelligent fault patching to improve energy efficiency (DJP, NSK, MHL), pp. 428–438.
HPCAHPCA-2015-TiwariGRMRVOLDN #comprehension #design #gpu #scalability
Understanding GPU errors on large-scale HPC systems and the implications for system design and operation (DT, SG, JHR, DM, PR, SSV, DAGdO, DL, ND, POAN, LC, ASB), pp. 331–342.
HPCAHPCA-2015-XiJBWB #architecture
Quantifying sources of error in McPAT and potential impacts on architectural studies (SLX, HMJ, PB, GYW, DMB), pp. 577–589.
HPDCHPDC-2015-ElliottHM #linear
A Numerical Soft Fault Model for Iterative Linear Solvers (JE, MH, FM), pp. 271–274.
LCTESLCTES-2015-LiuJLT #compilation #lightweight #named
Clover: Compiler Directed Lightweight Soft Error Resilience (QL, CJ, DL, DT), p. 10.
PDPPDP-2015-NazarianRMCG #control flow #detection
Bit-Flip Aware Control-Flow Error Detection (GN, DGR, ÁFM, LC, GG), pp. 215–221.
PDPPDP-2015-SalamatEB #3d #adaptation #algorithm #strict
An Adaptive, Low Restrictive and Fault Resilient Routing Algorithm for 3D Network-on-Chip (RS, ME, NB), pp. 392–395.
PDPPDP-2015-ZhangEHLJ #detection
A Routing-Level Solution for Fault Detection, Masking, and Tolerance in NoCs (XZ, ME, LH, GL, AJ), pp. 365–369.
FASEFASE-2015-LandsbergCKL #evaluation #locality #metric #optimisation #statistics
Evaluation of Measures for Statistical Fault Localisation and an Optimising Scheme (DL, HC, DK, ML), pp. 115–129.
STOCSTOC-2015-AbbeSW #random
Reed-Muller Codes for Random Erasures and Errors (EA, AS, AW), pp. 297–306.
STOCSTOC-2015-DinurHK #composition #query
Polynomially Low Error PCPs with polyloglog n Queries via Modular Composition (ID, PH, GK), pp. 267–276.
ICSTICST-2015-ArcainiGV #detection #feature model #generative #modelling #testing
Generating Tests for Detecting Faults in Feature Models (PA, AG, PV), pp. 1–10.
ICSTICST-2015-BaiSP #named #using
NUMFL: Localizing Faults in Numerical Software Using a Value-Based Causal Model (ZB, GS, AP), pp. 1–10.
ICSTICST-2015-LelliBB #user interface
Classifying and Qualifying GUI Defects (VL, AB, BB), pp. 1–10.
ICTSSICTSS-2015-AltingerHGW #novel #predict
Novel Insights on Cross Project Fault Prediction Applied to Automotive Software (HA, SH, JG, FW), pp. 141–157.
ISSTAISSTA-2015-CongLYX #automation #injection #robust #testing
Automatic fault injection for driver robustness testing (KC, LL, ZY, FX), pp. 361–372.
ISSTAISSTA-2015-MusluBM #testing
Preventing data errors with continuous testing (KM, YB, AM), pp. 373–384.
ISSTAISSTA-2015-WangPO #locality
Evaluating the usefulness of IR-based fault localization techniques (QW, CP, AO), pp. 1–11.
ISSTAISSTA-2015-ZhangE #detection
Proactive detection of inadequate diagnostic messages for software configuration errors (SZ, MDE), pp. 12–23.
TAPTAP-2015-BirchFP #locality #modelling #performance #testing
Fast Model-Based Fault Localisation with Test Suites (GB, BF, MRP), pp. 38–57.
DRRDRR-2014-LundRW #how #multi #question
How well does multiple OCR error correction generalize? (WBL, EKR, DDW), p. ?–13.
DRRDRR-2014-TaghvaA #identification #web
Utilizing web data in identification and correction of OCR errors (KT, SA), pp. 902109–6.
JCDLJCDL-2014-MajidiC #analysis #dependence #parsing
Human and machine error analysis on dependency parsing of ancient Greek texts (SM, GRC), pp. 221–224.
SIGMODSIGMOD-2014-ZengGMZ #approximate #estimation #performance #query
The analytical bootstrap: a new method for fast error estimation in approximate query processing (KZ, SG, BM, CZ), pp. 277–288.
VLDBVLDB-2015-LongWJ14
Trajectory Simplification: On Minimizing the Direction-based Error (CL, RCWW, HVJ), pp. 49–60.
EDMEDM-2014-McTavishL
Discovering and describing types of mathematical errors (TSM, JAL), pp. 353–354.
EDMEDM-2014-MorganBR #analysis #learning #validation
Error Analysis as a Validation of Learning Progressions (BM, WB, VR), pp. 245–248.
ITiCSEITiCSE-2014-DennyLC #error message #syntax
Enhancing syntax error messages appears ineffectual (PD, ALR, DC), pp. 273–278.
SANERCSMR-WCRE-2014-OyetoyanCC #component #dependence #evolution
Transition and defect patterns of components in dependency cycles during software evolution (TDO, DSC, RC), pp. 283–292.
SANERCSMR-WCRE-2014-PanichellaOL #modelling #predict
Cross-project defect prediction models: L’Union fait la force (AP, RO, ADL), pp. 164–173.
SANERCSMR-WCRE-2014-PerscheidH #debugging #navigation #testing #tool support
Follow the path: Debugging tools for test-driven fault navigation (MP, RH), pp. 446–449.
SANERCSMR-WCRE-2014-RahmanYR #exception #ide #programming #recommendation #towards
Towards a context-aware IDE-based meta search engine for recommendation about programming errors and exceptions (MMR, SY, CKR), pp. 194–203.
SANERCSMR-WCRE-2014-VidacsBTSG #approach #detection #locality #reduction #testing
Test suite reduction for fault detection and localization: A combined approach (LV, ÁB, DT, IS, TG), pp. 204–213.
ICPCICPC-2014-SteidlE #maintenance #recommendation #refactoring
Prioritizing maintainability defects based on refactoring recommendations (DS, SE), pp. 168–176.
ICSMEICSME-2014-AbreuCFMPS #smell #spreadsheet
Smelling Faults in Spreadsheets (RA, JC, JPF, PM, AP, JS), pp. 111–120.
ICSMEICSME-2014-AbreuCFMPS14a #detection #locality #smell
FaultySheet Detective: When Smells Meet Fault Localization (RA, JC, JPF, PM, AP, JS), pp. 625–628.
ICSMEICSME-2014-Al-KofahiNN #locality
Fault Localization for Make-Based Build Crashes (JMAK, HVN, TNN), pp. 526–530.
ICSMEICSME-2014-ParizyTK #design #predict
Software Defect Prediction for LSI Designs (MP, KT, YK), pp. 565–568.
ICSMEICSME-2014-Sasso
Managing Software Defects (TDS), p. 669.
ICSMEICSME-2014-WongXZHZM #analysis #locality #segmentation
Boosting Bug-Report-Oriented Fault Localization with Segmentation and Stack-Trace Analysis (CPW, YX, HZ, DH, LZ, HM), pp. 181–190.
ICSMEICSME-2014-XuanM #learning #locality #metric #multi #ranking
Learning to Combine Multiple Ranking Metrics for Fault Localization (JX, MM), pp. 191–200.
MSRMSR-2014-0001MKZ #predict #towards
Towards building a universal defect prediction model (FZ, AM, IK, YZ), pp. 182–191.
MSRMSR-2014-CampbellHA #modelling #syntax
Syntax errors just aren’t natural: improving error reporting with language models (JCC, AH, JNA), pp. 252–261.
MSRMSR-2014-FukushimaKMYU #empirical #modelling #predict #using
An empirical study of just-in-time defect prediction using cross-project models (TF, YK, SM, KY, NU), pp. 172–181.
MSRMSR-2014-GuptaSP #mining #multi #perspective #process #repository
Process mining multiple repositories for software defect resolution from control and organizational perspective (MG, AS, SP), pp. 122–131.
SCAMSCAM-2014-OhSSW #locality
Concolic Fault Localization (CO, MS, DSN, TW), pp. 135–144.
ICALPICALP-v1-2014-IvanyosKQSS #complexity #constraints #on the #problem
On the Complexity of Trial and Error for Constraint Satisfaction Problems (GI, RK, YQ, MS, AS), pp. 663–675.
SEFMSEFM-2014-HajisheykhiEK #modelling #using
Evaluating the Effect of Faults in SystemC TLM Models Using UPPAAL (RH, AE, SSK), pp. 175–189.
CHICHI-2014-CairnsPP
The influence of emotion on number entry errors (PAC, PP, CP), pp. 2293–2296.
CHICHI-2014-ViJCS #interactive
Error related negativity in observing interactive tasks (CTV, IJ, DC, SS), pp. 3787–3796.
HCIDHM-2014-GaoH #approach #nondeterminism #research
Research on the Continuous Descent Approach (CDA) Operational Error of Pilot Base on Cloud Model and Uncertainty Theory (YG, YH), pp. 91–100.
HCIDUXU-DI-2014-HeZL #detection #feature model #image #segmentation
Aluminum CT Image Defect Detection Based on Segmentation and Feature Extraction (NH, LZ, KL), pp. 446–454.
HCIHCI-AIMT-2014-HottaHOK #detection #estimation #multi
Detecting Address Estimation Errors from Users’ Reactions in Multi-user Agent Conversation (RH, HHH, SO, KK), pp. 245–253.
HCIHCI-AS-2014-HooeyABF #analysis #design
Evidence-Based Error Analysis: Supporting the Design of Error-Tolerant Systems (BLH, MA, RB, DCF), pp. 401–412.
HCIHIMI-AS-2014-ZhangXCZL #predict #probability
Predictive Probability Model of Pilot Error Based on CREAM (XZ, HX, YC, LZ, GL), pp. 296–304.
CIKMCIKM-2014-ProkofyevMGDC #ranking
Correct Me If I’m Wrong: Fixing Grammatical Errors by Preposition Ranking (RP, RM, MG, GD, PCM), pp. 331–340.
ECIRECIR-2014-LiS #effectiveness #metric
Tolerance of Effectiveness Measures to Relevance Judging Errors (LL, MDS), pp. 148–159.
ICPRICPR-2014-ChenWCN #detection #modelling #network
Confusion Network Based Recurrent Neural Network Language Modeling for Chinese OCR Error Detection (JC, YW, HC, PN), pp. 1266–1271.
ICPRICPR-2014-EnzbergA #automation #recognition
A Defect Recognition System for Automated Inspection of Non-rigid Surfaces (SvE, AAH), pp. 1812–1816.
ICPRICPR-2014-MarroccoT
Bit Error Recovery in ECOC Systems through LDPC Codes (CM, FT), pp. 1454–1459.
ICPRICPR-2014-PanagiotakisK #automation #detection
Automatic Enhancement and Detection of Active Sea Faults from Bathymetry (CP, EK), pp. 855–860.
ICPRICPR-2014-RavalTJ #classification #encoding #evaluation #performance #using
Efficient Evaluation of SVM Classifiers Using Error Space Encoding (NR, RVT, CVJ), pp. 4411–4416.
ICPRICPR-2014-SariE #analysis #detection #independence #using
Texture Defect Detection Using Independent Vector Analysis in Wavelet Domain (LS, AE), pp. 1639–1644.
KDIRKDIR-2014-AzadM #classification #consistency #heuristic
“Misclassification Error” Greedy Heuristic to Construct Decision Trees for Inconsistent Decision Tables (MA, MJM), pp. 184–191.
MLDMMLDM-2014-Manzanilla-SalazarEG #classification #clustering
Minimizing Cluster Errors in LP-Based Nonlinear Classification (OMS, JEK, UMGP), pp. 163–174.
MLDMMLDM-2014-ShahamSB #performance
Efficient Error Setting for Subspace Miners (ES, DS, BBM), pp. 1–15.
SEKESEKE-2014-SolariM #detection #empirical #testing
A Controlled Experiment to Explore Potentially Undetectable Defects for Testing Techniques (MS, SM), pp. 106–109.
SEKESEKE-2014-WangKN #classification #metric #performance #predict
Choosing the Best Classification Performance Metric for Wrapper-based Software Metric Selection for Defect Prediction (HW, TMK, AN), pp. 540–545.
SEKESEKE-2014-YangWSFC #analysis #debugging
Bug Inducing Analysis to Prevent Fault Prone Bug Fixes (HY, CW, QS, YF, ZC), pp. 620–625.
ECOOPECOOP-2014-LiT #analysis #python #source code
Finding Reference-Counting Errors in Python/C Programs with Affine Analysis (SL, GT), pp. 80–104.
OOPSLAOOPSLA-2014-PavlinovicKW
Finding minimum type error sources (ZP, TK, TW), pp. 525–542.
PEPMPEPM-J-2013-WeijersHH14 #higher-order #polymorphism #security
Security type error diagnosis for higher-order, polymorphic languages (JW, JH, SH), pp. 200–218.
PEPMPEPM-2014-0008E #c++ #detection
Early detection of type errors in C++ templates (SC, ME), pp. 133–144.
PLDIPLDI-2014-LongSR #automation #runtime
Automatic runtime error repair and containment via recovery shepherding (FL, SSD, MCR), p. 26.
PLDIPLDI-2014-TavarageriKS #detection #memory management
Compiler-assisted detection of transient memory errors (ST, SK, PS), p. 24.
POPLPOPL-2014-ChenE #debugging #type system
Counter-factual typing for debugging type errors (SC, ME), pp. 583–594.
POPLPOPL-2014-LongSKR #generative #integer
Sound input filter generation for integer overflow errors (FL, SSD, DK, MCR), pp. 439–452.
POPLPOPL-2014-ZhangM #towards
Toward general diagnosis of static errors (DZ, ACM), pp. 569–582.
RERE-2014-MartinsO #analysis #case study #functional #protocol #requirements #safety #using
A case study using a protocol to derive safety functional requirements from Fault Tree Analysis (LEGM, TdO), pp. 412–419.
ASEASE-2014-LiuSLZWDW #automation #case study #detection #documentation
Automatic early defects detection in use case documents (SL, JS, YL, YZ, BW, JSD, XW), pp. 785–790.
ASEASE-2014-LuciaLX
Fusion fault localizers (L, DL, XX), pp. 127–138.
ASEASE-2014-ParkKB #empirical
An empirical study on reducing omission errors in practice (JP, MK, DHB), pp. 121–126.
ASEASE-2014-Schneider #modelling
Tracking down root causes of defects in simulink models (JS), pp. 599–604.
ASEASE-2014-Schneider14a #modelling #runtime
Determining the most probable root causes of run-time errors in simulink models (JS), pp. 875–878.
FSEFSE-2014-JustJIEHF #question #testing
Are mutants a valid substitute for real faults in software testing? (RJ, DJ, LI, MDE, RH, GF), pp. 654–665.
FSEFSE-2014-Song #effectiveness #locality
Estimating the effectiveness of spectrum-based fault localization (SS), pp. 814–816.
FSEFSE-2014-Wu
Diagnose crashing faults on production software (RW), pp. 771–774.
FSEFSE-2014-XuanM #locality #testing
Test case purification for improving fault localization (JX, MM), pp. 52–63.
ICSEICSE-2014-AndroutsopoulosCDHH #analysis #testing
An analysis of the relationship between conditional entropy and failed error propagation in software testing (KA, DC, HD, RMH, MH), pp. 573–583.
ICSEICSE-2014-JingYZWL #learning #predict #taxonomy
Dictionary learning based software defect prediction (XYJ, SY, ZWZ, SSW, JL), pp. 414–423.
ICSEICSE-2014-OcarizaPM #javascript #named
Vejovis: suggesting fixes for JavaScript faults (FSOJ, KP, AM), pp. 837–847.
ICSEICSE-2014-SeoSEAB #case study
Programmers’ build errors: a case study (at google) (HS, CS, SGE, EA, RWB), pp. 724–734.
SACSAC-2014-GamaD #approach #deployment #self #testing
A fault deployment and activation approach for testing self-recovery mechanisms (KG, DD), pp. 1114–1119.
SACSAC-2014-PapadakisT #analysis #approach #effectiveness #locality #mutation testing
Effective fault localization via mutation analysis: a selective mutation approach (MP, YLT), pp. 1293–1300.
CASECASE-2014-HeidingsfeldKTS #modelling
Model-based sensor fault diagnosis for the Stuttgart SmartShell (MH, UK, CT, OS), pp. 846–851.
CASECASE-2014-JiangCWWS #3d #analysis #re-engineering #using
Error analysis and experiments of 3D reconstruction using a RGB-D sensor (SYJ, NYCC, CCW, CHW, KTS), pp. 1020–1025.
CASECASE-2014-YanLP #dependence #framework #integration #matrix
Fault diagnosis framework for Air Handling Units based on the integration of Dependency matrices and PCA (YY, PBL, KRP), pp. 1103–1108.
CGOCGO-2014-SureshS #algorithm #automation #detection #grid #problem
Automated Algorithmic Error Resilience for Structured Grid Problems Based on Outlier Detection (AS, JS), p. 240.
DACDAC-2014-HernandezA #detection #named #safety
LiVe: Timely Error Detection in Light-Lockstep Safety Critical Systems (CH, JA), p. 6.
DACDAC-2014-KiamehrOTN #analysis #approach
Radiation-Induced Soft Error Analysis of SRAMs in SOI FinFET Technology: A Device to Circuit Approach (SK, THO, MBT, SRN), p. 6.
DACDAC-2014-KriebelRSSH #adaptation #named
ASER: Adaptive Soft Error Resilience for Reliability-Heterogeneous Processors in the Dark Silicon Era (FK, SR, DS, MS, JH), p. 6.
DACDAC-2014-LiuCYLHL #locality #named
EC-Cache: Exploiting Error Locality to Optimize LDPC in NAND Flash-Based SSDs (RSL, MYC, CLY, CHL, KCH, HPL), p. 6.
DACDAC-2014-RaiHST #detection #framework #performance #realtime
An Efficient Real Time Fault Detection and Tolerance Framework Validated on the Intel SCC Processor (DR, PH, NS, LT), p. 6.
DACDAC-2014-ShrivastavaRJW #analysis #control flow
Quantitative Analysis of Control Flow Checking Mechanisms for Soft Errors (AS, AR, RJ, CJW), p. 6.
DATEDATE-2014-BelKKS #multi
Improving STT-MRAM density through multibit error correction (BDB, JK, CHK, SSS), pp. 1–6.
DATEDATE-2014-DweikAD #array #exception
Reliability-Aware Exceptions: Tolerating intermittent faults in microprocessor array structures (WD, MA, MD), pp. 1–6.
DATEDATE-2014-EbrahimiETSCA #analysis #embedded
Comprehensive analysis of alpha and neutron particle-induced soft errors in an embedded processor at nanoscales (ME, AE, MBT, RS, EC, DA), pp. 1–6.
DATEDATE-2014-ErbSSB #performance #smt
Efficient SMT-based ATPG for interconnect open defects (DE, KS, MS, BB), pp. 1–6.
DATEDATE-2014-Fu0PJZ #data flow #detection #parallel #thread
A fault detection mechanism in a Data-flow scheduled Multithreaded processor (JF, QY, RP, CRJ, CZ), pp. 1–4.
DATEDATE-2014-GaoGWP #energy #fault tolerance #framework #in the cloud #scheduling
An energy-aware fault tolerant scheduling framework for soft error resilient cloud computing systems (YG, SKG, YW, MP), pp. 1–6.
DATEDATE-2014-GhalatyAS #analysis
Analyzing and eliminating the causes of fault sensitivity analysis (NFG, AA, PS), pp. 1–6.
DATEDATE-2014-HoffmanRAA #analysis #memory management
Wear-out analysis of Error Correction Techniques in Phase-Change Memory (CH, LR, RA, GA), pp. 1–4.
DATEDATE-2014-KordesVDW #detection #hybrid #network #robust
Startup error detection and containment to improve the robustness of hybrid FlexRay networks (AK, BV, AKD, MGW), pp. 1–6.
DATEDATE-2014-LiuHL #approximate #configuration management #multi #power management
A low-power, high-performance approximate multiplier with configurable partial error recovery (CL, JH, FL), pp. 1–4.
DATEDATE-2014-NathanS #detection #low cost #named
Nostradamus: Low-cost hardware-only error detection for processor cores (RN, DJS), pp. 1–6.
DATEDATE-2014-PandeyV #analysis #safety
Transient errors resiliency analysis technique for automotive safety critical applications (SP, BV), pp. 1–4.
DATEDATE-2014-PapadimitriouHBML #clustering #injection #modelling #multi #towards
A multiple fault injection methodology based on cone partitioning towards RTL modeling of laser attacks (AP, DH, VB, PM, RL), pp. 1–4.
DATEDATE-2014-Pomeranz14a
Substituting transition faults with path delay faults as a basic delay fault model (IP), pp. 1–6.
DATEDATE-2014-RahimiBG #energy
Temporal memoization for energy-efficient timing error recovery in GPGPUs (AR, LB, RKG), pp. 1–6.
DATEDATE-2014-RiefertCSBRB #approach #automation #effectiveness #functional #generative #testing
An effective approach to automatic functional processor test generation for small-delay faults (AR, LMC, MS, PB, MSR, BB), pp. 1–6.
DATEDATE-2014-ZussaDTDMGCT #detection #injection #performance
Efficiency of a glitch detector against electromagnetic fault injection (LZ, AD, KT, JMD, PM, LGS, JC, AT), pp. 1–6.
HPCAHPCA-2014-PalframanKL
Precision-aware soft error protection for GPUs (DJP, NSK, MHL), pp. 49–59.
HPDCHPDC-2014-WuC #named #online
FT-ScaLAPACK: correcting soft errors on-line for ScaLAPACK cholesky, QR, and LU factorization routines (PW, ZC), pp. 49–60.
PDPPDP-2014-YalcinSHVWFUCF #detection #energy #memory management #transaction
Combining Error Detection and Transactional Memory for Energy-Efficient Computing below Safe Operation Margins (GY, AS, DH, AV, JTW, PF, OSÜ, AC, CF), pp. 248–255.
PPoPPPPoPP-2014-ChiangGRS #float #performance
Efficient search for inputs causing high floating-point errors (WFC, GG, ZR, AS), pp. 43–52.
ESOPESOP-2014-MatosGR #named #using
REAP: Reporting Errors Using Alternative Paths (JM, JG, PR), pp. 453–472.
STOCSTOC-2014-GhaffariHS #adaptation #interactive
Optimal error rates for interactive coding I: adaptivity and other settings (MG, BH, MS), pp. 794–803.
TACASTACAS-2014-BozzanoCGT #component #design #detection #identification #logic #using
Formal Design of Fault Detection and Identification Components Using Temporal Epistemic Logic (MB, AC, MG, ST), pp. 326–340.
CAVCAV-2014-BinghamL #bound #simulation #using #verification
Verifying Relative Error Bounds Using Symbolic Simulation (JB, JLH), pp. 277–292.
ICSTICST-2014-HongPK #concurrent #detection #java #web
Detecting Concurrency Errors in Client-Side Java Script Web Applications (SH, YP, MK), pp. 61–70.
ICSTICST-2014-MoonKKY #locality #source code
Ask the Mutants: Mutating Faulty Programs for Fault Localization (SM, YK, MK, SY), pp. 153–162.
ISSTAISSTA-2014-AlshahwanH #detection #testing
Coverage and fault detection of the output-uniqueness test selection criteria (NA, MH), pp. 181–192.
ISSTAISSTA-2014-BohmeR #complexity #named
CoREBench: studying complexity of regression errors (MB, AR), pp. 105–115.
ISSTAISSTA-2014-JustJE #database #java #named #source code #testing
Defects4J: a database of existing faults to enable controlled testing studies for Java programs (RJ, DJ, MDE), pp. 437–440.
ISSTAISSTA-2014-LanzaroNWCS #empirical #injection #interface
An empirical study of injected versus actual interface errors (AL, RN, SW, DC, NS), pp. 397–408.
ISSTAISSTA-2014-WuZCK #named
CrashLocator: locating crashing faults based on crash stacks (RW, HZ, SCC, SK), pp. 204–214.
DRRDRR-2013-Nagy #documentation #image #preprocessor
Preprocessing document images by resampling is error prone and unnecessary (GN).
DRRDRR-2013-WalkerRS #modelling #topic
Evaluating supervised topic models in the presence of OCR errors (DDW, EKR, KDS).
ICDARICDAR-2013-HaoGZXPSK #automation #detection #finite #transducer
Automated Error Detection and Correction of Chinese Characters in Written Essays Based on Weighted Finite-State Transducer (SH, ZG, MZ, YX, HP, KS, DK), pp. 763–767.
ICDARICDAR-2013-SankaranJ #detection
Error Detection in Highly Inflectional Languages (NS, CVJ), pp. 1135–1139.
ITiCSEITiCSE-2013-EganM #c #runtime
Reducing novice C programmers’ frustration through improved runtime error checking (MHE, CM), p. 322.
CSMRCSMR-2013-MihanceaM #correlation #morphism #polymorphism #question
Changes, Defects and Polymorphism: Is There Any Correlation? (PFM, CM), pp. 341–344.
ICSMEICSM-2013-LeL #approach #automation #effectiveness #locality #predict #tool support
Will Fault Localization Work for These Failures? An Automated Approach to Predict Effectiveness of Fault Localization Tools (TDBL, DL), pp. 310–319.
ICSMEICSM-2013-LeTL #locality #theory and practice
Theory and Practice, Do They Match? A Case with Spectrum-Based Fault Localization (TDBL, FT, DL), pp. 380–383.
ICSMEICSM-2013-NguyenNNN13a #locality #web
Database-Aware Fault Localization for Dynamic Web Applications (HVN, HAN, TTN, TNN), pp. 456–459.
ICSMEICSM-2013-ScannielloR #identifier #source code
Dealing with Faults in Source Code: Abbreviated vs. Full-Word Identifier Names (GS, MR), pp. 190–199.
MSRMSR-2013-AmouiKATLL #detection #experience #industrial #search-based
Search-based duplicate defect detection: an industrial experience (MA, NK, AAD, LT, SL, WL), pp. 173–182.
MSRMSR-2013-HuW #predict #using
Using citation influence to predict software defects (WH, KW), pp. 419–428.
MSRMSR-2013-LamkanfiPD #dataset #debugging #eclipse #mining
The eclipse and mozilla defect tracking dataset: a genuine dataset for mining bug information (AL, JP, SD), pp. 203–206.
MSRMSR-2013-PetersMM #predict
Better cross company defect prediction (FP, TM, AM), pp. 409–418.
SCAMSCAM-2013-OyetoyanCC #component
Criticality of defects in cyclic dependent components (TDO, RC, DSC), pp. 21–30.
SCAMSCAM-2013-PapadakisDT #analysis #mutation testing #named #using
Proteum/FL: A tool for localizing faults using mutation analysis (MP, MED, YLT), pp. 94–99.
WCREWCRE-2013-FryW #clustering #maintenance #static analysis
Clustering static analysis defect reports to reduce maintenance costs (ZPF, WW), pp. 282–291.
DLTDLT-J-2012-HolzerJ13 #automaton #equivalence
From Equivalence to Almost-Equivalence, and beyond: Minimizing Automata with Errors (MH, SJ), pp. 1083–1098.
SEFMSEFM-2013-KeshishzadehMM #automation #debugging #detection #domain-specific language #smt #using
Early Fault Detection in DSLs Using SMT Solving and Automated Debugging (SK, AJM, MRM), pp. 182–196.
SEFMSEFM-2013-SalehKBW #detection #implementation #specification #static analysis #using
Static Detection of Implementation Errors Using Formal Code Specification (IS, GK, MBB, YW), pp. 197–211.
CHICHI-2013-BanovicGF
The effect of time-based cost of error in target-directed pointing tasks (NB, TG, GWF), pp. 1373–1382.
CHICHI-2013-GartenbergBPMT #adaptation #automation
Adaptive automation and cue invocation: the effect of cue timing on operator error (DG, LAB, JP, JMM, JGT), pp. 3121–3130.
CHICHI-2013-WisemanCBGO #detection #using
Using redundancy to detect human error (SW, ALC, DPB, SG, SO), pp. 2403–2406.
HCIDHM-SET-2013-WangZWLCZL #complexity
Effects of Spaceflight Operation Complexity and Training on Operation Error (MW, YZ, BW, PL, SC, JZ, ML), pp. 118–125.
HCIDUXU-NTE-2013-MartinsMSA #behaviour
Human Error in Aviation: The Behavior of Pilots Facing the Modern Technology (ITM, ETM, MMS, LGdSA), pp. 150–159.
HCIHIMI-HSM-2013-SuzukiM
Acceptable System Error of Collision Avoidance System Based on the Integrated Error of Driver and System (KS, MM), pp. 568–576.
VISSOFTVISSOFT-2013-GouveiaCA #html #locality #using #visualisation
Using HTML5 visualizations in software fault localization (CG, JC, RA), pp. 1–10.
ICEISICEIS-v1-2013-LeiteMP #multi
Multiple External Representations in Remediation of Math Errors (MDL, DM, ARP), pp. 519–523.
ICMLICML-c2-2013-Rebagliati #clustering #normalisation #strict
Strict Monotonicity of Sum of Squares Error and Normalized Cut in the Lattice of Clusterings (NR), pp. 163–171.
ICMLICML-c3-2013-DurrantK #bound #classification
Sharp Generalization Error Bounds for Randomly-projected Classifiers (RJD, AK), pp. 693–701.
ICMLICML-c3-2013-HonorioJ #bound #exponential
Two-Sided Exponential Concentration Bounds for Bayes Error Rate and Shannon Entropy (JH, TSJ), pp. 459–467.
KDDKDD-2013-BeiCDHQ #network #social
Trial and error in influential social networks (XB, NC, LD, XH, RQ), pp. 1016–1024.
SEKESEKE-2013-CalikliB #bias #developer #predict
The Impact of Confirmation Bias on the Release-based Defect Prediction of Developer Groups (, AB), pp. 461–466.
SEKESEKE-2013-LiLY #locality #testing
Exploiting Weights of Test Cases to Enhance Fault Localization (YL, CL, ZY), pp. 589–593.
SIGIRSIGIR-2013-JiangJH #how #query
How do users respond to voice input errors?: lexical and phonetic query reformulation in voice search (JJ, WJ, DH), pp. 143–152.
MODELSMoDELS-2013-PretschnerHEG #assurance #quality
A Generic Fault Model for Quality Assurance (AP, DH, RE, MG), pp. 87–103.
TOOLSTOOLS-EUROPE-J-2012-LilisS13 #approach #debugging #metaprogramming
An Integrated Approach to Source Level Debugging and Compile Error Reporting in Metaprograms (YL, AS), pp. 1–26.
ECOOPECOOP-2013-WuZSGSY #optimisation #statistics
Simple Profile Rectifications Go a Long Way — Statistically Exploring and Alleviating the Effects of Sampling Errors for Program Optimizations (BW, MZ, XS, YG, RS, GY), pp. 654–678.
OOPSLAOOPSLA-2013-Zhang0K #developer #evolution #injection #locality
Injecting mechanical faults to localize developer faults for evolving software (LZ, LZ, SK), pp. 765–784.
OOPSLAOOPSLA-2013-ZhongS #api #detection #documentation
Detecting API documentation errors (HZ, ZS), pp. 803–816.
HILTHILT-2013-LarsonHFD #modelling #safety #using
Illustrating the AADL error modeling annex (v.2) using a simple safety-critical medical device (BRL, JH, KF, JD), pp. 65–84.
PEPMPEPM-2013-SagonasST #precise #type system
Precise explanation of success typing errors (KFS, JS, ST), pp. 33–42.
PEPMPEPM-2013-WeijersHH #higher-order #polymorphism #security
Security type error diagnosis for higher-order, polymorphic languages (JW, JH, SH), pp. 3–12.
RERE-2013-FeldererB #industrial #requirements #taxonomy #using #validation
Using defect taxonomies for requirements validation in industrial projects (MF, AB), pp. 296–301.
ASEASE-2013-CamposAFd #generative #locality #testing
Entropy-based test generation for improved fault localization (JC, RA, GF, Md), pp. 257–267.
ASEASE-2013-JiangTK #personalisation #predict
Personalized defect prediction (TJ, LT, SK), pp. 279–289.
ASEASE-2013-ScannielloGMM #clustering #predict #using
Class level fault prediction using software clustering (GS, CG, AM, TM), pp. 640–645.
ASEASE-2013-SouzaC #integration #locality
Adding context to fault localization with integration coverage (HAdS, MLC), pp. 628–633.
ESEC-FSEESEC-FSE-2013-BohmeOR #interactive #testing
Regression tests to expose change interaction errors (MB, BCdSO, AR), pp. 334–344.
ESEC-FSEESEC-FSE-2013-DiGiuseppe #automation
Automatically describing software faults (ND), pp. 711–714.
ESEC-FSEESEC-FSE-2013-InozemtsevaHH #reduction #using
Using fault history to improve mutation reduction (LI, HH, RH), pp. 639–642.
ESEC-FSEESEC-FSE-2013-PetkeYCH #combinator #detection #interactive #performance #testing
Efficiency and early fault detection with lower and higher strength combinatorial interaction testing (JP, SY, MBC, MH), pp. 26–36.
ESEC-FSEESEC-FSE-2013-RahmanPHD #bias #predict
Sample size vs. bias in defect prediction (FR, DP, IH, PTD), pp. 147–157.
ESEC-FSEESEC-FSE-2013-VasquezBBPOP #android #api
API change and fault proneness: a threat to the success of Android apps (MLV, GB, CBC, MDP, RO, DP), pp. 477–487.
ESEC-FSEESEC-FSE-2013-WuHTY #alias #analysis #detection #effectiveness
Effective dynamic detection of alias analysis errors (JW, GH, YT, JY), pp. 279–289.
ESEC-FSEESEC-FSE-2013-ZhangC #effectiveness #modelling #predict
A cost-effectiveness criterion for applying software defect prediction models (HZ, SCC), pp. 643–646.
ICSEICSE-2013-GauthierM #case study #data access #modelling #php #semantics #smell
Semantic smells and errors in access control models: a case study in PHP (FG, EM), pp. 1169–1172.
ICSEICSE-2013-NamPK #learning
Transfer defect learning (JN, SJP, SK), pp. 382–391.
ICSEICSE-2013-Park #comprehension #concurrent #source code
Fault comprehension for concurrent programs (SP), pp. 1444–1446.
ICSEICSE-2013-Zhang04a #automation #java #named
ConfDiagnoser: an automated configuration error diagnosis tool for Java software (SZ), pp. 1438–1440.
ICSEICSE-2013-ZhangE #automation
Automated diagnosis of software configuration errors (SZ, MDE), pp. 312–321.
SACSAC-2013-ImmichCC #adaptation
Adaptive video-aware FEC-based mechanism with unequal error protection scheme (RI, EC, MC), pp. 981–988.
SACSAC-2013-XuTTZ #approach #fine-grained
An instruction-level fine-grained recovery approach for soft errors (JX, QT, LT, HZ), pp. 1511–1516.
LDTALDTA-J-2009-LammelTK #programming #source code #traversal
Programming errors in traversal programs over structured data (RL, SJT, MK), pp. 1770–1808.
GPCEGPCE-2013-MedeirosRG #syntax
Investigating preprocessor-based syntax errors (FM, MR, RG), pp. 75–84.
ASPLOSASPLOS-2013-SahooCGA #automation #invariant #locality #using
Using likely invariants for automated software fault localization (SKS, JC, CG, VSA), pp. 139–152.
CASECASE-2013-Fries #agile #automation #fuzzy #multi #using
Automation of rapid fault diagnosis in manufacturing systems using multiple fuzzy agents (TPF), pp. 65–70.
CASECASE-2013-HeZJ #approach #robust
UIO based robust fault diagnosis approach for aero-engine fiber-optic sensor (CH, XZ, BJ), pp. 550–553.
CASECASE-2013-LanzaKPSS #analysis #automation #detection #using
Automated optical detection of particles and defects on a Li-Ion-cell surface using a single-point analysis (GL, AK, SP, AS, SS), pp. 675–680.
CASECASE-2013-SustoSPPMB #maintenance #predict
A predictive maintenance system for integral type faults based on support vector machines: An application to ion implantation (GAS, AS, SP, DP, SFM, AB), pp. 195–200.
CASECASE-2013-YanLS #detection #process #statistics
Fault detection of cooling coils based on unscented Kalman filters and statistical process control (YY, PBL, BS), pp. 237–242.
CGOCGO-2013-LiHCZ0L #debugging #effectiveness #locality #set
Effective fault localization based on minimum debugging frontier set (FL, WH, CC, LZ, XF, ZL), p. 10.
CGOCGO-2013-TanFG #detection #lightweight #source code
Lightweight fault detection in parallelized programs (LT, MF, RG), p. 11.
DACDAC-2013-AvinashBEPP #energy #hardware
Improving energy gains of inexact DSP hardware through reciprocative error compensation (LA, AB, CCE, KVP, CP), p. 8.
DACDAC-2013-AxerE #probability #scheduling
Stochastic response-time guarantee for non-preemptive, fixed-priority scheduling under errors (PA, RE), p. 7.
DACDAC-2013-CalimeraMP #constraints #energy #scheduling
Energy-optimal SRAM supply voltage scheduling under lifetime and error constraints (AC, EM, MP), p. 6.
DACDAC-2013-ChoMCAM #design #evaluation #injection #robust
Quantitative evaluation of soft error injection techniques for robust system design (HC, SM, CYC, JAA, SM), p. 10.
DACDAC-2013-CongX #programmable
Defect tolerance in nanodevice-based programmable interconnects: utilization beyond avoidance (JC, BX), p. 8.
DACDAC-2013-LiaoHL #detection
GPU-based n-detect transition fault ATPG (KYL, SCH, JCML), p. 8.
DACDAC-2013-LiSARHP #adaptation #embedded #named #runtime
RASTER: runtime adaptive spatial/temporal error resiliency for embedded processors (TL, MS, JAA, SR, JH, SP), p. 7.
DACDAC-2013-ShafiqueRAH #optimisation #reliability
Exploiting program-level masking and error propagation for constrained reliability optimization (MS, SR, PVA, JH), p. 9.
DACDAC-2013-Wang #reliability
Hierarchical decoding of double error correcting codes for high speed reliable memories (ZW), p. 7.
DACDAC-2013-YuanX #logic #low cost #named #scalability
InTimeFix: a low-cost and scalable technique for in-situ timing error masking in logic circuits (FY, QX), p. 6.
DATEDATE-2013-AlieeGRT #analysis #automation #reliability
Automatic success tree-based reliability analysis for the consideration of transient and permanent faults (HA, MG, FR, JT), pp. 1621–1626.
DATEDATE-2013-AnanthanarayananGP #detection #low cost #set #using
Low cost permanent fault detection using ultra-reduced instruction set co-processors (SA, SG, HDP), pp. 933–938.
DATEDATE-2013-BernardiBSRB #embedded #identification #online
On-line functionally untestable fault identification in embedded processor cores (PB, MB, ES, MSR, OB), pp. 1462–1467.
DATEDATE-2013-DasKV13a #communication #design #energy #manycore #migration
Communication and migration energy aware design space exploration for multicore systems with intermittent faults (AD, AK, BV), pp. 1631–1636.
DATEDATE-2013-HasanA #analysis #feedback #formal method #using
Formal analysis of steady state errors in feedback control systems using HOL-light (OH, MA), pp. 1423–1426.
DATEDATE-2013-HuHMCF #detection #realtime
Fault detection, real-time error recovery, and experimental demonstration for digital microfluidic biochips (KH, BNH, AM, KC, RBF), pp. 559–564.
DATEDATE-2013-KocBKE #detection
Exploiting replicated checkpoints for soft error detection and correction (FK, KB, BK, OE), pp. 1494–1497.
DATEDATE-2013-LeGD #design #locality #scalability
Scalable fault localization for SystemC TLM designs (HML, DG, RD), pp. 35–38.
DATEDATE-2013-LinHLFGHM #challenge #detection #validation
Overcoming post-silicon validation challenges through quick error detection (QED) (DL, TH, YL, FF, DSG, NH, SM), pp. 320–325.
DATEDATE-2013-LuZ #constraints
Retiming for Soft Error Minimization Under Error-Latching Window Constraints (YL, HZ), pp. 1008–1013.
DATEDATE-2013-NovoAI #estimation #fixpoint #invariant #linear #trade-off
Accuracy vs speed tradeoffs in the estimation of fixed-point errors on linear time-invariant systems (DN, SEA, PI), pp. 15–20.
DATEDATE-2013-Pomeranz #equivalence #graph #on the #set
On candidate fault sets for fault diagnosis and dominance graphs of equivalence classes (IP), pp. 1083–1088.
DATEDATE-2013-PontarelliOEW #detection #using
Error detection in ternary CAMs using bloom filters (SP, MO, AE, SJW), pp. 1474–1479.
DATEDATE-2013-RakossyHTSNO #architecture #array #functional #testing
Hot-swapping architecture with back-biased testing for mitigation of permanent faults in functional unit array (ZER, MH, HT, TS, YN, HO), pp. 535–540.
DATEDATE-2013-SarrazinENBG #concurrent #design #detection #performance
Scan design with shadow flip-flops for low performance overhead and concurrent delay fault detection (SS, SE, LAdBN, YB, VG), pp. 1077–1082.
DATEDATE-2013-ShihW #3d
An enhanced double-TSV scheme for defect tolerance in 3D-IC (HCS, CWW), pp. 1486–1489.
DATEDATE-2013-TheissingMSSS #analysis
Comprehensive analysis of software countermeasures against fault attacks (NT, DM, MS, FS, GS), pp. 404–409.
DATEDATE-2013-YalcinUC #detection #hardware #memory management #named #transaction #using
FaulTM: error detection and recovery using hardware transactional memory (GY, OSÜ, AC), pp. 220–225.
DATEDATE-2013-YanLH #adaptation #self
A dynamic self-adaptive correction method for error resilient application (LY, HL, ZH), pp. 943–946.
DATEDATE-2013-YilmazSWO #analysis #industrial #scalability #simulation
Fault analysis and simulation of large scale industrial mixed-signal circuits (EY, GS, LW, SO), pp. 565–570.
DATEDATE-2013-ZordanBDGTVB #power management
Test solution for data retention faults in low-power SRAMs (LBZ, AB, LD, PG, AT, AV, NB), pp. 442–447.
HPDCHPDC-2013-DaviesC #online
Correcting soft errors online in LU factorization (TD, ZC), pp. 167–178.
LCTESLCTES-2013-ChenY #comparison #detection #performance
Boosting efficiency of fault detection and recovery throughapplication-specific comparison and checkpointing (HC, CY), pp. 13–20.
PDPPDP-2013-GarbadeWSFU #detection #network
Impact of Message Based Fault Detectors on Applications Messages in a Network on Chip (AG, SW, SS, BF, TU), pp. 470–477.
PDPPDP-2013-MullerSV #multi #towards
Towards a Graceful Degradable Multicore-System by Hierarchical Handling of Hard Errors (SM, MS, HTV), pp. 302–309.
PDPPDP-2013-SchleyBR #protocol
Fault Localizing End-to-End Flow Control Protocol for Networks-on-Chip (GS, NB, MR), pp. 454–461.
PPoPPPPoPP-2013-Chen #algorithm #detection #fault tolerance #named #online
Online-ABFT: an online algorithm based fault tolerance scheme for soft error detection in iterative methods (ZC), pp. 167–176.
FASEFASE-2013-HoferRWAG #empirical #evaluation #locality #on the #spreadsheet
On the Empirical Evaluation of Fault Localization Techniques for Spreadsheets (BH, AR, FW, RA, EG), pp. 68–82.
STOCSTOC-2013-BeiCZ #complexity #on the
On the complexity of trial and error (XB, NC, SZ), pp. 31–40.
STOCSTOC-2013-BrakerskiLPRS #learning
Classical hardness of learning with errors (ZB, AL, CP, OR, DS), pp. 575–584.
STOCSTOC-2013-Wootters #linear #on the #random #scalability
On the list decodability of random linear codes with large error rates (MW), pp. 853–860.
ICSTICST-2013-CanforaLPOPP #multi #predict
Multi-objective Cross-Project Defect Prediction (GC, ADL, MDP, RO, AP, SP), pp. 252–261.
ICSTICST-2013-ChristakisGS #concurrent #detection #erlang #source code #testing
Systematic Testing for Detecting Concurrency Errors in Erlang Programs (MC, AG, KFS), pp. 154–163.
ICSTICST-2013-KaushikATLL #challenge #industrial
Defect Prioritization in the Software Industry: Challenges and Opportunities (NK, MA, LT, WL, SL), pp. 70–73.
ICSTICST-2013-Roper #testing
Estimating Fault Numbers Remaining After Testing (MR), pp. 272–281.
ICSTICST-2013-ShuSPC #locality #named
MFL: Method-Level Fault Localization with Causal Inference (GS, BS, AP, FC), pp. 124–133.
ICTSSICTSS-2013-KocaSA #concurrent #locality
Spectrum-Based Fault Localization for Diagnosing Concurrency Faults (FK, HS, RA), pp. 239–254.
ICTSSICTSS-2013-SapraMCGC #execution #python #source code #symbolic computation #using
Finding Errors in Python Programs Using Dynamic Symbolic Execution (SS, MM, SC, AG, EMC), pp. 283–289.
ISSTAISSTA-2013-ChocklerEY #concurrent
Finding rare numerical stability errors in concurrent computations (HC, KE, EY), pp. 12–22.
ISSTAISSTA-2013-JinO #locality #named
F3: fault localization for field failures (WJ, AO), pp. 213–223.
ISSTAISSTA-2013-QiMLW #automation #effectiveness #locality #program repair #using
Using automated program repair for evaluating the effectiveness of fault localization techniques (YQ, XM, YL, CW), pp. 191–201.
ISSTAISSTA-2013-SteimannFA #empirical
Threats to the validity and value of empirical assessments of the accuracy of coverage-based fault locators (FS, MF, RA), pp. 314–324.
VMCAIVMCAI-2013-ChristESW #locality
Flow-Sensitive Fault Localization (JC, EE, MS, TW), pp. 189–208.
SIGMODSIGMOD-2012-KanneE #data-driven #declarative #robust
Declarative error management for robust data-intensive applications (CCK, VE), pp. 205–216.
TPDLTPDL-2012-MenesesFS #distributed #documentation #identification
Identifying “Soft 404” Error Pages: Analyzing the Lexical Signatures of Documents in Distributed Collections (LM, RF, FS), pp. 197–208.
VLDBVLDB-2012-TaliusDDK #query #transaction
Transaction Log Based Application Error Recovery and Point In-Time Query (TT, RD, AD, HK), pp. 1781–1789.
ITiCSEITiCSE-2012-DennyLT #syntax
All syntax errors are not equal (PD, ALR, EDT), pp. 75–80.
SIGITESIGITE-2012-Miller #development #web
Metonymic errors in a web development course (CSM), pp. 65–70.
ICSMEICSM-2012-GongLJZ #feedback #interactive #locality
Interactive fault localization leveraging simple user feedback (LG, DL, LJ, HZ), pp. 67–76.
ICSMEICSM-2012-RupakhetiH #constraints #modelling #similarity #theorem proving #using
Finding errors from reverse-engineered equality models using a constraint solver (CRR, DH), pp. 77–86.
MSRMSR-2012-BettenburgNH #modelling #predict
Think locally, act globally: Improving defect and effort prediction models (NB, MN, AEH), pp. 60–69.
MSRMSR-2012-Breckel #comparison #database #debugging #detection #mining #scalability
Error mining: Bug detection through comparison with large code databases (AB), pp. 175–178.
MSRMSR-2012-ChenTNH #modelling #topic #using
Explaining software defects using topic models (THC, SWT, MN, AEH), pp. 189–198.
MSRMSR-2012-LuciaTLJ #question
Are faults localizable? (L, FT, DL, LJ), pp. 74–77.
MSRMSR-2012-SteffR #co-evolution #commit #estimation #logic
Co-evolution of logical couplings and commits for defect estimation (MS, BR), pp. 213–216.
WCREWCRE-2012-AbebeATAG #predict #question #smell
Can Lexicon Bad Smells Improve Fault Prediction? (SLA, VA, PT, GA, YGG), pp. 235–244.
WCREWCRE-2012-ThungLJ #automation #categorisation
Automatic Defect Categorization (FT, DL, LJ), pp. 205–214.
DLTDLT-2012-HolzerJ #automaton #equivalence
From Equivalence to Almost-Equivalence, and Beyond — Minimizing Automata with Errors — (MH, SJ), pp. 190–201.
FMFM-2012-ErmisSW #invariant
Error Invariants (EE, MS, TW), pp. 187–201.
IFMIFM-2012-HoomanMW #abstraction #detection #industrial #modelling #using
Early Fault Detection in Industry Using Models at Various Abstraction Levels (JH, AJM, HvW), pp. 268–282.
ICFPICFP-2012-VytiniotisJM #compilation #proving #similarity
Equality proofs and deferred type errors: a compiler pearl (DV, SLPJ, JPM), pp. 341–352.
CHICHI-2012-BackCB #information management
Choosing to interleave: human error and information access cost (JB, ALC, DPB), pp. 1651–1654.
CHICHI-2012-RaihaO #case study #type system
An exploratory study of eye typing fundamentals: dwell time, text entry rate, errors, and workload (KJR, SO), pp. 3001–3010.
ICEISICEIS-J-2012-RenardCR12a #evaluation #process #towards
Towards a Leaner Evaluation Process: Application to Error Correction Systems (AR, SC, BR), pp. 228–242.
ICEISICEIS-J-2012-YiasemisA12a #execution #mutation testing #slicing #testing
Locating and Correcting Software Faults in Executable Code Slices via Evolutionary Mutation Testing (PSY, ASA), pp. 207–227.
ICEISICEIS-v1-2012-Wichert #retrieval
Product Quantization for Vector Retrieval with No Error (AW), pp. 87–92.
ICEISICEIS-v2-2012-RenardCR #documentation #process
A Tool to Evaluate Error Correction Resources and Processes Suited for Documents Improvement (AR, SC, BR), pp. 27–35.
ICEISICEIS-v2-2012-RychlyZ #injection
Fault Injection for Web-services (MR, MZ), pp. 377–383.
ICEISICEIS-v2-2012-YiasemisA #automation #locality #mutation testing #testing #using
Dynamic Localisation and Automatic Correction of Software Faults using Evolutionary Mutation Testing (PSY, ASA), pp. 15–26.
CIKMCIKM-2012-GeorgescuPFNG #crowdsourcing #library
Map to humans and reduce error: crowdsourcing for deduplication applied to digital libraries (MG, DDP, CSF, WN, JG), pp. 1970–1974.
CIKMCIKM-2012-KazaiCYT #analysis #information retrieval
An analysis of systematic judging errors in information retrieval (GK, NC, EY, SMMT), pp. 105–114.
ICMLICML-2012-Ben-DavidLSS #classification #using
Minimizing The Misclassification Error Rate Using a Surrogate Convex Loss (SBD, DL, NS, KS), p. 16.
ICMLICML-2012-JiYLJH #algorithm #bound #learning
A Simple Algorithm for Semi-supervised Learning with Improved Generalization Error Bound (MJ, TY, BL, RJ, JH), p. 110.
ICPRICPR-2012-AbouelenienY #analysis #named #performance
SampleBoost: Improving boosting performance by destabilizing weak learners based on weighted error analysis (MA, XY), pp. 585–588.
ICPRICPR-2012-ChernoffLN #learning #metric
Metric learning by directly minimizing the k-NN training error (KC, ML, MN), pp. 1265–1268.
ICPRICPR-2012-LiWBL #adaptation #algorithm
Semi-supervised adaptive parzen Gentleboost algorithm for fault diagnosis (CL, ZW, SB, ZL), pp. 2290–2293.
ICPRICPR-2012-MiaoLZ #feature model #predict
Cost-sensitive feature selection with application in software defect prediction (LM, ML, DZ), pp. 967–970.
ICPRICPR-2012-OGorman #privacy #segmentation #video
Video privacy filters with tolerance to segmentation errors for video conferencing and surveillance (LO), pp. 1835–1838.
ICPRICPR-2012-WechtitschFS #detection #robust
Robust detection of single-frame defects in archived film (SW, HF, PS), pp. 2647–2650.
ICPRICPR-2012-ZhangLM12a #adaptation #automation #clustering #detection
An adaptive unsupervised clustering of pronunciation errors for automatic pronunciation error detection (LZ, HL, LM), pp. 1521–1525.
SEKESEKE-2012-DagninoSR #machine learning #using
Forecasting Fault Events in Power Distribution Grids Using Machine Learning (AD, KS, LR), pp. 458–463.
SEKESEKE-2012-MiaoCLZZ #clustering #correctness #identification #locality #testing
Identifying Coincidental Correctness for Fault Localization by Clustering Test Cases (YM, ZC, SL, ZZ, YZ), pp. 267–272.
SEKESEKE-2012-WangKWN #empirical #metric #predict
An Empirical Study of Software Metric Selection Techniques for Defect Prediction (HW, TMK, RW, AN), pp. 94–99.
SIGIRSIGIR-2012-SmuckerJ
Time to judge relevance as an indicator of assessor error (MDS, CPJ), pp. 1153–1154.
MODELSMoDELS-2012-AboussororOO #modelling #simulation #visualisation
Seeing Errors: Model Driven Simulation Trace Visualization (EAA, IO, IO), pp. 480–496.
MODELSMoDELS-2012-JacksonSB #constraints #declarative #detection #specification
Detecting Specification Errors in Declarative Languages with Constraints (EKJ, WS, NB), pp. 399–414.
TOOLSTOOLS-EUROPE-2012-LilisS #debugging #metaprogramming #precise
Supporting Compile-Time Debugging and Precise Error Reporting in Meta-programs (YL, AS), pp. 155–170.
PLDIPLDI-2012-DilligDA #abduction #automation #using
Automated error diagnosis using abductive inference (ID, TD, AA), pp. 181–192.
RERE-2012-Ott #legacy #natural language #specification #using
Defects in natural language requirement specifications at Mercedes-Benz: An investigation using a combination of legacy data and expert opinion (DO), pp. 291–296.
ASEASE-2012-GongLJZ #locality
Diversity maximization speedup for fault localization (LG, DL, LJ, HZ), pp. 30–39.
ASEASE-2012-GopinathZK #effectiveness #locality #specification #using
Improving the effectiveness of spectra-based fault localization using specifications (DG, RNZ, SK), pp. 40–49.
ASEASE-2012-JongeV #automation #evaluation #syntax
Automated evaluation of syntax error recovery (MdJ, EV), pp. 322–325.
ASEASE-2012-LuCC #learning #predict #reduction #using
Software defect prediction using semi-supervised learning with dimension reduction (HL, BC, MC), pp. 314–317.
ASEASE-2012-ThungLLJRD #debugging #detection #empirical #tool support #what
To what extent could we detect field defects? an empirical study of false negatives in static bug finding tools (FT, L, DL, LJ, FR, PTD), pp. 50–59.
ASEASE-2012-YuLCZ #debugging
Practical isolation of failure-inducing changes for debugging regression faults (KY, ML, JC, XZ), pp. 20–29.
FSEFSE-2012-CaglayanMCBAT #metric #named #predict
Dione: an integrated measurement and defect prediction solution (BC, ATM, , AB, TA, BT), p. 20.
FSEFSE-2012-DiGiuseppeJ #automation #semantics
Semantic fault diagnosis: automatic natural-language fault descriptions (ND, JAJ), p. 23.
FSEFSE-2012-RahmanPD #predict
Recalling the “imprecision” of cross-project defect prediction (FR, DP, PTD), p. 61.
FSEFSE-2012-ZhangKK #evolution #impact analysis #java #named #source code
FaultTracer: a change impact and regression fault analysis tool for evolving Java programs (LZ, MK, SK), p. 40.
ICSEICSE-2012-NguyenNDKS #analysis #developer
Inferring developer expertise through defect analysis (TTN, TNN, ED, TK, PS), pp. 1297–1300.
ICSEICSE-2012-PetersM #predict #privacy
Privacy and utility for defect prediction: Experiments with MORPH (FP, TM), pp. 189–199.
ICSEICSE-2012-SamirniSAMTH #automation #constraints #generative #html #php #string #theorem proving #using
Automated repair of HTML generation errors in PHP applications using string constraint solving (HS, MS, SA, TDM, FT, LJH), pp. 277–287.
ICSEICSE-2012-ServantJ #automation #developer #locality #named
WhoseFault: Automatic developer-to-fault assignment through fault localization (FS, JAJ), pp. 36–46.
ICSEICSE-2012-StaatsGH #automation #how #mutation testing #testing
Automated oracle creation support, or: How I learned to stop worrying about fault propagation and love mutation testing (MS, GG, MPEH), pp. 870–880.
ICSEICSE-2012-Wen #locality #slicing
Software fault localization based on program slicing spectrum (WW), pp. 1511–1514.
SACSAC-2012-BanthiaG #modelling #predict #quality
Investigating fault prediction capabilities of five prediction models for software quality (DB, AG), pp. 1259–1261.
SACSAC-2012-FouqueLV #using
Using faults for buffer overflow effects (PAF, DL, FV), pp. 1638–1639.
SACSAC-2012-NathML #classification #on the #testing
On the improvement of a fault classification scheme with implications for white-box testing (SKN, RM, MFL), pp. 1123–1130.
SACSAC-2012-SarroMFG #algorithm #analysis #predict #search-based #using
A further analysis on the use of Genetic Algorithm to configure Support Vector Machines for inter-release fault prediction (FS, SDM, FF, CG), pp. 1215–1220.
SACSAC-2012-WangCCX
Fault class prioritization in Boolean expressions (ZW, ZC, TYC, BX), pp. 1191–1196.
ASPLOSASPLOS-2012-HariANR #equivalence #named
Relyzer: exploiting application-level fault equivalence to analyze application resiliency to transient faults (SKSH, SVA, HN, PR), pp. 123–134.
ASPLOSASPLOS-2012-HwangSS #comprehension #design
Cosmic rays don’t strike twice: understanding the nature of DRAM errors and the implications for system design (AAH, IAS, BS), pp. 111–122.
CASECASE-2012-Chang #detection #feature model #process #using
Fault detection for plasma-enhanced chemical vapor deposition process using feature extraction (YJC), pp. 491–496.
CASECASE-2012-ChoiKKKHP #development
Development of joint torque sensor applied to compensate crosstalk error (SyC, TKK, DYK, BSK, JHH, CWP), pp. 1086–1088.
CASECASE-2012-KammererP #flexibility #geometry
High accuracy patient positioning system: geometric and elastic error calibration of a flexible model (NK, YP), pp. 1033–1039.
CASECASE-2012-KimCLK #framework #monitoring #process
Task-oriented synchronous error monitoring framework in robotic manufacturing process (HK, JC, SL, JK), pp. 485–490.
CASECASE-2012-LiuJCLX #analysis #canonical #correlation #identification #linear #research
Research on fault identification for complex system based on generalized linear canonical correlation analysis (DL, DJ, XC, AL, GX), pp. 474–478.
CASECASE-2012-SchmidtH #automation #data transformation
Managing data for a zero defect production — the contribution of manufacturing automation to a corporate strategy (GS, TH), pp. 70–73.
CASECASE-2012-YamaguchiIS #data-driven #database #network
Data based construction of Bayesian Network for fault diagnosis of event-driven systems (TY, SI, TS), pp. 508–514.
CASECASE-2012-YaqubGK #multi
Impact characterization of multiple-points-defect on machine fault diagnosis (MFY, IG, JK), pp. 479–484.
CASECASE-2012-ZhangWZX #memory management #using
A dynamic memory model for mechanical fault diagnosis using one-class support vector machine (QZ, JW, JZ, GX), pp. 497–501.
DACDAC-2012-GuoK #concurrent #detection #encryption #standard
Invariance-based concurrent error detection for advanced encryption standard (XG, RK), pp. 573–578.
DACDAC-2012-KarakonstantisRBB #on the
On the exploitation of the inherent error resilience of wireless systems under unreliable silicon (GK, CR, CB, AB), pp. 510–515.
DACDAC-2012-YeC #3d
TSV open defects in 3D integrated circuits: characterization, test, and optimal spare allocation (FY, KC), pp. 1024–1030.
DATEDATE-2012-AzevedoVBDGTPAM #architecture
Impact of resistive-open defects on the heat current of TAS-MRAM architectures (JA, AV, AB, LD, PG, ATS, GP, JAH, KM), pp. 532–537.
DATEDATE-2012-BolchiniMS #adaptation #approach #architecture #manycore #online
An adaptive approach for online fault management in many-core architectures (CB, AM, DS), pp. 1429–1432.
DATEDATE-2012-BombieriFG #framework #functional #named #simulation #verification
FAST-GP: An RTL functional verification framework based on fault simulation on GP-GPUs (NB, FF, VG), pp. 562–565.
DATEDATE-2012-CaiHMM #analysis #memory management #metric
Error patterns in MLC NAND flash memory: Measurement, characterization, and analysis (YC, EFH, OM, KM), pp. 521–526.
DATEDATE-2012-CampagnaV #architecture #detection #hybrid #validation
An hybrid architecture to detect transient faults in microprocessors: An experimental validation (SC, MV), pp. 1433–1438.
DATEDATE-2012-HaronH
DfT schemes for resistive open defects in RRAMs (NZH, SH), pp. 799–804.
DATEDATE-2012-KarimiCGP #generative #testing
Test generation for clock-domain crossing faults in integrated circuits (NK, KC, PG, SP), pp. 406–411.
DATEDATE-2012-LuoCH #approach #synthesis
A cyberphysical synthesis approach for error recovery in digital microfluidic biochips (YL, KC, TYH), pp. 1239–1244.
DATEDATE-2012-MohammadiEEM #injection #named
SCFIT: A FPGA-based fault injection technique for SEU fault model (AM, ME, AE, SGM), pp. 586–589.
DATEDATE-2012-RajendranPSK #analysis #encryption #logic #perspective
Logic encryption: A fault analysis perspective (JR, YP, OS, RK), pp. 953–958.
DATEDATE-2012-SabryAC #approach #embedded #hybrid
A hybrid HW-SW approach for intermittent error mitigation in streaming-based embedded systems (MMS, DA, FC), pp. 1110–1113.
DATEDATE-2012-ZhaCL #memory management #modelling #testing
Modeling and testing of interference faults in the nano NAND Flash memory (JZ, XC, CLL), pp. 527–531.
LCTESLCTES-2012-KhudiaWM #embedded #performance #using
Efficient soft error protection for commodity embedded microprocessors using profile information (DSK, GW, SAM), pp. 99–108.
PDPPDP-2012-SterponeSR #approach #injection #testing
A New Fault Injection Approach for Testing Network-on-Chips (LS, DS, MSR), pp. 530–535.
FASEFASE-2012-MahouachiKG #classification #design #detection
A New Design Defects Classification: Marrying Detection and Correction (RM, MK, KG), pp. 455–470.
ICSTICST-2012-Bandyopadhyay #correctness #locality
Mitigating the Effect of Coincidental Correctness in Spectrum Based Fault Localization (AB), pp. 479–482.
ICSTICST-2012-BandyopadhyayG #feedback #locality
Tester Feedback Driven Fault Localization (AB, SG), pp. 41–50.
ICSTICST-2012-DevineGKLL #empirical #industrial #product line
An Empirical Study of Pre-release Software Faults in an Industrial Product Line (TRD, KGP, SK, RRL, JJL), pp. 181–190.
ICSTICST-2012-DiGiuseppeJ #behaviour #clustering #empirical
Software Behavior and Failure Clustering: An Empirical Study of Fault Causality (ND, JAJ), pp. 191–200.
ICSTICST-2012-MasriAZF #locality #multi #visualisation
Enhancing Fault Localization via Multivariate Visualization (WM, RAA, FAZ, NF), pp. 737–741.
ICSTICST-2012-OcarizaPM #automation #javascript #named
AutoFLox: An Automatic Fault Localizer for Client-Side JavaScript (FSOJ, KP, AM), pp. 31–40.
ICSTICST-2012-Oriol #evaluation #random testing #testing
Random Testing: Evaluation of a Law Describing the Number of Faults Found (MO), pp. 201–210.
ICSTICST-2012-PapadakisT #using
Using Mutants to Locate “Unknown” Faults (MP, YLT), pp. 691–700.
ICSTICST-2012-Wotawa #challenge #constraints #open science #research #source code #using
Open Research Challenges of Localizing Faults in Programs Using Constraints (FW), pp. 770–771.
ICSTICST-2012-YuL #debugging #towards
Towards Practical Debugging for Regression Faults (KY, ML), pp. 487–490.
ICTSSICTSS-2012-SantosA #automation #detection #lightweight #monitoring
Lightweight Automatic Error Detection by Monitoring Collar Variables (JS, RA), pp. 215–230.
ISSTAISSTA-2012-AlshahwanH #detection #testing #web
State aware test case regeneration for improving web application test suite coverage and fault detection (NA, MH), pp. 45–55.
ISSTAISSTA-2012-ZhangLE #parallel #thread #user interface
Finding errors in multithreaded GUI applications (SZ, HL, MDE), pp. 243–253.
ECSAECSA-2011-CasanovaSGA #architecture #runtime
Architecture-Based Run-Time Fault Diagnosis (PC, BRS, DG, RA), pp. 261–277.
DRRDRR-2011-RabeuxJD #documentation #evaluation #predict
Ancient documents bleed-through evaluation and its application for predicting OCR error rates (VR, NJ, JPD), pp. 1–10.
ICDARICDAR-2011-KokawaBOWK #analysis #automation #classification
An Impact of OCR Errors on Automated Classification of OCR Japanese Texts with Parts-of-Speech Analysis (AK, LSPB, WO, TW, FK), pp. 543–547.
ICDARICDAR-2011-LundR #multi
Error Correction with In-domain Training across Multiple OCR System Outputs (WBL, EKR), pp. 658–662.
ICDARICDAR-2011-LundWR #multi
Progressive Alignment and Discriminative Error Correction for Multiple OCR Engines (WBL, DDW, EKR), pp. 764–768.
SIGMODSIGMOD-2011-MeliouGNS
Tracing data errors with view-conditioned causality (AM, WG, SN, DS), pp. 505–516.
SIGMODSIGMOD-2011-XiaoBHG #difference #named #privacy
iReduct: differential privacy with reduced relative errors (XX, GB, MH, JG), pp. 229–240.
CSMRCSMR-2011-KessentiniSBW #design #detection #generative #music
Design Defect Detection Rules Generation: A Music Metaphor (MK, HAS, MB, MW), pp. 241–248.
CSMRCSMR-2011-MendeKP #case study #integration #on the #predict #testing
On the Utility of a Defect Prediction Model during HW/SW Integration Testing: A Retrospective Case Study (TM, RK, JP), pp. 259–268.
ICPCICPC-2011-BurrowsTGF #aspect-oriented #evaluation #reasoning #source code
Reasoning about Faults in Aspect-Oriented Programs: A Metrics-Based Evaluation (RB, FT, AG, FCF), pp. 131–140.
ICPCICPC-2011-KessentiniKSBO #design #detection
Design Defects Detection and Correction by Example (MK, WK, HAS, MB, AO), pp. 81–90.
ICPCICPC-2011-WangSW #automation
Capturing Expert Knowledge for Automated Configuration Fault Diagnosis (MW, XS, KW), pp. 205–208.
ICSMEICSM-2011-DiGiuseppeJ #interactive
Fault interaction and its repercussions (ND, JAJ), pp. 3–12.
ICSMEICSM-2011-KhomhCZSD #predict #testing #using
Predicting post-release defects using pre-release field testing results (FK, BC, YZ, AS, DD), pp. 253–262.
ICSMEICSM-2011-KobayashiMIHKY #impact analysis #named #predict #scalability
ImpactScale: Quantifying change impact to predict faults in large software systems (KK, AM, KI, YH, MK, TY), pp. 43–52.
ICSMEICSM-2011-KuhnK #combinator #detection #testing
Practical combinatorial (t-way) methods for detecting complex faults in regression testing (RK, RK), p. 599.
SCAMSCAM-2011-MarinescuM #question
Are the Clients of Flawed Classes (Also) Defect Prone? (RM, CM), pp. 65–74.
WCREWCRE-2011-CodobanMM #design #named #problem
iProblems — An Integrated Instrument for Reporting Design Flaws, Vulnerabilities and Defects (MC, CM, RM), pp. 437–438.
WCREWCRE-2011-PosnettHD #question
Got Issues? Do New Features and Code Improvements Affect Defects? (DP, AH, PTD), pp. 211–215.
WCREWCRE-2011-TanPPZ #clustering #predict #quality
Assessing Software Quality by Program Clustering and Defect Prediction (XT, XP, SP, WZ), pp. 244–248.
DLTDLT-J-2010-YuanCL11 #graph
Linearly Many Faults in (n, k)-Star Graphs (AY, EC, LL), pp. 1729–1745.
ICALPICALP-v1-2011-AroraG #algorithm #learning
New Algorithms for Learning in Presence of Errors (SA, RG), pp. 403–415.
ICFPICFP-2011-GillF #implementation #performance
Deriving an efficient FPGA implementation of a low density parity check forward error corrector (AG, AF), pp. 209–220.
CHICHI-2011-EgelmanOK #data access #facebook
Oops, I did it again: mitigating repeated access control errors on facebook (SE, AO, SK), pp. 2295–2304.
CHICHI-2011-GuiardOP #trade-off
Fitt’s law as an explicit time/error trade-off (YG, HBO, STP), pp. 1619–1628.
CHICHI-2011-WobbrockJS #2d #modelling #predict
Modeling and predicting pointing errors in two dimensions (JOW, AJ, KS), pp. 1653–1656.
HCIDHM-2011-ZhangWZQL #complexity
Task Complexity Related Training Effects on Operation Error of Spaceflight Emergency Task (YZ, BW, XZ, WQ, ML), pp. 436–445.
HCIHCI-UA-2011-HwangC #adaptation #design #feedback #image #music
The Design of Adaptive Error Feedback Music Ear-Training System with Image Cues (YTH, CNC), pp. 35–38.
HCIHCI-UA-2011-LeeKJ #analysis
Control Error Analysis of Computerized Operational Environment in Nuclear Power Plants (SJL, JK, SCJ), pp. 360–367.
CAiSECAiSE-2011-LemaitreH #database #evaluation #framework #quality #taxonomy #using
Quality Evaluation and Improvement Framework for Database Schemas — Using Defect Taxonomies (JL, JLH), pp. 536–550.
CAiSECAiSE-2011-MedjahedM #bottom-up #web #web service
Bottom-Up Fault Management in Composite Web Services (BM, ZM), pp. 597–611.
ICEISICEIS-v1-2011-RamirezC #process #using
Fault Diagnosis of Batch Processes Release using PCA Contribution Plots as Fault Signatures (AWR, JCL), pp. 223–228.
ICEISICEIS-v2-2011-LiOAK #identification #modelling #network #using
Patterns Identification for Hitting Adjacent Key Errors Correction using Neural Network Models (JL, KO, MSA, HBK), pp. 5–12.
CIKMCIKM-2011-LiuLH #bound #kernel #learning
Learning kernels with upper bounds of leave-one-out error (YL, SL, YH), pp. 2205–2208.
ICMLICML-2011-SunGRS #difference #incremental
Incremental Basis Construction from Temporal Difference Error (YS, FJG, MBR, JS), pp. 481–488.
KDDKDD-2011-GhaniK #detection #interactive #learning
Interactive learning for efficiently detecting errors in insurance claims (RG, MK), pp. 325–333.
SEKESEKE-2011-CellierDFR #data mining #locality #mining #multi
Multiple Fault Localization with Data Mining (PC, MD, SF, OR), pp. 238–243.
SEKESEKE-2011-FarahatE #performance
Exploiting Computational Redundancy for Efficient Recovery from Soft Errors in Sensor Nodes (AF, AE), pp. 619–624.
SEKESEKE-2011-GaoK #predict
Software Defect Prediction for High-Dimensional and Class-Imbalanced Data (KG, TMK), pp. 89–94.
SEKESEKE-2011-WenLSL #locality #slicing
Program slicing spectrum-based software fault localization (WW, BL, XS, JL), pp. 213–218.
SEKESEKE-2011-ZhaoZWY #locality #named #reduction
PAFL: Fault Localization via Noise Reduction on Coverage Vector (LZ, ZZ, LW, XY), pp. 203–206.
OnwardOnward-2011-MarceauFK #error message #interactive
Mind your language: on novices’ interactions with error messages (GM, KF, SK), pp. 3–18.
AdaEuropeAdaEurope-2011-RazaFP #detection #parallel #source code
Detecting High-Level Synchronization Errors in Parallel Programs (SAR, SF, EP), pp. 17–30.
PADLPADL-2011-ChristakisS #detection #message passing #static analysis #using
Detection of Asynchronous Message Passing Errors Using Static Analysis (MC, KFS), pp. 5–18.
PLDIPLDI-2011-JoseM #locality #satisfiability #using
Cause clue clauses: error localization using maximum satisfiability (MJ, RM), pp. 437–446.
PLDIPLDI-2011-LuciaWC #comprehension #concurrent #execution #using
Isolating and understanding concurrency errors using reconstructed execution fragments (BL, BPW, LC), pp. 378–388.
ASEASE-2011-Bandyopadhyay #locality #testing #using
Improving spectrum-based fault localization using proximity-based weighting of test cases (AB), pp. 660–664.
ASEASE-2011-BandyopadhyayG #locality #proximity #testing
Proximity based weighting of test cases to improve spectrum based fault localization (AB, SG), pp. 420–423.
ASEASE-2011-ClarkCKJH #database #sql
Localizing SQL faults in database applications (SRC, JC, GMK, JAJ, MJH), pp. 213–222.
ASEASE-2011-DengJ #dependence
Inferred dependence coverage to support fault contextualization (FD, JAJ), pp. 512–515.
ASEASE-2011-Gonzalez-SanchezAGG #ambiguity #locality #reduction #testing
Prioritizing tests for fault localization through ambiguity group reduction (AGS, RA, HGG, AJCvG), pp. 83–92.
ASEASE-2011-HuangNPGBT #automation #classification #generative #named #orthogonal
AutoODC: Automated generation of Orthogonal Defect Classifications (LH, VN, IP, RG, XB, JT), pp. 412–415.
ASEASE-2011-MenziesBMZC #estimation #modelling #predict
Local vs. global models for effort estimation and defect prediction (TM, AB, AM, TZ, DRC), pp. 343–351.
ASEASE-2011-NguyenNNN #html #php #validation
Auto-locating and fix-propagating for HTML validation errors to PHP server-side code (HVN, HAN, TTN, TNN), pp. 13–22.
ASEASE-2011-RabkinK
Precomputing possible configuration error diagnoses (AR, RHK), pp. 193–202.
ASEASE-2011-WangLJLL #locality #search-based
Search-based fault localization (SW, DL, LJ, L, HCL), pp. 556–559.
ASEASE-2011-WeiRFPHSNM #contract #testing
Stateful testing: Finding more errors in code and contracts (YW, HR, CAF, YP, AH, MJS, MN, BM), pp. 440–443.
ASEASE-2011-WesongaMR #concurrent #source code #visualisation
Guided test visualization: Making sense of errors in concurrent programs (SW, EGM, NR), pp. 624–627.
ESEC-FSEESEC-FSE-2011-BaahPH #dependence #effectiveness #locality
Mitigating the confounding effects of program dependences for effective fault localization (GKB, AP, MJH), pp. 146–156.
ESEC-FSEESEC-FSE-2011-CifuentesKLHVBZCTH #scalability #using
Static deep error checking in large system applications using parfait (CC, NK, LL, NH, MV, AB, JZ, AC, DT, CH), pp. 432–435.
ESEC-FSEESEC-FSE-2011-LeeNHKI #interactive #metric #predict
Micro interaction metrics for defect prediction (TL, JN, DH, SK, HPI), pp. 311–321.
ESEC-FSEESEC-FSE-2011-SahaNDNSC #locality #source code
Fault localization for data-centric programs (DS, MGN, PD, VKN, VS, SC), pp. 157–167.
ESEC-FSEESEC-FSE-2011-ShihabMKAH #case study
High-impact defects: a study of breakage and surprise defects (ES, AM, YK, BA, AEH), pp. 300–310.
ICSEICSE-2011-Kidwell #classification #research
A decision support system for the classification of software coding faults: a research abstract (BK), pp. 1158–1160.
ICSEICSE-2011-KimZWG #predict
Dealing with noise in defect prediction (SK, HZ, RW, LG), pp. 481–490.
ICSEICSE-2011-MurtazaMGL #using
Diagnosing new faults using mutants and prior faults (SSM, NHM, MG, ZL), pp. 960–963.
ICSEICSE-2011-NguyenNP #predict #topic
Topic-based defect prediction (TTN, TNN, TMP), pp. 932–935.
ICSEICSE-2011-RahmanD #experience #fine-grained
Ownership, experience and defects: a fine-grained study of authorship (FR, PTD), pp. 491–500.
ICSEICSE-2011-WinterSSM #modelling #robust
The impact of fault models on software robustness evaluations (SW, CS, NS, BM), pp. 51–60.
SACSAC-2011-BabichCPK #abstraction #case study #eclipse #object-oriented #predict #using
Using a class abstraction technique to predict faults in OO classes: a case study through six releases of the Eclipse JDT (DB, PJC, JFP, BMGK), pp. 1419–1424.
SACSAC-2011-DebroyW #equivalence #locality #on the
On the equivalence of certain fault localization techniques (VD, WEW), pp. 1457–1463.
SACSAC-2011-Gonzalez-SanchezAGG #empirical #locality #testing
An empirical study on the usage of testability information to fault localization in software (AGS, RA, HGG, AJCvG), pp. 1398–1403.
SACSAC-2011-YuLGZZ #modelling #multi #using
Locating faults using multiple spectra-specific models (KY, ML, QG, HZ, XZ), pp. 1404–1410.
ASPLOSASPLOS-2011-PalixTSCLM #linux #years after
Faults in linux: ten years later (NP, GT, SS, CC, JLL, GM), pp. 305–318.
ASPLOSASPLOS-2011-ZhangLOSJLR #concurrent #debugging #detection #named
ConSeq: detecting concurrency bugs through sequential errors (WZ, JL, RO, JS, GJ, SL, TWR), pp. 251–264.
CASECASE-2011-CabasinoGSSZ #petri net #using
Fault diagnosis of an ABS system using Petri nets (MPC, AG, CS, AS, KZ), pp. 594–599.
CASECASE-2011-MartinezOGG #adaptation #automation #lens
An adaptable vision system for the automatic inspection of surface defects in automotive headlamp lenses (SSM, JGO, ASG, JGG), pp. 157–162.
CASECASE-2011-SenoussiCDZ #detection #feature model #process
Feature selection for fault detection systems: Application to the Tennessee Eastman Process (HS, BCM, MD, NZ), pp. 189–194.
CASECASE-2011-SunLOS #detection #energy
Building energy doctors: SPC and Kalman filter-based fault detection (BS, PBL, ZO, FS), pp. 333–340.
CASECASE-2011-WanY #detection
Fault detection of networked control systems utilizing limited possibilities of unknown packet transmission (YW, HY), pp. 619–624.
DACDAC-2011-AisoposCP #modelling
Enabling system-level modeling of variation-induced faults in networks-on-chips (KA, CHOC, LSP), pp. 930–935.
DACDAC-2011-ChenO #statistics
Diagnosing scan clock delay faults through statistical timing pruning (MC, AO), pp. 423–428.
DACDAC-2011-ChoiYLA #behaviour #performance
Matching cache access behavior and bit error pattern for high performance low Vcc L1 cache (YGC, SY, SL, JHA), pp. 978–983.
DACDAC-2011-IqbalSH #dependence #monte carlo #named #power management #probability #scheduling
SEAL: soft error aware low power scheduling by Monte Carlo state space under the influence of stochastic spatial and temporal dependencies (NI, MAS, JH), pp. 134–139.
DACDAC-2011-LifaEP #configuration management #detection #optimisation #performance
Performance optimization of error detection based on speculative reconfiguration (AAL, PE, ZP), pp. 369–374.
DACDAC-2011-Pomeranz #clustering
Diagnosis of transition fault clusters (IP), pp. 429–434.
DACDAC-2011-ReviriegoMB #ad hoc #design #memory management #reliability #sequence
Designing ad-hoc scrubbing sequences to improve memory reliability against soft errors (PR, JAM, SB), pp. 700–705.
DACDAC-2011-YangBC #detection #embedded #energy #using
Energy-efficient MIMO detection using unequal error protection for embedded joint decoding system (YSY, PB, GC), pp. 579–584.
DATEDATE-2011-AbdallahLS #energy #robust #statistics
Timing error statistics for energy-efficient robust DSP systems (RAA, YHL, NRS), pp. 285–288.
DATEDATE-2011-BernardiGSB #self #testing
Fault grading of software-based self-test procedures for dependable automotive applications (PB, MG, ES, OB), pp. 513–514.
DATEDATE-2011-ChenO #analysis #image #statistics
Diagnosing scan chain timing faults through statistical feature analysis of scan images (MC, AO), pp. 185–190.
DATEDATE-2011-EbrahimiMA #named #safety
ScTMR: A scan chain-based error recovery technique for TMR systems in safety-critical applications (ME, SGM, HA), pp. 298–292.
DATEDATE-2011-EggersglusD #generative #optimisation #pseudo #testing #using
As-Robust-As-Possible test generation in the presence of small delay defects using pseudo-Boolean optimization (SE, RD), pp. 1291–1296.
DATEDATE-2011-FazeliAMAT #estimation #multi
Soft error rate estimation of digital circuits in the presence of Multiple Event Transients (METs) (MF, SNA, SGM, HA, MBT), pp. 70–75.
DATEDATE-2011-GhermanMECB #concurrent #predict #self
Error prediction based on concurrent self-test and reduced slack time (VG, JM, SE, SC, YB), pp. 1626–1631.
DATEDATE-2011-GizopoulosPARHSMBV #architecture #detection #manycore #online
Architectures for online error detection and recovery in multicore processors (DG, MP, SVA, PR, SKSH, DJS, AM, AB, XV), pp. 533–538.
DATEDATE-2011-HashempourDTKHBX #industrial #reduction #testing
Test time reduction in analogue/mixed-signal devices by defect oriented testing: An industrial example (HH, JD, BT, BK, CH, MvB, YX), pp. 371–376.
DATEDATE-2011-HuangHL
Cross-layer optimized placement and routing for FPGA soft error mitigation (KH, YH, XL), pp. 58–63.
DATEDATE-2011-KaraklajicFSV #detection #low cost #using
Low-cost fault detection method for ECC using Montgomery powering ladder (DK, JF, JMS, IV), pp. 1016–1021.
DATEDATE-2011-KochteW #evaluation #satisfiability
SAT-based fault coverage evaluation in the presence of unknown values (MAK, HJW), pp. 1303–1308.
DATEDATE-2011-MaW #detection #low cost #named
LOEDAR: A low cost error detection and recovery scheme for ECC (KM, KW), pp. 1010–1015.
DATEDATE-2011-MedwedM #detection #logic
Arithmetic logic units with high error detection rates to counteract fault attacks (MM, SM), pp. 1644–1649.
DATEDATE-2011-PalframanKL #detection #low cost
Time redundant parity for low-cost transient error detection (DJP, NSK, MHL), pp. 52–57.
DATEDATE-2011-RossiTSM #analysis #memory management #performance #reliability
Error correcting code analysis for cache memory high reliability and performance (DR, NT, MS, CM), pp. 1620–1625.
DATEDATE-2011-ShinG
A new circuit simplification method for error tolerant applications (DS, SKG), pp. 1566–1571.
DATEDATE-2011-YeHL #multi #on the #using
On diagnosis of multiple faults using compacted responses (JY, YH, XL), pp. 679–684.
DATEDATE-2011-YuH #logic
Trigonometric method to handle realistic error probabilities in logic circuits (CCY, JPH), pp. 64–69.
HPCAHPCA-2011-YoonMCRJE #memory management #named
FREE-p: Protecting non-volatile memory against both hard and soft errors (DHY, NM, JC, PR, NPJ, ME), pp. 466–477.
SOSPSOSP-2011-MaoCZWZK #api #multi
Software fault isolation with API integrity and multi-principal modules (YM, HC, DZ, XW, NZ, MFK), pp. 115–128.
SOSPSOSP-2011-YinMZZBP #empirical #open source
An empirical study on configuration errors in commercial and open source systems (ZY, XM, JZ, YZ, LNB, SP), pp. 159–172.
ESOPESOP-2011-Mine #c #embedded #parallel #runtime #source code #static analysis
Static Analysis of Run-Time Errors in Embedded Critical Parallel C Programs (AM), pp. 398–418.
FASEFASE-2011-KessentiniSBW #design #detection #search-based
Search-Based Design Defects Detection by Example (MK, HAS, MB, MW), pp. 401–415.
STOCSTOC-2011-BravermanR #communication #interactive #towards
Towards coding for maximum errors in interactive communication (MB, AR), pp. 159–166.
STOCSTOC-2011-HatamiL #correlation #invariant #testing
Correlation testing for affine invariant properties on Fpn in the high error regime (HH, SL), pp. 187–194.
CAVCAV-2011-JoseM #locality #named #source code
Bug-Assist: Assisting Fault Localization in ANSI-C Programs (MJ, RM), pp. 504–509.
ICSTICST-2011-ShenFZ #effectiveness #named #ranking
EFindBugs: Effective Error Ranking for FindBugs (HS, JF, JZ), pp. 299–308.
ISSTAISSTA-2011-DiGiuseppeJ #locality #multi #on the
On the influence of multiple faults on coverage-based fault localization (ND, JAJ), pp. 210–220.
ISSTAISSTA-2011-LeS #analysis #detection #generative
Generating analyses for detecting faults in path segments (WL, MLS), pp. 320–330.
ISSTAISSTA-2011-Rubio-GonzalezL #interactive #kernel #linux #pointer
Defective error/pointer interactions in the Linux kernel (CRG, BL), pp. 111–121.
ITiCSEITiCSE-2010-Kumar #detection #online #testing
The case for error detection support during online testing (ANK), p. 311.
CSMRCSMR-2010-MendeK #modelling #predict
Effort-Aware Defect Prediction Models (TM, RK), pp. 107–116.
ICSMEICSM-2010-ArnaoudovaEOGA #concept #identifier #metric #physics
Physical and conceptual identifier dispersion: Measures and relation to fault proneness (VA, LME, RO, YGG, GA), pp. 1–5.
ICSMEICSM-2010-FryW #locality
A human study of fault localization accuracy (ZPF, WW), pp. 1–10.
ICSMEICSM-2010-LuciaLJB #evaluation #locality #metric
Comprehensive evaluation of association measures for fault localization (L, DL, LJ, AB), pp. 1–10.
PASTEPASTE-2010-FengG #learning #locality #modelling #probability
Learning universal probabilistic models for fault localization (MF, RG), pp. 81–88.
PASTEPASTE-2010-Rubio-GonzalezL #documentation
Expect the unexpected: error code mismatches between documentation and the real world (CRG, BL), pp. 73–80.
WCREWCRE-2010-SelimBSAHZ
Studying the Impact of Clones on Software Defects (GMKS, LB, WS, BA, AEH, YZ), pp. 13–21.
CIAACIAA-2010-Maletti #performance
Better Hyper-minimization — Not as Fast, But Fewer Errors (AM), pp. 201–210.
FLOPSFLOPS-2010-Sagonas #concurrent #detection #erlang #source code #static analysis #using
Using Static Analysis to Detect Type Errors and Concurrency Defects in Erlang Programs (KFS), pp. 13–18.
LISPILC-2010-Mehnert #detection #type inference #type system
Extending Dylan’s type system for better type inference and error detection (HM), pp. 1–10.
CHICHI-2010-ArifS #cost analysis #predict
Predicting the cost of error correction in character-based text entry technologies (ASA, WS), pp. 5–14.
CHICHI-2010-HartmannMBK #error message #what
What would other programmers do: suggesting solutions to error messages (BH, DM, JB, SRK), pp. 1019–1028.
CHICHI-2010-LankST #documentation #injection #using
Estimating residual error rate in recognized handwritten documents using artificial error injection (EL, RS, MAT), pp. 1–4.
CHICHI-2010-MoffattM #named
Steadied-bubbles: combining techniques to address pen-based pointing errors for younger and older adults (KM, JM), pp. 1125–1134.
CSCWCSCW-2010-Cataldo #collaboration #development #distributed #tool support
Sources of errors in distributed development projects: implications for collaborative tools (MC), pp. 281–290.
SOFTVISSOFTVIS-2010-BottgerBE #visualisation
Fault forest visualization (SB, HB, AE), pp. 219–220.
ICEISICEIS-AIDSS-2010-SilvaCRN #assessment #identification #network
Assessment of the Change in the Number of Neurons in Hidden Layers of Neural Networks for Fault Identification in Electrical Systems (DTdS, PHGC, JAPR, LBN), pp. 309–313.
CIKMCIKM-2010-LiDF
Extending dictionary-based entity extraction to tolerate errors (GL, DD, JF), pp. 1341–1344.
CIKMCIKM-2010-WebberOSH #evaluation
Assessor error in stratified evaluation (WW, DWO, FS, BH), pp. 539–548.
ECIRECIR-2010-JamborW #approach #collaboration
Goal-Driven Collaborative Filtering — A Directional Error Based Approach (TJ, JW), pp. 407–419.
ICPRICPR-2010-ArvanitopoulosBT #linear #subclass #using
Subclass Error Correcting Output Codes Using Fisher’s Linear Discriminant Ratio (NA, DB, AT), pp. 2953–2956.
ICPRICPR-2010-AsheriRPR #adaptation #framework #kernel #process
A Gaussian Process Regression Framework for Spatial Error Concealment with Adaptive Kernels (HA, HRR, NP, MHR), pp. 4541–4544.
ICPRICPR-2010-ChaoTLC #detection
A Generalized Anisotropic Diffusion for Defect Detection in Low-Contrast Surfaces (SMC, DMT, WCL, WYC), pp. 4408–4411.
ICPRICPR-2010-EscaleraMPRP #framework #online
Adding Classes Online in Error Correcting Output Codes Framework (SE, DM, EP, PR, OP), pp. 2945–2948.
ICPRICPR-2010-KalalMM #automation #detection
Forward-Backward Error: Automatic Detection of Tracking Failures (ZK, KM, JM), pp. 2756–2759.
ICPRICPR-2010-LuoN #classification #learning #multi #problem
Employing Decoding of Specific Error Correcting Codes as a New Classification Criterion in Multiclass Learning Problems (YL, KN), pp. 4238–4241.
ICPRICPR-2010-Tabassi #image #metric #performance
Image Specific Error Rate: A Biometric Performance Metric (ET), pp. 1124–1127.
ICPRICPR-2010-TanakaIKM #kernel
A Relationship Between Generalization Error and Training Samples in Kernel Regressors (AT, HI, MK, MM), pp. 1421–1424.
ICPRICPR-2010-TimmM #classification #fourier #image #statistics
Statistical Fourier Descriptors for Defect Image Classification (FT, TM), pp. 4190–4193.
ICPRICPR-2010-WangB #automation #clustering #evaluation #performance
Performance Evaluation of Automatic Feature Discovery Focused within Error Clusters (SYW, HSB), pp. 718–721.
KDDKDD-2010-KumarGM #data mining #health #mining #predict
Data mining to predict and prevent errors in health insurance claims processing (MK, RG, ZSM), pp. 65–74.
KDDKDD-2010-PrengerLVCH #bound #classification
Class-specific error bounds for ensemble classifiers (RJP, TDL, KRV, BYC, WGH), pp. 843–852.
SEKESEKE-2010-AlhassanCB #analysis #network #people #social
Do More People Make the Code More Defect Prone?: Social Network Analysis in OSS Projects (SA, BC, ABB), pp. 93–98.
SEKESEKE-2010-FagundesS #estimation #using
Software Defect Estimation using Support Vector Regression (RAdAF, RMCRdS), pp. 265–268.
SEKESEKE-2010-PaikariRR #case study #comparative #predict #reasoning #using
A Comparative Study of Attribute Weighting Techniques for Software Defect Prediction Using Case-based Reasoning (EP, MMR, GR), pp. 380–386.
SEKESEKE-2010-XuDWG #evaluation #locality
An Evaluation of Tie-Breaking Strategies for Fault Localization Techniques (XX, VD, WEW, DG), pp. 123–128.
SIGIRSIGIR-2010-CarteretteS #evaluation #information retrieval
The effect of assessor error on IR system evaluation (BC, IS), pp. 539–546.
OOPSLAOOPSLA-2010-MercadalECL #approach #architecture #pervasive
A domain-specific approach to architecturing error handling in pervasive computing (JM, QE, CC, NL), pp. 47–61.
ASEASE-2010-HalleEBB #model checking #navigation #runtime #state machine #web
Eliminating navigation errors in web applications via model checking and runtime enforcement of navigation state machines (SH, TE, CB, TB), pp. 235–244.
ASEASE-2010-ManiSDS #automation
Automated support for repairing input-model faults (SM, VSS, PD, SS), pp. 195–204.
ASEASE-2010-NgocO #using
Checking roundoff errors using counterexample-guided narrowing (DTBN, MO), pp. 301–304.
ASEASE-2010-RederE #design #detection #named #uml #visualisation
Model/analyzer: a tool for detecting, visualizing and fixing design errors in UML (AR, AE), pp. 347–348.
FSEFSE-2010-LeS #correlation
Path-based fault correlations (WL, MLS), pp. 307–316.
FSEFSE-2010-Mockus
Organizational volatility and its effects on software defects (AM), pp. 117–126.
ICSEICSE-2010-ArtziDTP #locality #web
Practical fault localization for dynamic web applications (SA, JD, FT, MP), pp. 265–274.
ICSEICSE-2010-Cruz #case study #metric #predict #uml
Exploratory study of a UML metric for fault prediction (AECC), pp. 361–364.
ICSEICSE-2010-KlasEMHG #case study #industrial #metric #predict
Transparent combination of expert and measurement data for defect prediction: an industrial case study (MK, FE, JM, KH, OvG), pp. 119–128.
ICSEICSE-2010-LewisW #monitoring #runtime #using
Runtime repair of software faults using event-driven monitoring (CL, JW), pp. 275–280.
ICSEICSE-2010-ParkVH #concurrent #locality #named #source code
Falcon: fault localization in concurrent programs (SP, RWV, MJH), pp. 245–254.
SACSAC-2010-NetoGBPM #automation #documentation #image #semantics
An automatic linking service of document images reducing the effects of OCR errors with latent semantics (RBN, JACG, AB, JP, AAM), pp. 13–17.
ASPLOSASPLOS-2010-FengGAM #named #probability #reliability #string
Shoestring: probabilistic soft error reliability on the cheap (SF, SG, AA, SAM), pp. 385–396.
ASPLOSASPLOS-2010-YuanMXTZP #named #runtime
SherLog: error diagnosis by connecting clues from run-time logs (DY, HM, WX, LT, YZ, SP), pp. 143–154.
CASECASE-2010-AllenT #consistency #detection #industrial #nondeterminism
Event-based fault detection of manufacturing cell: Data inconsistencies between academic assumptions and industry practice (LVA, DMT), pp. 426–432.
CASECASE-2010-CabasinoGS #petri net #using
Diagnosis using labeled Petri nets: Faults may either be silent or undistinguishable events (MPC, AG, CS), pp. 485–490.
CASECASE-2010-RoutrayRS #clustering #detection #reduction
Data reduction and clustering techniques for fault detection and diagnosis in automotives (AR, AR, SS), pp. 326–331.
DACDAC-2010-ChangHKCW #3d
An error tolerance scheme for 3D CMOS imagers (HMC, JLH, DMK, KT(C, CWW), pp. 917–922.
DACDAC-2010-KochteSWZ #manycore #performance #simulation
Efficient fault simulation on many-core processors (MAK, MS, HJW, CGZ), pp. 380–385.
DACDAC-2010-LishernessC #injection #named
SCEMIT: a systemc error and mutation injection tool (PL, KT(C), pp. 228–233.
DACDAC-2010-XieNXZLWYWL #analysis
Crosstalk noise and bit error rate analysis for optical network-on-chip (YX, MN, JX, WZ, QL, XW, YY, XW, WL), pp. 657–660.
DACDAC-2010-YangLW #complexity #named
ECR: a low complexity generalized error cancellation rewiring scheme (XY, TKL, YLW), pp. 511–516.
DATEDATE-2010-BalatsoukaTKC #power management #testing
Defect aware X-filling for low-power scan testing (SB, VT, XK, KC), pp. 873–878.
DATEDATE-2010-BorodinJ #detection
Instruction precomputation with memoization for fault detection (DB, BHHJ), pp. 1665–1668.
DATEDATE-2010-ChoudhuryCMA10a #named #online
TIMBER: Time borrowing and error relaying for online timing error resilience (MRC, VC, KM, RCA), pp. 1554–1559.
DATEDATE-2010-GupteJ #evaluation #slicing
An evaluation of a slice fault aware tool chain (AG, PHJ), pp. 1803–1808.
DATEDATE-2010-HuangSM #machine learning
Fault diagnosis of analog circuits based on machine learning (KH, HGDS, SM), pp. 1761–1766.
DATEDATE-2010-LeemCBJM #architecture #named #probability
ERSA: Error Resilient System Architecture for probabilistic applications (LL, HC, JB, QAJ, SM), pp. 1560–1565.
DATEDATE-2010-LuckenbillLHMH #algorithm #analysis #logic #named #reliability
RALF: Reliability Analysis for Logic Faults — An exact algorithm and its applications (SBL, JYL, YH, RM, LH), pp. 783–788.
DATEDATE-2010-PanHL #named
IVF: Characterizing the vulnerability of microprocessor structures to intermittent faults (SP, YH, XL), pp. 238–243.
DATEDATE-2010-PascaARLC #3d #communication
Error resilience of intra-die and inter-die communication with 3D spidergon STNoC (VP, LA, CR, RL, MC), pp. 275–278.
DATEDATE-2010-PengYTC #process
High-quality pattern selection for screening small-delay defects considering process variations and crosstalk (KP, MY, MT, KC), pp. 1426–1431.
DATEDATE-2010-Scholzel #detection #performance
HW/SW co-detection of transient and permanent faults with fast recovery in statically scheduled data paths (MS), pp. 723–728.
DATEDATE-2010-ShinG #approximate #logic #synthesis
Approximate logic synthesis for error tolerant applications (DS, SKG), pp. 957–960.
DATEDATE-2010-VadlamaniZBT #adaptation #composition #manycore #using
Multicore soft error rate stabilization using adaptive dual modular redundancy (RV, JZ, WPB, RT), pp. 27–32.
DATEDATE-2010-YeHL #multi
Diagnosis of multiple arbitrary faults with mask and reinforcement effect (JY, YH, XL), pp. 885–890.
LCTESLCTES-2010-ShrivastavaLJ #embedded #equation
Cache vulnerability equations for protecting data in embedded processor caches from soft errors (AS, JL, RJ), pp. 143–152.
PDPPDP-2010-YaghiniEPZ
Investigation of Transient Fault Effects in an Asynchronous NoC Router (PMY, AE, HP, HRZ), pp. 540–545.
PDPPDP-2010-ZarzaLFL #named #network #performance
FT-DRB: A Method for Tolerating Dynamic Faults in High-Speed Interconnection Networks (GZ, DL, DF, EL), pp. 77–84.
PDPPDP-2010-ZarzaLFL10a #concurrent #multi #network
Deadlock Avoidance for Interconnection Networks with Multiple Dynamic Faults (GZ, DL, DF, EL), pp. 276–280.
FASEFASE-2010-BacchelliDL #question
Are Popular Classes More Defect Prone? (AB, MD, ML), pp. 59–73.
STOCSTOC-2010-KoppartyS #linear #random #testing
Local list-decoding and testing of random linear codes from high error (SK, SS), pp. 417–426.
TACASTACAS-2010-ParizekK #component #detection #java #performance #random #using
Efficient Detection of Errors in Java Components Using Random Environment and Restarts (PP, TK), pp. 451–465.
ICSTICST-2010-BelliLBS #detection #sequence #testing
Fault Detection Likelihood of Test Sequence Length (FB, ML, CJB, HAS), pp. 402–411.
ICSTICST-2010-NagahawatteD #effectiveness #testing
The Effectiveness of Regression Testing Techniques in Reducing the Occurrence of Residual Defects (PN, HD), pp. 79–88.
ICSTICST-2010-SyedRW #hardware #question
Does Hardware Configuration and Processor Load Impact Software Fault Observability? (RAS, BR, LAW), pp. 285–294.
ISSTAISSTA-2010-ArtziDTP #effectiveness #generative #locality #testing
Directed test generation for effective fault localization (SA, JD, FT, MP), pp. 49–60.
ISSTAISSTA-2010-BaahPH #locality #statistics
Causal inference for statistical fault localization (GKB, AP, MJH), pp. 73–84.
ISSTAISSTA-2010-DobolyiW #modelling #testing #web
Modeling consumer-perceived web application fault severities for testing (KD, WW), pp. 97–106.
ISSTAISSTA-2010-OstrandW #predict
Software fault prediction tool (TJO, EJW), pp. 275–278.
ICDARICDAR-2009-AbdulkaderC #learning #low cost #multi #using
Low Cost Correction of OCR Errors Using Learning in a Multi-Engine Environment (AA, MRC), pp. 576–580.
ICDARICDAR-2009-ArdizzonePDMN #taxonomy
A Dual Taxonomy for Defects in Digitized Historical Photos (EA, ADP, HD, GM, CN), pp. 1166–1170.
ICDARICDAR-2009-LiuBMG #bound #detection #sequence
Improving the Table Boundary Detection in PDFs by Fixing the Sequence Error of the Sparse Lines (YL, KB, PM, CLG), pp. 1006–1010.
ICDARICDAR-2009-QuiniouCA #recognition #word
Handling Out-of-Vocabulary Words and Recognition Errors Based on Word Linguistic Context for Handwritten Sentence Recognition (SQ, MC, ÉA), pp. 466–470.
ICDARICDAR-2009-WangH #classification #design #modelling #parametricity #precise #using
Design Compact Recognizers of Handwritten Chinese Characters Using Precision Constrained Gaussian Models, Minimum Classification Error Training and Parameter Compression (YW, QH), pp. 36–40.
PODSPODS-2009-BerindeCIS #bound
Space-optimal heavy hitters with strong error bounds (RB, GC, PI, MJS), pp. 157–166.
SIGMODSIGMOD-2009-ChaudhuriK
Extending autocompletion to tolerate errors (SC, RK), pp. 707–718.
VLDBVLDB-2009-MoerkotteNS #bound #estimation
Preventing Bad Plans by Bounding the Impact of Cardinality Estimation Errors (GM, TN, GS), pp. 982–993.
CSMRCSMR-2009-MendeKL #evolution #modelling #predict #scalability
Evaluating Defect Prediction Models for a Large Evolving Software System (TM, RK, ML), pp. 247–250.
CSMRCSMR-2009-NagyM #analysis #security
Static Security Analysis Based on Input-Related Software Faults (CN, SM), pp. 37–46.
CSMRCSMR-2009-SoriaPC #architecture #locality #modelling #tool support #using
Tool Support for Fault Localization Using Architectural Models (AS, JADP, MRC), pp. 59–68.
ICSMEICSM-2009-JeffreyGG #effectiveness #locality #multi #performance #using
Effective and efficient localization of multiple faults using value replacement (DJ, NG, RG), pp. 221–230.
ICSMEICSM-2009-LiGMMMGC #analysis #component #multi #pervasive #scalability
Analysis of pervasive multiple-component defects in a large software system (ZL, MG, SSM, NHM, AVM, DG, EC), pp. 265–273.
ICSMEICSM-2009-PaudelD
Modularizing error recovery (JP, CD), pp. 507–510.
ICSMEICSM-2009-Zhang
An investigation of the relationships between lines of code and defects (HZ), pp. 274–283.
MSRMSR-2009-AyewahP #learning
Learning from defect removals (NA, WP), pp. 179–182.
MSRMSR-2009-EkanayakeTGB #concept #predict #quality #using
Tracking concept drift of software projects using defect prediction quality (JE, JT, HCG, AB), pp. 51–60.
MSRMSR-2009-ShinBOW #predict #question
Does calling structure information improve the accuracy of fault prediction? (YS, RMB, TJO, EJW), pp. 61–70.
WCREWCRE-1999-DAmbrosLR99a #on the
On the Relationship Between Change Coupling and Software Defects (MD, ML, RR), pp. 135–144.
WCREWCRE-1999-KrishnamoorthyDF99a #detection #static analysis
Static Detection of Disassembly Errors (NK, SKD, KF), pp. 259–268.
ICALPICALP-v1-2009-AilonL #clustering #correlation #cost analysis #problem
Correlation Clustering Revisited: The “True” Cost of Error Minimization Problems (NA, EL), pp. 24–36.
ICALPICALP-v1-2009-DurandRS #complexity
High Complexity Tilings with Sparse Errors (BD, AER, AS), pp. 403–414.
FMFM-2009-ReevesS #robust #semantics
A Robust Semantics Hides Fewer Errors (SR, DS), pp. 499–515.
IFMIFM-2009-HasanAT #analysis #array #configuration management #memory management #probability
Formal Probabilistic Analysis of Stuck-at Faults in Reconfigurable Memory Arrays (OH, NA, ST), pp. 277–291.
IFMIFM-2009-MilhauFF #automation #error message #execution #generative #process #symbolic computation
Automatic Generation of Error Messages for the Symbolic Execution of EB3 Process Expressions (JM, BF, MF), pp. 337–351.
SEFMSEFM-2009-NgocO #analysis #model checking
Overflow and Roundoff Error Analysis via Model Checking (DTBN, MO), pp. 105–114.
TLCATLCA-2009-StengerV #haskell #parametricity #semantics
Parametricity for Haskell with Imprecise Error Semantics (FS, JV), pp. 294–308.
CHICHI-2009-HoffmannSB #named
TypeRight: a keyboard with tactile error prevention (AH, DS, JOB), pp. 2265–2268.
HCIDHM-2009-AmantiniC #behaviour #predict #simulation
A Simple Simulation Predicting Driver Behavior, Attitudes and Errors (AA, PCC), pp. 345–354.
HCIDHM-2009-JunLS #approach #simulation
A Simulation Approach to Understand the Viability of RFID Technology in Reducing Medication Dispensing Errors (EJ, JL, XS), pp. 531–539.
HCIDHM-2009-LudtkeWOW #behaviour #modelling #simulation
Modeling Pilot and Driver Behavior for Human Error Simulation (AL, LW, JPO, BW), pp. 403–412.
HCIHCI-VAD-2009-PhillipsG
Developing a Nomenclature for EMR Errors (WP, YG), pp. 587–596.
HCIHIMI-DIE-2009-LeeLKC #detection #enterprise #information management #process #statistics #using
Proactive Fault Detection Schema for Enterprise Information System Using Statistical Process Control (CL, DL, JK, JWC), pp. 113–122.
CAiSECAiSE-2009-Ghazarian #case study
A Case Study of Defect Introduction Mechanisms (AG), pp. 156–170.
CAiSECAiSE-2009-TrckaAS #anti #data flow #workflow
Data-Flow Anti-patterns: Discovering Data-Flow Errors in Workflows (NT, WMPvdA, NS), pp. 425–439.
ICEISICEIS-J-2009-LipariBB #2d #visual notation
Investigation of Error in 2D Vibrotactile Position Cues with Respect to Visual and Haptic Display Properties: A Radial Expansion Model for Improved Cuing (NGL, CWB, VBB), pp. 963–974.
ECIRECIR-2009-LarsonTHR #recognition #semantics #speech
Investigating the Global Semantic Impact of Speech Recognition Error on Spoken Content Collections (ML, MT, JH, MdR), pp. 755–760.
KDDKDD-2009-WangSAL #learning #network
Learning, indexing, and diagnosing network faults (TW, MS, DA, LL), pp. 857–866.
SEKESEKE-2009-AxelssonBFSK #code review #detection #interactive #machine learning #overview #visualisation
Detecting Defects with an Interactive Code Review Tool Based on Visualisation and Machine Learning (SA, DB, RF, DS, DK), pp. 412–417.
SEKESEKE-2009-CellierDFR #data mining #locality #mining #named #process
DeLLIS: A Data Mining Process for Fault Localization (PC, MD, SF, OR), pp. 432–437.
SEKESEKE-2009-KocaguneliTBTC #analysis #metric #named #predict
Prest: An Intelligent Software Metrics Extraction, Analysis and Defect Prediction Tool (EK, AT, ABB, BT, BC), pp. 637–642.
SEKESEKE-2009-RusNSC #algorithm #clustering #graph #using
Clustering of Defect Reports Using Graph Partitioning Algorithms (VR, XN, SGS, YC), pp. 442–445.
OOPSLAOOPSLA-2009-KatsJNV #agile #composition #feedback #parsing
Providing rapid feedback in generated modular language environments: adding error recovery to scannerless generalized-LR parsing (LCLK, MdJ, ENN, EV), pp. 445–464.
PEPMPEPM-2009-BoustaniH #error message #java
Improving type error messages for generic java (NEB, JH), pp. 131–140.
PLDIPLDI-2009-Rubio-GonzalezGLAA #analysis #file system
Error propagation analysis for file systems (CRG, HSG, BL, RHAD, ACAD), pp. 270–280.
RERE-2009-SalinesiRDM #classification #feature model #modelling #product line #towards #verification
Looking for Product Line Feature Models Defects: Towards a Systematic Classification of Verification Criteria (CS, CR, DD, RM), pp. 385–386.
RERE-2009-Weber-JahnkeO #natural language #requirements
Finding Defects in Natural Language Confidentiality Requirements (JHWJ, AO), pp. 213–222.
ASEASE-2009-AbreuZG #locality #multi
Spectrum-Based Multiple Fault Localization (RA, PZ, AJCvG), pp. 88–99.
ASEASE-2009-AliADW #locality
Evaluating the Accuracy of Fault Localization Techniques (SA, JHA, TD, WW), pp. 76–87.
ASEASE-2009-DeanPMW #approach #automation #linear #locality #multi #programming
A Linear Programming Approach for Automated Localization of Multiple Faults (BCD, WBP, BAM, AAW), pp. 640–644.
ASEASE-2009-JanssenAG #automation #locality #named #tool support
Zoltar: A Toolset for Automatic Fault Localization (TJ, RA, AJCvG), pp. 662–664.
ASEASE-2009-WeiglhoferFW #locality #testing #using
Using Spectrum-Based Fault Localization for Test Case Grouping (MW, GF, FW), pp. 630–634.
ESEC-FSEESEC-FSE-2009-ZimmermannNGGM #empirical #predict #process #scalability
Cross-project defect prediction: a large scale experiment on data vs. domain vs. process (TZ, NN, HG, EG, BM), pp. 91–100.
ICSEICSE-2009-ArandaV #debugging #repository
The secret life of bugs: Going past the errors and omissions in software repositories (JA, GV), pp. 298–308.
ICSEICSE-2009-Hassan #complexity #predict #using
Predicting faults using the complexity of code changes (AEH), pp. 78–88.
ICSEICSE-2009-WangCCZ #correctness #locality #refinement
Taming coincidental correctness: Coverage refinement with context patterns to improve fault localization (XW, SCC, WKC, ZZ), pp. 45–55.
SACSAC-2009-AbreuMSG #locality #ranking
Refining spectrum-based fault localization rankings (RA, WM, MS, AJCvG), pp. 409–414.
SACSAC-2009-BerardNT #simulation
Sources of error in a rigid body simulation of rigid parts on a vibrating rigid plate (SB, BN, JCT), pp. 1181–1185.
LDTALDTA-2008-LammelTK09 #programming #source code #traversal
Programming Errors in Traversal Programs Over Structured Data (RL, SJT, MK), pp. 135–153.
SLESLE-2009-JongeNKV #flexibility #parsing
Natural and Flexible Error Recovery for Generated Parsers (MdJ, ENN, LCLK, EV), pp. 204–223.
CASECASE-2009-DotoliFMU #framework #online #petri net
On-line fault diagnosis in a Petri Net framework (MD, MPF, AMM, WU), pp. 42–47.
CASECASE-2009-FranceschelliGS #distributed #network
Decentralized fault diagnosis for sensor networks (MF, AG, CS), pp. 334–339.
CASECASE-2009-LyTBPV #perspective
Fault diagnosis and failure prognosis for engineering systems: A global perspective (CL, KT, CSB, RP, GJV), pp. 108–115.
CASECASE-2009-SenguptaDBMD #detection #modelling #using
Fault detection of Air Intake Systems of SI gasoline engines using mean value and within cycle models (SS, SD, AKB, SM, AKD), pp. 361–366.
CASECASE-2009-SinghKP #markov #multi
A factorial hidden markov model (FHMM)-based reasoner for diagnosing multiple intermittent faults (SS, AK, KRP), pp. 146–151.
DACDAC-2009-ChaoYHLC #metaprogramming #modelling
Fault models for embedded-DRAM macros (MCTC, HYY, RFH, SCL, CYC), pp. 714–719.
DACDAC-2009-PapirlaC #energy
Energy-aware error control coding for Flash memories (VP, CC), pp. 658–663.
DACDAC-2009-ShengXM #algorithm #multi #optimisation #search-based #standard
Soft error optimization of standard cell circuits based on gate sizing and multi-objective genetic algorithm (WS, LX, ZM), pp. 502–507.
DATEDATE-2009-0001BW #case study #network
Error correction in single-hop wireless sensor networks — A case study (DS, MB, NW), pp. 1296–1301.
DATEDATE-2009-AlvesNDB #detection #multi #using
Detecting errors using multi-cycle invariance information (NA, KN, JD, RIB), pp. 791–796.
DATEDATE-2009-ChoudhuryM #logic
Masking timing errors on speed-paths in logic circuits (MRC, KM), pp. 87–92.
DATEDATE-2009-GarciaO #embedded #information management #self
Making DNA self-assembly error-proof: Attaining small growth error rates through embedded information redundancy (SG, AO), pp. 898–901.
DATEDATE-2009-GriessnigMSW #novel #testing
Fault insertion testing of a novel CPLD-based fail-safe system (GG, RM, CS, RW), pp. 214–219.
DATEDATE-2009-HolcombLS #analysis #design
Design as you see FIT: System-level soft error analysis of sequential circuits (DEH, WL, SAS), pp. 785–790.
DATEDATE-2009-KavousianosC #generative #testing
Generation of compact test sets with high defect coverage (XK, KC), pp. 1130–1135.
DATEDATE-2009-KhursheedAH #design #multi #reduction
Test cost reduction for multiple-voltage designs with bridge defects through Gate-Sizing (SSK, BMAH, PH), pp. 1349–1354.
DATEDATE-2009-LadharMB #performance
Efficient and accurate method for intra-gate defect diagnoses in nanometer technology and volume data (AL, MM, LB), pp. 988–993.
DATEDATE-2009-LeeS #static analysis
Static analysis to mitigate soft errors in register files (JL, AS), pp. 1367–1372.
DATEDATE-2009-LeveugleCMV #injection #quantifier #statistics
Statistical fault injection: Quantified error and confidence (RL, AC, PM, PV), pp. 502–506.
DATEDATE-2009-NeyDGPVBG
A new design-for-test technique for SRAM core-cell stability faults (AN, LD, PG, SP, AV, MB, VG), pp. 1344–1348.
DATEDATE-2009-PomeranzR
Selection of a fault model for fault diagnosis based on unique responses (IP, SMR), pp. 994–999.
DATEDATE-2009-Schat #on the
On the relationship between stuck-at fault coverage and transition fault coverage (JS), pp. 1218–1221.
DATEDATE-2009-YanHL #detection #online
A unified online Fault Detection scheme via checking of Stability Violation (GY, YH, XL), pp. 496–501.
DATEDATE-2009-YilmazC #detection
Seed selection in LFSR-reseeding-based test compression for the detection of small-delay defects (MY, KC), pp. 1488–1493.
HPCAHPCA-2009-FuLF #process
Soft error vulnerability aware process variation mitigation (XF, TL, JABF), pp. 93–104.
HPCAHPCA-2009-LiRKHA #architecture #hardware #modelling
Accurate microarchitecture-level fault modeling for studying hardware faults (MLL, PR, URK, SKSH, SVA), pp. 105–116.
LCTESLCTES-2009-LeeS #compilation #optimisation
A compiler optimization to reduce soft errors in register files (JL, AS), pp. 41–49.
SOSPSOSP-2009-CastroCMPADBB #performance
Fast byte-granularity software fault isolation (MC, MC, JPM, MP, PA, AD, PB, RB), pp. 45–58.
SOSPSOSP-2009-ChenGKLR #network
Surviving sensor network software faults (YC, OG, MAK, PL, JR), pp. 235–246.
SOSPSOSP-2009-PerkinsKLABCPSSSWZER #automation
Automatically patching errors in deployed software (JHP, SK, SL, SPA, JB, MC, CP, FS, SS, GS, WFW, YZ, MDE, MCR), pp. 87–102.
CAVCAV-2009-LahiriQR #concurrent #detection #precise #smt #using
Static and Precise Detection of Concurrency Errors in Systems Code Using SMT Solvers (SKL, SQ, ZR), pp. 509–524.
ICSTICST-2009-KaminskiA #detection #logic #testing #using
Using Logic Criterion Feasibility to Reduce Test Set Size While Guaranteeing Fault Detection (GKK, PA), pp. 356–365.
ICSTICST-2009-KaminskiA09a #logic #mutation testing #performance #testing #using
Using a Fault Hierarchy to Improve the Efficiency of DNF Logic Mutation Testing (GKK, PA), pp. 386–395.
ICSTICST-2009-SteimannB #multi
A Simple Coverage-Based Locator for Multiple Faults (FS, MB), pp. 366–375.
ICSTICST-2009-WaliaC #modelling
Evaluating the Effect of the Number of Naturally Occurring Faults on the Estimates Produced by Capture-Recapture Models (GSW, JCC), pp. 210–219.
ICSTICST-2009-WedyanAB #automation #detection #effectiveness #predict #refactoring #static analysis #tool support
The Effectiveness of Automated Static Analysis Tools for Fault Detection and Refactoring Prediction (FW, DA, JMB), pp. 141–150.
ISSTAISSTA-2009-BotaschanjanH #hardware #modelling #orthogonal #specification
Specifying the worst case: orthogonal modeling of hardware errors (JB, BH), pp. 273–284.
ISSTAISSTA-2009-SinhaSGJKH #exception #java #locality #runtime
Fault localization and repair for Java runtime exceptions (SS, HS, CG, SJ, MK, MJH), pp. 153–164.
TAPTAP-2009-RatschanS #hybrid #optimisation #quality
Finding Errors of Hybrid Systems by Optimising an Abstraction-Based Quality Estimate (SR, JGS), pp. 153–168.
FATESTestCom-FATES-2009-El-FakihYF #finite #state machine #testing
Testing Timed Finite State Machines with Guaranteed Fault Coverage (KEF, NY, HF), pp. 66–80.
DRRDRR-2008-Lopresti #impact analysis #recognition
Measuring the impact of character recognition errors on downstream text analysis (DPL), p. 68150.
SIGMODSIGMOD-2008-NavlakhaRS #bound #graph #summary
Graph summarization with bounded error (SN, RR, NS), pp. 419–432.
SIGITESIGITE-2008-Edirisinghe #education #game studies #identification #programming #student #using
Teaching students to identify common programming errors using a game (EMNSE), pp. 95–98.
ICPCICPC-2008-Kothari #comprehension #scalability
Scalable Program Comprehension for Analyzing Complex Defects (SCK), pp. 3–4.
ICSMEICSM-2008-DAmbros #analysis #dependence #evolution
Supporting software evolution analysis with historical dependencies and defect information (MD), pp. 412–415.
ICSMEICSM-2008-MenziesM #assessment #automation
Automated severity assessment of software defect reports (TM, AM), pp. 346–355.
ICSMEICSM-2008-Zhu #analysis
Goal trees and fault trees for root cause analysis (QZ), pp. 436–439.
MSRMSR-2008-RatzingerSG #on the #predict #refactoring
On the relation of refactorings and software defect prediction (JR, TS, HCG), pp. 35–38.
MSRMSR-2008-Zhang #eclipse
An initial study of the growth of eclipse defects (HZ), pp. 141–144.
SCAMSCAM-2008-JiaH #higher-order #mutation testing #testing #using
Constructing Subtle Faults Using Higher Order Mutation Testing (YJ, MH), pp. 249–258.
SCAMSCAM-2008-YangGQW #named #testing
DTS — A Software Defects Testing System (ZHY, YZG, XQ, WYW), pp. 269–270.
WCREWCRE-2008-KpodjedoRGA #evolution #graph
Error Correcting Graph Matching Application to Software Evolution (SK, FR, PG, GA), pp. 289–293.
ICALPICALP-A-2008-BaswanaGSU #constant #distance #graph #polynomial
Distance Oracles for Unweighted Graphs: Breaking the Quadratic Barrier with Constant Additive Error (SB, AG, SS, JU), pp. 609–621.
ICALPICALP-A-2008-RodittyS #sublinear
All-Pairs Shortest Paths with a Sublinear Additive Error (LR, AS), pp. 622–633.
FMFM-2008-BonakdarpourK #bound
Masking Faults While Providing Bounded-Time Phased Recovery (BB, SSK), pp. 374–389.
FMFM-2008-ChalinR #ml #performance #runtime #using
JML Runtime Assertion Checking: Improved Error Reporting and Efficiency Using Strong Validity (PC, FR), pp. 246–261.
SEFMSEFM-2008-BalakrishnanG #named
PED: Proof-Guided Error Diagnosis by Triangulation of Program Error Causes (GB, MKG), pp. 268–278.
IFLIFL-2008-TellerSV #lightweight #ml #performance #polymorphism #type safety
Catch Me If You Can — Looking for Type-Safe, Hierarchical, Lightweight, Polymorphic and Efficient Error Management in OCaml (DT, AS, TV), pp. 249–271.
CHICHI-2008-ClawsonLRIS #automation #type system #using
Automatic whiteout++: correcting mini-QWERTY typing errors using keypress timing (JC, KL, AR, RAI, TS), pp. 573–582.
CHICHI-2008-RatwaniMT #eye tracking #predict #using
Predicting postcompletion errors using eye movements (RMR, JMM, JGT), pp. 539–542.
CHICHI-2008-WobbrockCHM
An error model for pointing based on Fitts’ law (JOW, EC, SH, ISM), pp. 1613–1622.
ICEISICEIS-ISAS2-2008-FahadQN #consistency #nondeterminism #ontology
Ontological Errors — Inconsistency, Incompleteness and Redundancy (MF, MAQ, MWN), pp. 253–285.
ECIRECIR-2008-KritharaARG #classification #documentation
Semi-supervised Document Classification with a Mislabeling Error Model (AK, MRA, JMR, CG), pp. 370–381.
ICMLICML-2008-Corrada-EmmanuelS #estimation #geometry #low level #precise
Autonomous geometric precision error estimation in low-level computer vision tasks (ACE, HJS), pp. 168–175.
ICMLICML-2008-FrancLM
Stopping conditions for exact computation of leave-one-out error in support vector machines (VF, PL, KRM), pp. 328–335.
ICMLICML-2008-ZhangTK #analysis #approximate #rank
Improved Nyström low-rank approximation and error analysis (KZ, IWT, JTK), pp. 1232–1239.
ICPRICPR-2008-PohK #authentication #bound #multimodal #on the #using
On using error bounds to optimize cost-sensitive multimodal biometric authentication (NP, JK), pp. 1–4.
ICPRICPR-2008-RognvaldssonPBS #approach #detection #multi #self
A self-organized approach for unsupervised fault detection in multiple systems (TSR, GP, SB, MS), pp. 1–4.
ICPRICPR-2008-YangB #algorithm #sketching #using
Curve fitting algorithm using iterative error minimization for sketch beautification (JY, HB), pp. 1–4.
RecSysRecSys-2008-DingZYZFB #collaboration #predict #statistics
Boosting collaborative filtering based on statistical prediction errors (SD, SZ, QY, XZ, RF, LDB), pp. 3–10.
SEKESEKE-2008-HittleG #file system #injection #testing #using
Fault Injection Testing of User-space File Systems Using Traditional and Aspect-based Techniques (JH, SG), pp. 873–876.
SEKESEKE-2008-MatalongaG #analysis
Linking Return on Training Investment with Defects Causal Analysis (SM, TSFG), pp. 42–47.
SEKESEKE-2008-RusMS #automation #clustering
Automatic Clustering of Defect Reports (VR, SM, SGS), pp. 291–296.
SEKESEKE-2008-TurhanB #predict
Weighted Static Code Attributes for Software Defect Prediction (BT, ABB), pp. 143–148.
SEKESEKE-2008-XuSW #adaptation #network #predict
An Adaptive Neural Network with Dynamic Structure for Software Defect Prediction (ZX, NS, WW), pp. 79–84.
ECMFAECMDA-FA-2008-RechS #modelling #overview #visual notation
A Survey about the Intent to Use Visual Defect Annotations for Software Models (JR, AS), pp. 406–418.
MODELSMoDELS-2008-NugrohoFC #analysis #empirical #modelling #uml
Empirical Analysis of the Relation between Level of Detail in UML Models and Defect Density (AN, BF, MRVC), pp. 600–614.
SPLCSPLC-2008-WhiteSBTC #automation #feature model #modelling #product line
Automated Diagnosis of Product-Line Configuration Errors in Feature Models (JW, DCS, DB, PT, ARC), pp. 225–234.
MODELSMoDELS-2008-NugrohoFC #analysis #empirical #modelling #uml
Empirical Analysis of the Relation between Level of Detail in UML Models and Defect Density (AN, BF, MRVC), pp. 600–614.
OOPSLAOOPSLA-2008-ArnoldVY #detection #named #performance #runtime
QVM: an efficient runtime for detecting defects in deployed systems (MA, MTV, EY), pp. 143–162.
TOOLSTOOLS-EUROPE-2008-SteimannES #testing #towards
Towards Raising the Failure of Unit Tests to the Level of Compiler-Reported Errors (FS, TEE, MS), pp. 60–79.
SASSAS-2008-ConwayDNB #analysis #pointer #proving
Pointer Analysis, Conditional Soundness, and Proving the Absence of Errors (CLC, DD, KSN, CB), pp. 62–77.
SASSAS-2008-PerryW #control flow #reasoning
Reasoning about Control Flow in the Presence of Transient Faults (FP, DW), pp. 332–346.
RERE-2008-HoWR #performance #problem #requirements
Examining the Relationships between Performance Requirements and “Not a Problem” Defect Reports (CWH, LW, BR), pp. 135–144.
ASEASE-2008-JaspanQA #logic
Error Reporting Logic (CJ, TQ, JA), pp. 138–147.
FSEFSE-2008-BoddenLH #monitoring #programming #runtime
Finding programming errors earlier by evaluating runtime monitors ahead-of-time (EB, PL, LJH), pp. 36–47.
FSEFSE-2008-SamaRWE #adaptation #detection #modelling
Model-based fault detection in context-aware adaptive applications (MS, DSR, ZW, SGE), pp. 261–271.
ICSEICSE-2008-MoserPS #analysis #comparative #metric #performance #predict
A comparative analysis of the efficiency of change metrics and static code attributes for defect prediction (RM, WP, GS), pp. 181–190.
ICSEICSE-2008-WaliaCN #modelling
The effect of the number of inspectors on the defect estimates produced by capture-recapture models (GSW, JCC, NN), pp. 331–340.
ICSEICSE-2008-YilmazPW #locality #using
Time will tell: fault localization using time spectra (CY, AMP, CW), pp. 81–90.
ICSEICSE-2008-YuJH #empirical #locality #reduction #testing
An empirical study of the effects of test-suite reduction on fault localization (YY, JAJ, MJH), pp. 201–210.
ICSEICSE-2008-ZimmermannN #analysis #dependence #graph #network #predict #using
Predicting defects using network analysis on dependency graphs (TZ, NN), pp. 531–540.
SACSAC-2008-AbreuGZG #automation #invariant #locality #using
Automatic software fault localization using generic program invariants (RA, AG, PZ, AJCvG), pp. 712–717.
SACSAC-2008-ChenXN #analysis #detection #specification
A detectability analysis of fault classes for Boolean specifications (ZC, BX, CN), pp. 826–830.
SACSAC-2008-FreryRNN #estimation #network
Error estimation in wireless sensor networks (ACF, HSR, JAN, EFN), pp. 1923–1928.
SACSAC-2008-ShenK #named #uml
ICER: a tool for finding errors in a UML model (WS, DKK), pp. 804–808.
ASPLOSASPLOS-2008-LiRSAAZ #comprehension #design
Understanding the propagation of hard errors to software and implications for resilient system design (MLL, PR, SKS, SVA, VSA, YZ), pp. 265–276.
ASPLOSASPLOS-2008-WellsCS #adaptation #manycore
Adapting to intermittent faults in multicore systems (PMW, KC, GSS), pp. 255–264.
CASECASE-2008-DotoliFM #automation #first-order #hybrid #monitoring #petri net
Fault monitoring of automated manufacturing systems by first order hybrid Petri nets (MD, MPF, AMM), pp. 181–186.
CASECASE-2008-FerrariniBV #approach #automation #case study
A pragmatic approach to fault diagnosis in hydraulic circuits for automated machining: A case study (LF, RB, CV), pp. 29–34.
DACDAC-2008-GulatiK #simulation #towards #using
Towards acceleration of fault simulation using graphics processing units (KG, SPK), pp. 822–827.
DACDAC-2008-KurimotoSAYOTS #detection #optimisation #scalability
Phase-adjustable error detection flip-flops with 2-stage hold driven optimization and slack based grouping scheme for dynamic voltage scaling (MK, HS, RA, TY, HO, HT, HS), pp. 884–889.
DACDAC-2008-YuB #multi #using
Multiple defect diagnosis using no assumptions on failing pattern characteristics (XY, RD(B), pp. 361–366.
DATEDATE-2008-ChoudhuryM #approximate #concurrent #detection #logic
Approximate logic circuits for low overhead, non-intrusive concurrent error detection (MRC, KM), pp. 903–908.
DATEDATE-2008-EngelkePSB #industrial #simulation
Resistive Bridging Fault Simulation of Industrial Circuits (PE, IP, JS, BB), pp. 628–633.
DATEDATE-2008-FrechetteL #algorithm #detection #self
Error Detection/Correction in DNA Algorithmic Self-Assembly (SF, FL), pp. 1079–1082.
DATEDATE-2008-GizopoulosRMS #case study
Soft Errors: System Effects, Protection Techniques and Case Studies (DG, KR, SM, PS).
DATEDATE-2008-LeeNKT #generative
Layout-Aware, IR-Drop Tolerant Transition Fault Pattern Generation (JL, SN, MK, MT), pp. 1172–1177.
DATEDATE-2008-PomeranzR #detection #logic
A Bridging Fault Model Where Undetectable Faults Imply Logic Redundancy (IP, SMR), pp. 1166–1171.
DATEDATE-2008-PomeranzR08a #taxonomy
A Same/Different Fault Dictionary: An Extended Pass/Fail Fault Dictionary with Improved Diagnostic Resolution (IP, SMR), pp. 1474–1479.
DATEDATE-2008-Schat #clustering #process
Fault Clustering in deep-submicron CMOS Processes (JS), pp. 511–514.
DATEDATE-2008-SreedharSK #modelling #on the #testing
On Modeling and Testing of Lithography Related Open Faults in Nano-CMOS Circuits (AS, AS, SK), pp. 616–621.
DATEDATE-2008-VemuJAPG #concurrent #detection #logic #low cost
A low-cost concurrent error detection technique for processor control logic (RV, AJ, JAA, SP, RG), pp. 897–902.
DATEDATE-2008-ZhangHXL #manycore #using
Defect Tolerance in Homogeneous Manycore Processors Using Core-Level Redundancy with Unified Topology (LZ, YH, QX, XL), pp. 891–896.
DATEDATE-2008-ZjajoG #analysis #multi
Diagnostic Analysis of Static Errors in Multi-Step Analog to Digital Converters (AZ, JPdG), pp. 74–79.
PDPPDP-2008-HoferF #classification #grid #multi #taxonomy
A Multi-Perspective Taxonomy for Systematic Classification of Grid Faults (JH, TF), pp. 126–130.
FASEFASE-2008-MohaGMD #algorithm #analysis #design #detection
A Domain Analysis to Specify Design Defects and Generate Detection Algorithms (NM, YGG, AFLM, LD), pp. 276–291.
ICSTICST-2008-El-FakihKPY #finite #state machine
Extended Finite State Machine Based Test Derivation Driven by User Defined Faults (KEF, AK, SP, NY), pp. 308–317.
ICSTICST-2008-StreckerM #detection #testing #user interface
Relationships between Test Suites, Faults, and Fault Detection in GUI Testing (JS, AMM), pp. 12–21.
ICSTICST-2008-WongWQZ #effectiveness #locality #statistics
A Crosstab-based Statistical Method for Effective Fault Localization (WEW, TW, YQ, LZ), pp. 42–51.
ISSTAISSTA-2008-BaahPH #dependence #graph #probability
The probabilistic program dependence graph and its application to fault diagnosis (GKB, AP, MJH), pp. 189–200.
ISSTAISSTA-2008-EdwardsTWVD #automation #identification #named
AFID: an automated fault identification tool (AE, ST, SW, RV, BD), pp. 179–188.
ISSTAISSTA-2008-JeffreyGG #locality #using
Fault localization using value replacement (DJ, NG, RG), pp. 167–178.
ISSTAISSTA-2008-PachecoLB #dot-net #random testing #testing
Finding errors in .net with feedback-directed random testing (CP, SKL, TB), pp. 87–96.
TAPTAP-2008-WeyukerO #predict #question #what
What Can Fault Prediction Do for YOU? (EJW, TJO), pp. 18–29.
CBSECBSE-2007-CortellessaG #approach #component #modelling #reliability
A Modeling Approach to Analyze the Impact of Error Propagation on Reliability of Component-Based Systems (VC, VG), pp. 140–156.
ICDARICDAR-2007-BeaufortM #finite #framework
A Weighted Finite-State Framework for Correcting Errors in Natural Scene OCR (RB, CMT), pp. 889–893.
ICDARICDAR-2007-CaoPNM #bottom-up #robust #segmentation #top-down
Robust Page Segmentation Based on Smearing and Error Correction Unifying Top-down and Bottom-up Approaches (HC, RP, PN, EM), pp. 392–396.
ICDARICDAR-2007-QuiniouA #detection #network #online #recognition #using
Use of a Confusion Network to Detect and Correct Errors in an On-Line Handwritten Sentence Recognition System (SQ, ÉA), pp. 382–386.
ICDARICDAR-2007-RinglstetterRGS #using
Deriving Symbol Dependent Edit Weights for Text Correction_The Use of Error Dictionaries (CR, UR, AG, KUS), pp. 639–643.
ICDARICDAR-2007-WickRL #modelling #topic #using
Context-Sensitive Error Correction: Using Topic Models to Improve OCR (MLW, MGR, EGLM), pp. 1168–1172.
ICDARICDAR-2007-ZhangLS #online #recognition
Minimum Error Discriminative Training for Radical-Based Online Chinese Handwriting Recognition (YZ, PL, FKS), pp. 53–57.
ICPCICPC-2007-ZhangI #analysis
Error Report Driven Post-Mortem Analysis (YZ, SPI), pp. 208–220.
ICSMEICSM-2007-FilhoGR #aspect-oriented
Extracting Error Handling to Aspects: A Cookbook (FCF, AG, CMFR), pp. 134–143.
ICSMEICSM-2007-McMasterM #analysis #detection #probability #reduction #testing
Fault Detection Probability Analysis for Coverage-Based Test Suite Reduction (SM, AMM), pp. 335–344.
MSRMSR-2007-MorisakiMMTM #data analysis #mining
Defect Data Analysis Based on Extended Association Rule Mining (SM, AM, TM, HT, KiM), p. 3.
MSRMSR-2007-Schroter #predict
Predicting Defects and Changes with Import Relations (AS), p. 31.
PASTEPASTE-2007-AyewahPMPZ #static analysis
Evaluating static analysis defect warnings on production software (NA, WP, JDM, JP, YZ), pp. 1–8.
HCIHCI-AS-2007-Furukawa #challenge #effectiveness #injection #question #what
Challenge for Preventing Medication Errors -Learn from Errors- : What Is the Most Effective Label Display to Prevent Medication Error for Injectable Drug ? (HF), pp. 437–442.
HCIHCI-AS-2007-Tsuchiya #metric #order
Medication Errors Caused by Order Entry System and Prevention Measures (FT), pp. 535–543.
HCIHCI-AS-2007-ZhangLL #algorithm #random
A Routing Algorithm for Random Error Tolerance in Network-on-Chip (LZ, HL, XL), pp. 1210–1219.
HCIHCI-MIE-2007-ChenC07a #algorithm #design #feedback
An Improved H.264 Error Concealment Algorithm with User Feedback Design (XC, YYC), pp. 815–820.
HCIHCI-MIE-2007-XuBAM #empirical #recognition #speech
An Empirical Study on Users’ Acceptance of Speech Recognition Errors in Text-Messaging (SX, SB, MA, DM), pp. 232–242.
HCIHIMI-MTT-2007-NishimuraH #approach #case study #data mining #mining #visualisation
The Study of Past Working History Visualization for Supporting Trial and Error Approach in Data Mining (KN, MH), pp. 327–334.
ICMLICML-2007-SunKR #metric #robust
Robust mixtures in the presence of measurement errors (JS, AK, SR), pp. 847–854.
ICMLICML-2007-YamazakiKWSM
Asymptotic Bayesian generalization error when training and test distributions are different (KY, MK, SW, MS, KRM), pp. 1079–1086.
SEKESEKE-2007-WongZQCD #effectiveness #locality #network #using
Effective Fault Localization using BP Neural Networks (WEW, LZ, YQ, KYC, JD), pp. 374–379.
OOPSLAOOPSLA-2007-BondNKGM #null
Tracking bad apples: reporting the origin of null and undefined value errors (MDB, NN, SWK, SZG, KSM), pp. 405–422.
AdaEuropeAdaEurope-2007-LauLCY #detection #on the
On Detecting Double Literal Faults in Boolean Expressions (MFL, YL, TYC, YTY), pp. 55–68.
AdaEuropeAdaEurope-2007-PulidoUZP #ada
Handling Temporal Faults in Ada 2005 (JAP, SU, JZ, JAdlP), pp. 15–28.
PLDIPLDI-2007-DilligDA #consistency #detection #nondeterminism #semantics #using
Static error detection using semantic inconsistency inference (ID, TD, AA), pp. 435–445.
PLDIPLDI-2007-HaRDRRPCW #black box #component
Improved error reporting for software that uses black-box components (JH, CJR, JVD, IR, HER, DEP, DLC, EW), pp. 101–111.
PLDIPLDI-2007-NovarkBZ #automation #memory management #named #probability
Exterminator: automatically correcting memory errors with high probability (GN, EDB, BGZ), pp. 1–11.
PLDIPLDI-2007-ZhangTGG #execution #towards
Towards locating execution omission errors (XZ, ST, NG, RG), pp. 415–424.
PPDPPPDP-2007-Sagonas #detection #erlang #source code #static analysis #using
Detecting defects in Erlang programs using static analysis (KFS), p. 37.
SASSAS-2007-LalKRT
Abstract Error Projection (AL, NK, TWR, TT), pp. 200–217.
ASEASE-2007-Grechanik #component #xml
Finding errors in components that exchange xml data (MG), pp. 264–273.
ASEASE-2007-MohaG #design #detection #named
Decor: a tool for the detection of design defects (NM, YGG), pp. 527–528.
ESEC-FSEESEC-FSE-2007-MizunoK #detection #empirical
Training on errors experiment to detect fault-prone software modules by spam filter (OM, TK), pp. 405–414.
ICSEICSE-2007-ChesleyRRT #java #locality #source code
Crisp- A Fault Localization Tool for Java Programs (OCC, XR, BGR, FT), pp. 775–779.
ICSEICSE-2007-DammL #detection #implementation #metric
Company-Wide Implementation of Metrics for Early Software Fault Detection (LOD, LL), pp. 560–570.
ICSEICSE-2007-KimZWZ #predict
Predicting Faults from Cached History (SK, TZ, EJWJ, AZ), pp. 489–498.
ICSEICSE-2007-RunesonAN #detection #natural language #using
Detection of Duplicate Defect Reports Using Natural Language Processing (PR, MA, ON), pp. 499–510.
CASECASE-2007-Alcaraz-MejiaLR #configuration management
Redundancy Based Controller Reconfiguration for Fault Recovery of Manufacturing Systems (MAM, ELM, ART), pp. 128–133.
CASECASE-2007-AlenljungSLA #detection #implementation #process
PLC-based Implementation of Process Observation and Fault Detection for Discrete Event Systems (TA, MS, BL, ), pp. 207–212.
CASECASE-2007-BasileCT #online #using
Improving on-line fault diagnosis for discrete event systems using time (FB, PC, GDT), pp. 26–32.
CASECASE-2007-ChalfounBKP #matrix #using
Calibration Using Generalized Error Matrices of a Long Reach Articulated Carrier (JC, CB, DK, YP), pp. 777–782.
CASECASE-2007-MoralesGM #effectiveness #network #petri net
Diagnosis and Treatment of Faults in Productive Systems based on Bayesian Networks and Petri Net (RAGM, JIG, PEM), pp. 357–362.
CASECASE-2007-XiongX #multi #on the
On the Error Elimination for Multi-Axis CNC Machining (CX, YLX), pp. 412–417.
CGOCGO-2007-WangKWY #detection #multi #thread
Compiler-Managed Software-based Redundant Multi-Threading for Transient Fault Detection (CW, HSK, YW, VY), pp. 244–258.
DACDAC-2007-AhmedTJ #design #generative
Transition Delay Fault Test Pattern Generation Considering Supply Voltage Noise in a SOC Design (NA, MT, VJ), pp. 533–538.
DACDAC-2007-XuZC #architecture #optimisation
SOC Test Architecture Optimization for Signal Integrity Faults on Core-External Interconnects (QX, YZ, KC), pp. 676–681.
DATEDATE-2007-FavalliM #detection #interactive
Interactive presentation: Pulse propagation for the detection of small delay defects (MF, CM), pp. 1295–1300.
DATEDATE-2007-FengZTC #design #metric #validation
Clock domain crossing fault model and coverage metric for validation of SoC design (YF, ZZ, DT, XC), pp. 1385–1390.
DATEDATE-2007-GaneshpureK #automation #generative #interactive #multi
Interactive presentation: Automatic test pattern generation for maximal circuit noise in multiple aggressor crosstalk faults (KPG, SK), pp. 540–545.
DATEDATE-2007-GillPW #interactive #power management #symmetry
Interactive presentation: A new asymmetric SRAM cell to reduce soft errors and leakage power in FPGA (BSG, CAP, FGW), pp. 1460–1465.
DATEDATE-2007-HashempourL #detection #modelling
Circuit-level modeling and detection of metallic carbon nanotube defects in carbon nanotube FETs (HH, FL), pp. 841–846.
DATEDATE-2007-HsiehLB #detection #reduction
Reduction of detected acceptable faults for yield improvement via error-tolerance (TYH, KJL, MAB), pp. 1599–1604.
DATEDATE-2007-HungIGS
Utilization of SECDED for soft error and variation-induced defect tolerance in caches (LDH, HI, MG, SS), pp. 1134–1139.
DATEDATE-2007-JangKL #profiling #reduction #self
Error rate reduction in DNA self-assembly by non-constant monomer concentrations and profiling (BJ, YBK, FL), pp. 847–852.
DATEDATE-2007-LeveugleAMTMMFRT #evaluation #modelling
Experimental evaluation of protections against laser-induced faults and consequences on fault modeling (RL, AA, VM, ET, PM, CM, NF, JBR, AT), pp. 1587–1592.
DATEDATE-2007-MathaikuttySKLD #design #generative #testing #validation
Design fault directed test generation for microprocessor validation (DM, SKS, SVK, DJL, AD), pp. 761–766.
DATEDATE-2007-MehraraASCBA #low cost
Low-cost protection for SER upsets and silicon defects (MM, MA, SS, KC, VB, TMA), pp. 1146–1151.
DATEDATE-2007-Miskov-ZivanovM #analysis
Soft error rate analysis for sequential circuits (NMZ, DM), pp. 1436–1441.
DATEDATE-2007-NarayanasamyCC #predict
Transient fault prediction based on anomalies in processor events (SN, AKC, BC), pp. 1140–1145.
DATEDATE-2007-NeyGLPVB #analysis
Slow write driver faults in 65nm SRAM technology: analysis and March test solution (AN, PG, CL, SP, AV, MB), pp. 528–533.
DATEDATE-2007-PanC #analysis #component #framework #quality #reliability
A framework for system reliability analysis considering both system error tolerance and component test quality (SJ(RP, KTC), pp. 1581–1586.
DATEDATE-2007-SeshiaLM
Verification-guided soft error resilience (SAS, WL, SM), pp. 1442–1447.
DATEDATE-2007-WangY #synthesis #testing
High-level test synthesis for delay fault testability (SJW, THY), pp. 45–50.
HPCAHPCA-2007-MeixnerS #detection #online
Error Detection via Online Checking of Cache Coherence with Token Coherence Signatures (AM, DJS), pp. 145–156.
HPCAHPCA-2007-RacunasCMM
Perturbation-based Fault Screening (PR, KC, SM, SSM), pp. 169–180.
LCTESLCTES-2007-HuangCTC #automation #locality #statistics
Automated fault localization with statistically suspicious program states (TYH, PCC, CHT, HAC), pp. 11–20.
PPoPPPPoPP-2007-MuhlenfeldW #c++ #concurrent #detection #multi #thread
Fault detection in multi-threaded c++ server applications (AM, FW), pp. 142–143.
SOSPSOSP-2007-VandiverBLM #commit #scheduling #transaction #using
Tolerating byzantine faults in transaction processing systems using commit barrier scheduling (BV, HB, BL, SM), pp. 59–72.
FASEFASE-2007-RatzingerPG #evolution #named #predict
EQ-Mine: Predicting Short-Term Defects for Software Evolution (JR, MP, HCG), pp. 12–26.
STOCSTOC-2007-Kenyon-MathieuS #how #rank
How to rank with few errors (CKM, WS), pp. 95–103.
ICLPICLP-2007-PietrzakH #automation #logic programming #source code
Automatic Binding-Related Error Diagnosis in Logic Programs (PP, MVH), pp. 333–347.
ISSTAISSTA-2007-RenR #heuristic #java #locality #ranking
Heuristic ranking of java program edits for fault localization (XR, BGR), pp. 239–249.
ISSTAISSTA-2007-TombBV #detection #interprocedural #program analysis #runtime
Variably interprocedural program analysis for runtime error detection (AT, GPB, WV), pp. 97–107.
ICSTSAT-2007-StaberB #locality
Fault Localization and Correction with QBF (SS, RB), pp. 355–368.
TAPTAP-2007-Gargantini #detection #model checking #testing #using
Using Model Checking to Generate Fault Detecting Tests (AG), pp. 189–206.
FATESTestCom-FATES-2007-EldhPHJ #case study #component #middleware #testing
Component Testing Is Not Enough — A Study of Software Faults in Telecom Middleware (SE, SP, HH, PJ), pp. 74–89.
FATESTestCom-FATES-2007-UralX #approach #detection
An EFSM-Based Passive Fault Detection Approach (HU, ZX), pp. 335–350.
TPDLECDL-2006-BermanDB #library #music
Beyond Error Tolerance: Finding Thematic Similarities in Music Digital Libraries (TB, JSD, BB), pp. 463–466.
ICSMEICSM-2006-NeedhamJ #metric
A Software Fault Tree Metric (DN, SJ), pp. 401–410.
ICSMEICSM-2006-NgoT #maintenance
A Method to Aid Recovery and Maintenance of the Input Error Correction Features (MNN, HBKT), pp. 360–369.
ICSMEICSM-2006-TomaszewskiGL #predict
A Method for an Accurate Early Prediction of Faults in Modified Classes (PT, HG, LL), pp. 487–496.
MSRMSR-2006-KnabPB #predict #source code
Predicting defect densities in source code files with decision tree learners (PK, MP, AB), pp. 119–125.
MSRMSR-2006-SpaccoHP
Tracking defect warnings across versions (JS, DH, WP), pp. 133–136.
ICALPICALP-v1-2006-FinocchiGI #memory management #sorting
Optimal Resilient Sorting and Searching in the Presence of Memory Faults (IF, FG, GFI), pp. 286–298.
IFLIFL-2006-HageH #heuristic
Heuristics for Type Error Discovery and Recovery (JH, BH), pp. 199–216.
CHICHI-2006-BurkeAI
Error correction of voicemail transcripts in SCANMail (MB, BA, PLI), pp. 339–348.
CHICHI-2006-GongT #evaluation #metric
A new error metric for text entry method evaluation (JG, PT), pp. 471–474.
ICPRICPR-v1-2006-AdachiKO #estimation #reliability
Reliability index of optical flow that considers error margin of matches and stabilizes camera movement estimation (EA, TK, NO), pp. 699–702.
ICPRICPR-v1-2006-Amano #correlation #detection #image
Correlation Based Image Defect Detection (TA), pp. 163–166.
ICPRICPR-v1-2006-AnwarF #robust #towards
Towards Robust Voxel-Coloring: Handling Camera Calibration Errors and Partial Emptiness of Surface Voxels (ZA, FPF), pp. 98–102.
ICPRICPR-v1-2006-BriassouliA #estimation #fourier #segmentation
Spatial and Fourier Error Minimization for Motion Estimation and Segmentation (AB, NA), pp. 94–97.
ICPRICPR-v1-2006-ChaoTTJ #detection #using
Defect detection in low-contrast glass substrates using anisotropic diffusion (SMC, DMT, YHT, YRJ), pp. 654–657.
ICPRICPR-v1-2006-GayuboGFMP #detection #online #process
On-line machine vision system for detect split defects in sheet-metal forming processes (FG, JLG, EdlFL, FMT, JRP), pp. 723–726.
ICPRICPR-v1-2006-Lhuillier #effectiveness #using
Effective and Generic Structure from Motion using Angular Error (ML), pp. 67–70.
ICPRICPR-v2-2006-PriorW #parametricity #probability #random #using
Parameter Tuning using the Out-of-Bootstrap Generalisation Error Estimate for Stochastic Discrimination and Random Forests (MP, TW), pp. 498–501.
ICPRICPR-v2-2006-SaragihG #bound
Iterative Error Bound Minimisation for AAM Alignment (JS, RG), pp. 1192–1195.
ICPRICPR-v2-2006-TakiguchiOM #case study #comprehension #recognition
A Study on Character Recognition Error Correction at Higher Level Recognition Step for Mathematical Formulae Understanding (YT, MO, YM), pp. 966–969.
ICPRICPR-v2-2006-TsaiTCY #analysis #component #design #detection #image #independence
Independent component analysis based filter design for defect detection in low-contrast textured images (DMT, YHT, SMC, CHY), pp. 231–234.
ICPRICPR-v3-2006-ChanL #algorithm #approximate #multi #performance
Multiplierless Fast DCT Algorithms with Minimal Approximation Errors (RKWC, MCL), pp. 921–925.
ICPRICPR-v4-2006-ChenJY06a #detection #distributed
Fault Detection in Distributed Systems by Representative Subspace Mapping (HC, GJ, KY), pp. 912–915.
ICPRICPR-v4-2006-EscaleraPR
Forest Extension of Error Correcting Output Codes and Boosted Landmarks (SE, OP, PR), pp. 104–107.
KDDKDD-2006-Forman #classification #roadmap
Quantifying trends accurately despite classifier error and class imbalance (GF), pp. 157–166.
SEKESEKE-2006-HaiderC #estimation
Bayesian Estimation of Defects based on Defect Decay Model: BayesED3M (SWH, JWC), pp. 256–261.
SEKESEKE-2006-HewettKSA #predict #testing
Software Defect Data and Predictability for Testing Schedules (RH, AK, CS, AAA), pp. 499–504.
SIGIRSIGIR-2006-SteinAF #classification
The effect of OCR errors on stylistic text classification (SSS, SA, OF), pp. 701–702.
AdaEuropeAdaEurope-2006-BreuerP #kernel #linux #source code #static analysis
One Million (LOC) and Counting: Static Analysis for Errors and Vulnerabilities in the Linux Kernel Source Code (PTB, SP), pp. 56–70.
ASEASE-2006-MohaGL #algorithm #automation #design #detection #generative
Automatic Generation of Detection Algorithms for Design Defects (NM, YGG, PL), pp. 297–300.
FSEFSE-2006-DwyerPE #detection
Controlling factors in evaluating path-sensitive error detection techniques (MBD, SP, SGE), pp. 92–104.
FSEFSE-2006-LiuH #approach #proximity
Failure proximity: a fault localization-based approach (CL, JH), pp. 46–56.
ICSEICSE-2006-BaudryFT #locality #performance #testing
Improving test suites for efficient fault localization (BB, FF, YLT), pp. 82–91.
ICSEICSE-2006-BruntinkDT #exception
Discovering faults in idiom-based exception handling (MB, AvD, TT), pp. 242–251.
ICSEICSE-2006-Hao #interactive #locality #testing
Testing-based interactive fault localization (DH), pp. 957–960.
ICSEICSE-2006-LangeC #modelling #uml
Effects of defects in UML models: an experimental investigation (CFJL, MRVC), pp. 401–411.
ICSEICSE-2006-LiHSR #case study #experience #predict
Experiences and results from initiating field defect prediction and product test prioritization efforts at ABB Inc (PLL, JDH, MS, BR), pp. 413–422.
ICSEICSE-2006-ZhangGG #automation
Locating faults through automated predicate switching (XZ, NG, RG), pp. 272–281.
SACSAC-2006-ChenJH #detection #generative #multi #sequence #testing
A new method of generating synchronizable test sequences that detect output-shifting faults based on multiple UIO sequences (KC, FJ, CdH), pp. 1791–1797.
SACSAC-2006-ChenJUY #detection #distributed #monitoring
Combining supervised and unsupervised monitoring for fault detection in distributed computing systems (HC, GJ, CU, KY), pp. 705–709.
ASPLOSASPLOS-2006-PatwardhanJDL #architecture #self
A defect tolerant self-organizing nanoscale SIMD architecture (JPP, VJ, CD, ARL), pp. 241–251.
ASPLOSASPLOS-2006-ShyamCPBA #low cost #pipes and filters
Ultra low-cost defect protection for microprocessor pipelines (SS, KC, SP, VB, TMA), pp. 73–82.
CASECASE-2006-LiZY #development #integration #probability #research
Research on Computational Method of Fault Probability for New Product Development Based on Intelligence and Integration (FL, LZ, YY), pp. 319–324.
CASECASE-2006-RenWJXY #analysis
A Methodology to Model Human and Organisational Errors on Offshore Risk Analysis (JR, JW, IJ, DLX, JBY), pp. 144–149.
CASECASE-2006-ZhouYZJDP #design #network
Design of Dynamic Systems Based on Dynamic Fault Trees and Neural Networks (ZZ, ZY, JZ, GJ, DD, ZP), pp. 124–128.
CCCC-2006-GuoRW #execution #locality
Accurately Choosing Execution Runs for Software Fault Localization (LG, AR, TW), pp. 80–95.
CGOCGO-2006-BorinWWA #control flow #detection
Software-Based Transparent and Comprehensive Control-Flow Error Detection (EB, CW, YW, GA), pp. 333–345.
DACDAC-2006-AhmedTJ
Timing-based delay test for screening small delay defects (NA, MT, VJ), pp. 320–325.
DACDAC-2006-Miskov-ZivanovM #modelling #named #reduction
MARS-C: modeling and reduction of soft errors in combinational circuits (NMZ, DM), pp. 767–772.
DACDAC-2006-VrankenGGSH #detection
Fault detection and diagnosis with parity trees for space compaction of test responses (HPEV, SKG, AG, JS, FH), pp. 1095–1098.
DATEDATE-2006-Al-ArsHG #modelling #testing
Space of DRAM fault models and corresponding testing (ZAA, SH, AJvdG), pp. 1252–1257.
DATEDATE-2006-AlmukhaizimM #concurrent #detection
Berger code-based concurrent error detection in asynchronous burst-mode machines (SA, YM), pp. 71–72.
DATEDATE-2006-BensoBCNP #automation #testing
Automatic march tests generations for static linked faults in SRAMs (AB, AB, SDC, GDN, PP), pp. 1258–1263.
DATEDATE-2006-GillPW #analysis #logic
Soft delay error analysis in logic circuits (BSG, CAP, FGW), pp. 47–52.
DATEDATE-2006-HuangG
Diagnosis of defects on scan enable and clock trees (YH, KG), pp. 436–437.
DATEDATE-2006-HuangML
Defect tolerance of QCA tiles (JH, MM, FL), pp. 774–779.
DATEDATE-2006-Kim
Area-efficient error protection for caches (SK), pp. 1282–1287.
DATEDATE-2006-KranitisMLTPGH #embedded #pipes and filters #testing
Optimal periodic testing of intermittent faults in embedded pipelined processor applications (NK, AM, NL, GT, AMP, DG, CH), pp. 65–70.
DATEDATE-2006-KrautzP0TWV #detection #formal method #logic #using
Evaluating coverage of error detection logic for soft errors using formal methods (UK, MP, CJ, HWT, KW, HTV), pp. 176–181.
DATEDATE-2006-KrusemanH #detection #on the
On test conditions for the detection of open defects (BK, MH), pp. 896–901.
DATEDATE-2006-NelsonZDBPMB
Extraction of defect density and size distributions from wafer sort test results (JEN, TZ, RD, JGB, NP, WM, RD(B), pp. 913–918.
DATEDATE-2006-NepalBMPZ #design #memory management
Designing MRF based error correcting circuits for memory elements (KN, RIB, JLM, WRP, AZ), pp. 792–793.
DATEDATE-2006-OmanaCRM #detection #low cost #reliability
Low-cost and highly reliable detector for transient and crosstalk faults affecting FPGA interconnects (MO, JMC, DR, CM), pp. 170–175.
DATEDATE-2006-PomeranzR #detection #generative #testing
Generation of broadside transition fault test sets that detect four-way bridging faults (IP, SMR), pp. 907–912.
DATEDATE-2006-PomeranzR06a
Test compaction for transition faults under transparent-scan (IP, SMR), pp. 1264–1269.
DATEDATE-2006-RaoCBS #algorithm #performance
An efficient static algorithm for computing the soft error rates of combinational circuits (RRR, KC, DB, DS), pp. 164–169.
DATEDATE-2006-RosselloS #identification
A compact model to identify delay faults due to crosstalk (JLR, JS), pp. 902–906.
DATEDATE-2006-SutharD #detection #multi #online #performance #testing
Efficient on-line interconnect testing in FPGAs with provable detectability for multiple faults (VS, SD), pp. 1165–1170.
DATEDATE-2006-WangCG #formal method #probability #testing #using
Test set enrichment using a probabilistic fault model and the theory of output deviations (ZW, KC, MG), pp. 1270–1275.
DATEDATE-2006-YangC
Timing-reasoning-based delay fault diagnosis (KY, KTC), pp. 418–423.
HPCAHPCA-2006-KumarA #concurrent #detection #performance
Reducing resource redundancy for concurrent error detection techniques in high performance microprocessors (SK, AA), pp. 212–221.
HPDCHPDC-2006-DuanPF #data mining #detection #grid #predict
Data Mining-based Fault Prediction and Detection on the Grid (RD, RP, TF), pp. 305–308.
OSDIOSDI-2006-YangSE #lightweight #named
EXPLODE: A Lightweight, General System for Finding Serious Storage System Errors (JY, CS, DRE), pp. 131–146.
PPoPPPPoPP-2006-WangS #concurrent #detection #performance #runtime #source code
Accurate and efficient runtime detection of atomicity errors in concurrent programs (LW, SDS), pp. 137–146.
FASEFASE-2006-AichernigD #concurrent #testing
From Faults Via Test Purposes to Test Cases: On the Fault-Based Testing of Concurrent Systems (BKA, CCD), pp. 324–338.
STOCSTOC-2006-MoshkovitzR
Sub-constant error low degree test of almost-linear size (DM, RR), pp. 21–30.
TACASTACAS-2006-GuptaJ #comparison #control flow #effectiveness #testing
An Experimental Comparison of the Effectiveness of Control Flow Based Testing Approaches on Seeded Faults (AG, PJ), pp. 365–378.
AMOSTA-MOST-J-2005-Paradkar06 #case study #detection #effectiveness #generative #modelling #testing
A quest for appropriate software fault models: Case studies on fault detection effectiveness of model-based test generation techniques (AMP), pp. 949–959.
VMCAIVMCAI-2006-Younes #model checking #probability
Error Control for Probabilistic Model Checking (HLSY), pp. 142–156.
DRRDRR-2005-GarrisonDAS #database
Study of style effects on OCR errors in the MEDLINE database (PG, DLD, TLA, EHBS), pp. 28–36.
ICDARICDAR-2005-RinglstetterSML
The Same is Not The same — Post Correction of Alphabet Confusion Errors in Mixed-Alphabet OCR Recognation (CR, KUS, SM, KL), pp. 406–410.
ICDARICDAR-2005-ZhouS #approach #recognition #using
Unconstrained Numeral Pair Recognition Using Enhanced Error Correcting Output Coding: A Holistic Approach (JZ, CYS), pp. 484–488.
ICSMEICSM-2005-DoR #empirical #testing
A Controlled Experiment Assessing Test Case Prioritization Techniques via Mutation Faults (HD, GR), pp. 411–420.
ICSMEICSM-2005-HaoZZMS #case study #locality #reduction #testing #using
Eliminating Harmful Redundancy for Testing-Based Fault Localization Using Test Suite Reduction: An Experimental Study (DH, LZ, HZ, HM, JS), pp. 683–686.
ICSMEICSM-2005-HassanH #predict
The Top Ten List: Dynamic Fault Prediction (AEH, RCH), pp. 263–272.
MSRMSR-2005-GorgW #detection #re-engineering #refactoring
Error detection by refactoring reconstruction (CG, PW), pp. 86–90.
FMFM-2005-QiuWPZ #semantics
Semantics of BPEL4WS-Like Fault and Compensation Handling (ZQ, SW, GP, XZ), pp. 350–365.
ICMLICML-2005-BeygelzimerDHLZ #classification #reduction
Error limiting reductions between classification tasks (AB, VD, TPH, JL, BZ), pp. 49–56.
ICMLICML-2005-JoachimsH #bound #clustering #correlation
Error bounds for correlation clustering (TJ, JEH), pp. 385–392.
ICMLICML-2005-KaariainenL #bound #comparison
A comparison of tight generalization error bounds (MK, JL), pp. 409–416.
KDDKDD-2005-GuhaH #data type
Wavelet synopsis for data streams: minimizing non-euclidean error (SG, BH), pp. 88–97.
KDDKDD-2005-KoppelSZ #mining
Determining an author’s native language by mining a text for errors (MK, JS, KZ), pp. 624–628.
KDDKDD-2005-Torgo
Regression error characteristic surfaces (LT), pp. 697–702.
SIGIRSIGIR-2005-LinH #retrieval #set #topic
Revisiting the effect of topic set size on retrieval error (WHL, AGH), pp. 637–638.
ECOOPECOOP-2005-DallmeierLZ #java #lightweight #locality
Lightweight Defect Localization for Java (VD, CL, AZ), pp. 528–550.
OOPSLAOOPSLA-2005-MartinLL #query #security #using
Finding application errors and security flaws using PQL: a program query language (MCM, VBL, MSL), pp. 365–383.
PLDIPLDI-2005-AyersSMARW #control flow #distributed #named #re-engineering
TraceBack: first fault diagnosis by reconstruction of distributed control flow (AA, RS, CM, AA, JR, EW), pp. 201–212.
POPLPOPL-2005-XieA #detection #satisfiability #scalability #using
Scalable error detection using boolean satisfiability (YX, AA), pp. 351–363.
RERE-2005-WassonSLK #requirements #using
Using Occurrence Properties of Defect Report Data to Improve Requirements (KSW, KNS, RRL, JCK), pp. 253–262.
ASEASE-2005-HaoPZZMS #approach #locality #testing
A similarity-aware approach to testing based fault localization (DH, YP, LZ, WZ, HM, JS), pp. 291–294.
ASEASE-2005-WangR #automation #generative #locality
Automated path generation for software fault localization (TW, AR), pp. 347–351.
ESEC-FSEESEC-FSE-2005-LivshitsZ #mining #named
DynaMine: finding common error patterns by mining software revision histories (VBL, TZ), pp. 296–305.
ESEC-FSEESEC-FSE-2005-Sherriff #validation #verification
Utilizing verification and validation certificates to estimate software defect density (MS), pp. 381–384.
ICSEICSE-2005-NagappanB #metric #predict #using
Use of relative code churn measures to predict system defect density (NN, TB), pp. 284–292.
ICSEICSE-2005-NagappanB05a #static analysis #tool support
Static analysis tools as early indicators of pre-release defect density (NN, TB), pp. 580–586.
ICSEICSE-2005-RuthruffBR #empirical #locality
An empirical study of fault localization for end-user programmers (JRR, MMB, GR), pp. 352–361.
SACSAC-2005-MartinB
Estimating manifold dimension by inversion error (SM, AB), pp. 22–26.
CASECASE-2005-ChangLJ #detection #network #using
Using a two-layer competitive Hopfield neural network for semiconductor wafer defect detection (CYC, SYL, MJ), pp. 301–306.
DACDAC-2005-DililloGPVB #analysis #comparison #injection
Resistive-open defect injection in SRAM core-cell: analysis and comparison between 0.13 µm and 90 nm technologies (LD, PG, SP, AV, MB), pp. 857–862.
DACDAC-2005-ManolacheEP #communication #energy #latency
Fault and energy-aware communication mapping with guaranteed latency for applications implemented on NoC (SM, PE, ZP), pp. 266–269.
DACDAC-2005-MitraKSZ #challenge #design #logic
Logic soft errors in sub-65nm technologies design and CAD challenges (SM, TK, NS, MZ), pp. 2–4.
DACDAC-2005-MonnetRL #evaluation
Asynchronous circuits transient faults sensitivity evaluation (YM, MR, RL), pp. 863–868.
DATEDATE-2005-Al-ArsHMG #analysis #framework #generative #testing
Framework for Fault Analysis and Test Generation in DRAMs (ZAA, SH, GM, AJvdG), pp. 1020–1021.
DATEDATE-2005-AlmukhaizimM #concurrent #detection
Concurrent Error Detection in Asynchronous Burst-Mode Controllers (SA, YM), pp. 1272–1277.
DATEDATE-2005-CarterOS #concurrent #modelling #testing
Circuit-Level Modeling for Concurrent Testing of Operational Defects due to Gate Oxide Breakdown (JRC, SO, DJS), pp. 300–305.
DATEDATE-2005-ChandrasekarH #generative #incremental #integration #learning #performance #satisfiability #testing
Integration of Learning Techniques into Incremental Satisfiability for Efficient Path-Delay Fault Test Generation (KC, MSH), pp. 1002–1007.
DATEDATE-2005-HuLDKVI #detection
Compiler-Directed Instruction Duplication for Soft Error Detection (JSH, FL, VD, MTK, NV, MJI), pp. 1056–1057.
DATEDATE-2005-KaulSBMA #design
DVS for On-Chip Bus Designs Based on Timing Error Correction (HK, DS, DB, TNM, TMA), pp. 80–85.
DATEDATE-2005-KumarTCJ
Implicit and Exact Path Delay Fault Grading in Sequential Circuits (MMVK, ST, SC, RJ), pp. 990–995.
DATEDATE-2005-Lopez-OngilGPE #performance
Techniques for Fast Transient Fault Grading Based on Autonomous Emulation (CLO, MGV, MPG, LEA), pp. 308–309.
DATEDATE-2005-MemikKO
Increasing Register File Immunity to Transient Errors (GM, MTK, ÖÖ), pp. 586–591.
DATEDATE-2005-PomeranzR05a #detection #heuristic
The Accidental Detection Index as a Fault Ordering Heuristic for Full-Scan Circuits (IP, SMR), pp. 1008–1013.
DATEDATE-2005-SandireddyA #detection #multi
Diagnostic and Detection Fault Collapsing for Multiple Output Circuits (RKKRS, VDA), pp. 1014–1019.
DATEDATE-2005-SavioliCCF #approach
Fault-Trajectory Approach for Fault Diagnosis on Analog Circuits (CES, CCC, JVC, ACdMF), pp. 174–175.
DATEDATE-2005-SchianoOLPS #analysis #on the #reliability
On the Analysis of Reed Solomon Coding for Resilience to Transient/Permanent Faults in Highly Reliable Memories (LS, MO, FL, SP, AS), pp. 580–585.
DATEDATE-2005-TangCRWRP
Defect Aware Test Patterns (HT, GC, SMR, CW, JR, IP), pp. 450–455.
DATEDATE-2005-YangVTV #automation #debugging #design #modelling #power management
Extraction Error Modeling and Automated Model Debugging in High-Performance Low Power Custom Designs (YSY, AGV, PJT, SV), pp. 996–1001.
HPCAHPCA-2005-MukherjeeER #architecture #perspective #problem
The Soft Error Problem: An Architectural Perspective (SSM, JSE, SKR), pp. 243–247.
FoSSaCSFoSSaCS-2005-BouyerCD #automaton #using
Fault Diagnosis Using Timed Automata (PB, FC, DD), pp. 219–233.
STOCSTOC-2005-DodisS
Correcting errors without leaking partial information (YD, AS), pp. 654–663.
STOCSTOC-2005-Regev #encryption #learning #linear #on the #random
On lattices, learning with errors, random linear codes, and cryptography (OR), pp. 84–93.
TACASTACAS-2005-WeimerN #detection #mining #specification
Mining Temporal Specifications for Error Detection (WW, GCN), pp. 461–476.
AMOSTA-MOST-2005-CaiL #detection #test coverage #testing
The effect of code coverage on fault detection under different testing profiles (XC, MRL), pp. 84–90.
AMOSTA-MOST-2005-Paradkar #case study #detection #effectiveness #generative #modelling #testing
Case studies on fault detection effectiveness of model based test generation techniques (AMP), pp. 70–76.
AMOSTA-MOST-2005-SherriffNWV #estimation #haskell #metric #using
Early estimation of defect density using an in-process Haskell metrics model (MS, NN, LAW, MAV), pp. 64–69.
ICTSSTestCom-2005-LiL05a #algorithm #detection #network #probability #testing
Fault Detection of Hierarchical Networks with Probabilistic Testing Algorithms (KL, DL), pp. 258–274.
ICTSSTestCom-2005-PapCD #on the
On FSM-Based Fault Diagnosis (ZP, GC, SD), pp. 159–174.
ICTSSTestCom-2005-UyarWBWF #modelling #multi
Timing Fault Models for Systems with Multiple Timers (MÜU, YW, SSB, AW, MAF), pp. 192–208.
VMCAIVMCAI-2005-Hymans #abstract interpretation #verification
Verification of an Error Correcting Code by Abstract Interpretation (CH), pp. 330–345.
VMCAIVMCAI-2005-KumarKV #complexity #on the
On the Complexity of Error Explanation (NK, VK, MV), pp. 448–464.
CBSECBSE-2004-BeckerOR #adaptation #component
Classifying Software Component Interoperability Errors to Support Component Adaption (SB, SO, RHR), pp. 68–83.
JCDLJCDL-2004-YangL #approach #segmentation #statistics #using
Error anaylsis of Chinese text segmentation using statistical approach (CCY, KWL), pp. 256–257.
VLDBVLDB-2004-GuhaSW #algorithm #named
REHIST: Relative Error Histogram Construction Algorithms (SG, KS, JW), pp. 300–311.
VLDBVLDB-2004-KoudasOT0 #approximate #bound #performance #query
Approximate NN queries on Streams with Guaranteed Error/performance Bounds (NK, BCO, KLT, RZ), pp. 804–815.
CIAACIAA-2004-FerroOG #finite
Regional Finite-State Error Repair (MVF, JO, JGG), pp. 269–280.
IFMIFM-2004-CurzonB #case study #design
Formally Justifying User-Centred Design Rules: A Case Study on Post-completion Errors (PC, AB), pp. 461–480.
HaskellHaskell-2004-StuckeySW
Improving type error diagnosis (PJS, MS, JW), pp. 80–91.
IFLIFL-2004-Gast #data flow #ml
Explaining ML Type Errors by Data Flows (HG), pp. 72–89.
ICEISICEIS-v2-2004-DhoteMR #analysis #detection #development
Development of an Expert System for Detecting Incipient Fault in Transformer by Dissolved Gas Analysis (NKD, DMH, MRR), pp. 210–215.
ICEISICEIS-v5-2004-VartiainenS #education #usability
Defects, Usefulness and Usability of Ethics Theories in IS Ethics Education (TV, MTS), pp. 179–186.
ICPRICPR-v1-2004-KangD #approximate #bound #classification
Product Approximation by Minimizing the Upper Bound of Bayes Error Rate for Bayesian Combination of Classifiers (HJK, DSD), pp. 252–255.
ICPRICPR-v1-2004-ParkL #distance #identification #image #using
Enhancing Low-Resolution Facial Images Using Error Back-Projection for Human Identification at a Distance (JSP, SWL), pp. 346–349.
ICPRICPR-v2-2004-DeselaersKN #classification #evaluation #image #retrieval
Classification Error Rate for Quantitative Evaluation of Content-based Image Retrieval Systems (TD, DK, HN), pp. 505–508.
ICPRICPR-v3-2004-BolleRP #estimation #evaluation
An Evaluation of Error Confidence Interval Estimation Methods (RMB, NKR, SP), pp. 103–106.
ICPRICPR-v3-2004-JiaMSC #detection #realtime
An Intelligent Real-time Vision System for Surface Defect Detection (HJ, YLM, JS, TSC), pp. 239–242.
ICPRICPR-v3-2004-ParedesV #learning #nearest neighbour #prototype #reduction
Learning Prototypes and Distances (LPD). A Prototype Reduction Technique based on Nearest Neighbor Error Minimization (RP, EV), pp. 442–445.
ICPRICPR-v4-2004-AlisiBPV #collaboration #distributed
Motion Capture Based on Color Error Maps in a Distributed Collaborative Environment (TMA, ADB, FP, AV), pp. 953–956.
ICPRICPR-v4-2004-LaiY #algorithm #network #predict
Successive-Least-Squares Error Algorithm on Minimum Description Length Neural Networks for Time Series Prediction (YNL, SYY), pp. 609–612.
ICPRICPR-v4-2004-MayoralA #evaluation
Evaluation of Correspondence Errors for Stereo (RM, MA), pp. 104–107.
ICPRICPR-v4-2004-MurinoBR #classification #statistics
Statistical Classification of Raw Textile Defects (VM, MB, IAR), pp. 311–314.
ICPRICPR-v4-2004-ShihKG #analysis #bound #image
An Error Bound of Relative Image Blur Analysis (SWS, PSK, WSG), pp. 100–103.
OOPSLAOOPSLA-2004-WeimerN #runtime
Finding and preventing run-time error handling mistakes (WW, GCN), pp. 419–431.
ASEASE-2004-JiXCHCM #statistics
A Statistical Model to Locate Faults at Input Level (JW, XxJ, CL, HyY, CL, MJ), pp. 274–277.
ASEASE-2004-MemonX #automation #persistent #testing #using
Using Transient/Persistent Errors to Develop Automated Test Oracles for Event-Driven Software (AMM, QX), pp. 186–195.
ASEASE-2004-Taghdiri #detection #specification
Inferring Specifications to Detect Errors in Code (MT), pp. 144–153.
FSEFSE-2004-KremenekAYE #correlation #ranking
Correlation exploitation in error ranking (TK, KA, JY, DRE), pp. 83–93.
FSEFSE-2004-LiSHRS #empirical #evaluation #modelling
Empirical evaluation of defect projection models for widely-deployed production software systems (PLL, MS, JDH, BKR, PS), pp. 263–272.
ICSEICSE-2004-BrunE #machine learning
Finding Latent Code Errors via Machine Learning over Program Executions (YB, MDE), pp. 480–490.
ICSEICSE-2004-Jones #locality #using #visualisation
Fault Localization Using Visualization of Test Information (JAJ), pp. 54–56.
ICSEICSE-2004-Thelin #estimation #process
Team-Based Fault Content Estimation in the Software Inspection Process (TT), pp. 263–272.
SACSAC-2004-AlbaC #heuristic #hybrid #parallel #problem
Solving the error correcting code problem with parallel hybrid heuristics (EA, JFC), pp. 985–989.
SACSAC-2004-BlaserSMJKL #using
Potential prevention of medical errors in casualty surgery by using information technology (RB, MS, DM, PJ, KK, RL), pp. 285–290.
DACDAC-2004-Iyer #detection
Hierarchical application aware error detection and recovery (RKI), p. 79.
DACDAC-2004-JacomeHVB #design #paradigm #probability
Defect tolerant probabilistic design paradigm for nanotechnologies (MFJ, CH, GdV, SB), pp. 596–601.
DACDAC-2004-LiRP #generative #on the #testing
On test generation for transition faults with minimized peak power dissipation (WL, SMR, IP), pp. 504–509.
DACDAC-2004-StrojwasCGHKLNPT #question
When IC yield missed the target, who is at fault? (AJS, MC, VG, JH, JK, ML, WN, DP, MT), p. 80.
DATEDATE-v1-2004-AlmukhaizimDM #bound #concurrent #detection #latency #on the
On Concurrent Error Detection with Bounded Latency in FSMs (SA, PD, YM), pp. 596–603.
DATEDATE-v1-2004-GinesPR #pipes and filters
Digital Background Gain Error Correction in Pipeline ADCs (AJG, EJP, AR), pp. 82–87.
DATEDATE-v1-2004-LeveugleA #injection
Early SEU Fault Injection in Digital, Analog and Mixed Signal Circuits: A Global Flow (RL, AA), pp. 590–595.
DATEDATE-v1-2004-MetraMO #design #question #testing
Are Our Design for Testability Features Fault Secure? (CM, TMM, MO), pp. 714–715.
DATEDATE-v1-2004-PadmanabanT #identification #performance #using
Using BDDs and ZBDDs for Efficient Identification of Testable Path Delay Faults (SP, ST), pp. 50–55.
DATEDATE-v1-2004-PomeranzR #metric #similarity
Level of Similarity: A Metric for Fault Collapsing (IP, SMR), pp. 56–61.
DATEDATE-v1-2004-PomeranzVRS #detection
Z-Sets and Z-Detections: Circuit Characteristics that Simplify Fault Diagnosis (IP, SV, SMR, BS), pp. 68–75.
DATEDATE-v2-2004-Al-ArsG #in memory #memory management #testing
Soft Faults and the Importance of Stresses in Memory Testing (ZAA, AJvdG), pp. 1084–1091.
DATEDATE-v2-2004-DworakCWM #detection
Balanced Excitation and Its Effect on the Fortuitous Detection of Dynamic Defects (JD, BC, JW, MRM), pp. 1066–1071.
DATEDATE-v2-2004-HuangCHTHH #analysis #probability
Intermittent Scan Chain Fault Diagnosis Based on Signal Probability Analysis (YH, WTC, CJH, HYT, AH, YTH), pp. 1072–1077.
DATEDATE-v2-2004-MangoCWC #testing
Pattern Selection for Testing of Deep Sub-Micron Timing Defects (MCTC, LCW, KTC), p. 160.
DATEDATE-v2-2004-VazquezG #monitoring #power management
Power Supply Noise Monitor for Signal Integrity Faults (JRV, JPdG), pp. 1406–1407.
DATEDATE-v2-2004-WangLC #hardware #hybrid #testing
Hybrid Delay Scan: A Low Hardware Overhead Scan-Based Delay Test Technique for High Fault Coverage and Compact Test Sets (SW, XL, STC), pp. 1296–1301.
OSDIOSDI-2004-YangTEM #file system #model checking #using
Using Model Checking to Find Serious File System Errors (JY, PT, DRE, MM), pp. 273–288.
ESOPESOP-2004-Mine #abstract domain #detection #float #relational #runtime
Relational Abstract Domains for the Detection of Floating-Point Run-Time Errors (AM), pp. 3–17.
STOCSTOC-2004-ColeGL #taxonomy
Dictionary matching and indexing with errors and don’t cares (RC, LAG, ML), pp. 91–100.
STOCSTOC-2004-FinocchiI #memory management #sorting
Sorting and searching in the presence of memory faults (without redundancy) (IF, GFI), pp. 101–110.
TACASTACAS-2004-Groce #distance #metric
Error Explanation with Distance Metrics (AG), pp. 108–122.
FATESFATES-2004-YoonKSC #analysis #component #empirical #injection #mutation testing #testing #using
Testing COM Components Using Software Fault Injection and Mutation Analysis, and Its Empirical Study (HY, EK, JS, BC), pp. 210–224.
FMFME-2003-Randell #on the
On Failures and Faults (BR), pp. 18–39.
FMFME-2003-Schafer #analysis #model checking #realtime
Combining Real-Time Model-Checking and Fault Tree Analysis (AS), pp. 522–541.
ICFPICFP-2003-NeubauerT
Discriminative sum types locate the source of type errors (MN, PT), pp. 15–26.
AGTIVEAGTIVE-2003-DottiRS #analysis #behaviour #graph grammar #specification #using
Specification and Analysis of Fault Behaviours Using Graph Grammars (FLD, LR, OMdS), pp. 120–133.
CHICHI-2003-SoukoreffM #evaluation #metric #research
Metrics for text entry research: an evaluation of MSD and KSPC, and a new unified error metric (RWS, ISM), pp. 113–120.
SOFTVISSOFTVIS-2003-RuthruffCBCPFM #locality #visualisation
End-User Software Visualizations for Fault Localization (JRR, EC, MMB, CRC, SP, MFI, MM), pp. 123–132.
ICMLICML-2003-BiB
Regression Error Characteristic Curves (JB, KPB), pp. 43–50.
ICMLICML-2003-Munos #approximate #bound #policy
Error Bounds for Approximate Policy Iteration (RM), pp. 560–567.
SEKESEKE-2003-MenziesLM #analysis
Better Analysis of Defect Data at NASA (TM, RRL, ICM), pp. 607–611.
SIGIRSIGIR-2003-HuBZ #analysis #topic
Error analysis of difficult TREC topics (XH, SB, CZ), pp. 407–408.
OOPSLAOOPSLA-2003-DemskyR #automation #data type #detection
Automatic detection and repair of errors in data structures (BD, MCR), pp. 78–95.
AdaEuropeAdaEurope-2003-EvancoV #ada #architecture
Some Architectural Features of Ada Systems Affecting Defects (WME, JMV), pp. 232–245.
POPLPOPL-2003-BallNR
From symptom to cause: localizing errors in counterexample traces (TB, MN, SKR), pp. 97–105.
ASEASE-2003-AhmadAGK #detection #spreadsheet #type system
A Type System for Statically Detecting Spreadsheet Errors (YA, TA, SG, SK), pp. 174–183.
ASEASE-2003-BunusF #automation #behaviour #locality #modelling #physics #simulation #verification
Semi-Automatic Fault Localization and Behavior Verification for Physical System Simulation Models (PB, PF), pp. 253–258.
ASEASE-2003-GuoCS #network #predict
Predicting Fault Prone Modules by the Dempster-Shafer Belief Networks (LG, BC, HS), pp. 249–252.
ASEASE-2003-RenierisR #locality #nearest neighbour #query
Fault Localization With Nearest Neighbor Queries (MR, SPR), pp. 30–39.
ESEC-FSEESEC-FSE-2003-XieCE #analysis #detection #memory management #named #using
ARCHER: using symbolic, path-sensitive analysis to detect memory access errors (YX, AC, DRE), pp. 327–336.
ICSEICSE-2003-ZageZ #analysis #process #scalability
An Analysis of the Fault Correction Process in a Large-Scale SDL Production Model (DMZ, WMZ), pp. 570–577.
DACDAC-2003-KrsticWCLM #modelling #statistics
Enhancing diagnosis resolution for delay defects based upon statistical timing and statistical fault models (AK, LCW, KTC, JJL, TMM), pp. 668–673.
DATEDATE-2003-Al-ArsGBR #optimisation #simulation #testing #using
Optimizing Stresses for Testing DRAM Cell Defects Using Electrical Simulation (ZAA, AJvdG, JB, DR), pp. 10484–10489.
DATEDATE-2003-DrineasM #concurrent #detection #monitoring
Non-Intrusive Concurrent Error Detection in FSMs through State/Output Compaction and Monitoring via Parity Trees (PD, YM), pp. 11164–11167.
DATEDATE-2003-IchiharaI #generative #testing
Test Generation for Acyclic Sequential Circuits with Single Stuck-at Fault Combinational ATPG (HI, TI), pp. 11180–11181.
DATEDATE-2003-KrsticWCLA #modelling #statistics
Delay Defect Diagnosis Based Upon Statistical Timing Models — The First Step (AK, LCW, KTC, JJL, MSA), pp. 10328–10335.
DATEDATE-2003-LiuC #approach #identification
A Partition-Based Approach for Identifying Failing Scan Cells in Scan-BIST with Applications to System-on-Chip Fault Diagnosis (CL, KC), pp. 10230–10237.
DATEDATE-2003-NicolescuV #approach #detection #tool support
Detecting Soft Errors by a Purely Software Approach: Method, Tools and Experimental Results (BN, RV), pp. 20057–20063.
DATEDATE-2003-OhtakeOF #algorithm #generative #testing #using
A Method of Test Generation fo Path Delay Faults Using Stuck-at Fault Test Generation Algorithms (SO, KO, HF), pp. 10310–10315.
DATEDATE-2003-PadmanabanT
Non-Enumerative Path Delay Fault Diagnosis (SP, ST), pp. 10322–10327.
DATEDATE-2003-PomeranzRK #detection #on the
On the Characterization of Hard-to-Detect Bridging Faults (IP, SMR, SK), pp. 11012–11019.
DATEDATE-2003-PradhanLC #detection #generative #named #novel
EBIST: A Novel Test Generator with Built-In Fault Detection Capability (DKP, CL, KC), pp. 10224–10229.
DATEDATE-2003-RebaudengoRV #analysis #pipes and filters
An Accurate Analysis of the Effects of Soft Errors in the Instruction and Data Caches of a Pipelined Microprocessor (MR, MSR, MV), pp. 10602–10607.
DATEDATE-2003-SyalH #algorithm #identification #low cost #novel
A Novel, Low-Cost Algorithm for Sequentially Untestable Fault Identification (MS, MSH), pp. 10316–10321.
DATEDATE-2003-WegenerK #identification #linear #modelling
Linear Model-Based Error Identification and Calibration for Data Converters (CW, MPK), pp. 10630–10635.
DATEDATE-2003-XuN #testing
Delay Fault Testing of Core-Based Systems-on-a-Chi (QX, NN), pp. 10744–10752.
DATEDATE-2003-ZachariahCKT #modelling #on the
On Modeling Cross-Talk Faults (STZ, YSC, SK, CT), pp. 10490–10495.
ESOPESOP-2003-HaackW #higher-order #slicing
Type Error Slicing in Implicitly Typed Higher-Order Languages (CH, JBW), pp. 284–301.
FATESFATES-2003-SungC #embedded #hardware #injection #interactive #testing #using
Interaction Testing in an Embedded System Using Hardware Fault Injection and Program Mutation (AS, BC), pp. 192–204.
ICSTSAT-2003-Bruni #satisfiability #scalability #set
Solving Error Correction for Large Data Sets by Means of a SAT Solver (RB), pp. 229–241.
ICTSSTestCom-2003-El-FakihPYB #finite #state machine
Fault Diagnosis in Extended Finite State Machines (KEF, SP, NY, GvB), pp. 197–210.
ICTSSTestCom-2003-TrenkaevKS #communication #testing
Interoperability Testing Based on a Fault Model for a System of Communicating FSMs (VT, MK, SS), pp. 226–242.
SIGMODSIGMOD-2002-GarofalakisG
Wavelet synopses with error guarantees (MNG, PBG), pp. 476–487.
ICSMEICSM-2002-Schneidewind #detection
An Integrated Failure Detection and Fault Correction Model (NFS), pp. 238–241.
SCAMSCAM-2002-Ghosh #concurrent #metric #object-oriented #source code #testing #towards #using
Towards Measurement of Testability of Concurrent Object-Oriented Programs Using Fault Insertion: A Preliminary Investigation (SG), pp. 17–25.
WCREWCRE-2002-TanT #program analysis #transaction #verification
Verifying Provisions for Post-Transaction User Input Error Correction through Static Program Analysis (HBKT, NLT), p. 233–?.
CIAACIAA-2002-FerroDA
Searching for Asymptotic Error Repair (MVF, VMD, MAA), pp. 276–281.
ICEISICEIS-2002-IglesiasMCCF #database #design #education #learning
Learning to Teach Database Design by Trial and Error (AI, PM, DC, EC, FF), pp. 500–505.
CIKMCIKM-2002-WibowoW #categorisation #web
Strategies for minimising errors in hierarchical web categorisation (WW, HEW), pp. 525–531.
ICMLICML-2002-ElomaaR #performance
Fast Minimum Training Error Discretization (TE, JR), pp. 131–138.
ICMLICML-2002-TeowLNY #approach #feature model
Refining the Wrapper Approach — Smoothed Error Estimates for Feature Selection (LNT, HL, HTN, EY), pp. 626–633.
ICPRICPR-v1-2002-ZhangD #classification #recognition
Minimum Classification Error Training for Handwritten Character Recognition (RZ, XD), pp. 580–583.
ICPRICPR-v2-2002-GuangmingJMHX #algorithm #data type #metric
A New Mesh Simplification Algorithm Combining Half-Edge Data Structure with Modified Quadric Error Metric (GL, JT, MZ, HH, XZ), pp. 659–658.
ICPRICPR-v2-2002-GuptaDD #automation #classification #feature model
Beam Search for Feature Selection in Automatic SVM Defect Classification (PG, DSD, DD), pp. 212–215.
ICPRICPR-v2-2002-HuangT #classification #pattern matching #pattern recognition #recognition
An RBF-Based Pattern Recognition Method by Competitively Reducing Classification-Oriented Error (YSH, YHT), pp. 180–183.
ICPRICPR-v2-2002-RidderPD #classification #complexity
The Economics of Classification: Error vs. Complexity (DdR, EP, RPWD), pp. 244–247.
ICPRICPR-v3-2002-MaalmiEBVDG #detection #locality #using
Crack Defect Detection and Localization Using Genetic-Based Inverse Voting Hough Transform (KM, AEO, RB, LFCLYV, AD, PG), pp. 257–260.
ICPRICPR-v3-2002-PankantiRB #case study #verification
Structure in Errors: A Case Study in Fingerprint Verification (SP, NKR, RMB), pp. 440–447.
ICPRICPR-v3-2002-PeiC #adaptation #novel #predict
Novel Error Concealment Method with Adaptive Prediction to the Abrupt and Gradual Scene Changes (SCP, YZC), pp. 827–830.
ICPRICPR-v3-2002-TakasuA #named #recognition
DVHMM: Variable Length Text Recognition Error Model (AT, KA), pp. 110–114.
ICPRICPR-v4-2002-KubotaMK #learning #optimisation
A Discriminative Learning Criterion for the Overall Optimization of Error and Reject (SK, HM, YK), pp. 98–102.
ICPRICPR-v4-2002-TothCA #classification
A Two-Stage-Classifier for Defect Classification in Optical Media Inspection (DT, AC, TA), pp. 373–376.
KDDKDD-2002-KumarPW #clustering
Clustering seasonality patterns in the presence of errors (MK, NRP, JW), pp. 557–563.
SIGIRSIGIR-2002-Tseng
Error correction in a Chinese OCR test collection (YHT), pp. 429–430.
SIGIRSIGIR-2002-VoorheesB #empirical #retrieval #set #topic
The effect of topic set size on retrieval experiment error (EMV, CB), pp. 316–323.
FSEFSE-2002-XieE #using
Using redundancies to find errors (YX, DRE), pp. 51–60.
ICSEICSE-2002-JonesHS #locality #visualisation
Visualization of test information to assist fault localization (JAJ, MJH, JTS), pp. 467–477.
ICSEICSE-2002-Padberg #empirical
Empirical interval estimates for the defect content after an inspection (FP), pp. 58–68.
DACDAC-2002-AttarhaN #analysis #modelling #using
Signal integrity fault analysis using reduced-order modeling (AA, MN), pp. 367–370.
DACDAC-2002-BhuniaRS #analysis #detection #locality #novel
A novel wavelet transform based transient current analysis for fault detection and localization (SB, KR, JS), pp. 361–366.
DACDAC-2002-LiouWCDMKW #multi #performance #testing #using
Enhancing test efficiency for delay fault testing using multiple-clocked schemes (JJL, LCW, KTC, JD, MRM, RK, TWW), pp. 371–374.
DATEDATE-2002-Al-ArsG #in memory #memory management #modelling #testing
Modeling Techniques and Tests for Partial Faults in Memory Devices (ZAA, AJvdG), pp. 89–93.
DATEDATE-2002-BayraktarogluO
Gate Level Fault Diagnosis in Scan-Based BIST (IB, AO), pp. 376–381.
DATEDATE-2002-BertozziBM #encoding #power management
Low Power Error Resilient Encoding for On-Chip Data Buses (DB, LB, GDM), pp. 102–109.
DATEDATE-2002-BhuniaR #analysis #detection #using
Fault Detection and Diagnosis Using Wavelet Based Transient Current Analysis (SB, KR), p. 1118.
DATEDATE-2002-BruschiCFS #design #simulation
Error Simulation Based on the SystemC Design Description Language (FB, MC, FF, DS), p. 1135.
DATEDATE-2002-FavalliM #problem #self
Problems Due to Open Faults in the Interconnections of Self-Checking Data-Paths (MF, CM), pp. 612–617.
DATEDATE-2002-GoorAC
Minimal Test for Coupling Faults in Word-Oriented Memories (AJvdG, MSA, AC), pp. 944–948.
DATEDATE-2002-Hsiao #identification
Maximizing Impossibilities for Untestable Fault Identification (MSH), pp. 949–953.
DATEDATE-2002-LechugaMMB #detection
Built-In Dynamic Current Sensor for Hard-to-Detect Faults in Mixed-Signal Ics (YL, RM, MM, SB), pp. 205–211.
DATEDATE-2002-LeeCDGM #algorithm #detection #multi #testing
A New ATPG Algorithm to Limit Test Set Size and Achieve Multiple Detections of All Faults (SL, BC, JD, MRG, MRM), pp. 94–99.
DATEDATE-2002-Leveugle #automation #detection
Automatic Modifications of High Level VHDL Descriptions for Fault Detection or Tolerance (RL), pp. 837–841.
DATEDATE-2002-LuchettaMP #comparison
Critical Comparison among Some Analog Fault Diagnosis Procedures Based on Symbolic Techniques (AL, SM, MCP), p. 1105.
DATEDATE-2002-PadmanabanT #multi
Exact Grading of Multiple Path Delay Faults (SP, ST), pp. 84–88.
DATEDATE-2002-PomeranzR #multi #set #using
Test Enrichment for Path Delay Faults Using Multiple Sets of Target Faults (IP, SMR), pp. 722–729.
DATEDATE-2002-PomeranzRR #debugging
Finding a Common Fault Response for Diagnosis during Silicon Debug (IP, JR, SMR), p. 1116.
DATEDATE-2002-PomeranzZ #testing #using
Fault Isolation Using Tests for Non-Isolated Blocks (IP, YZ), p. 1123.
DATEDATE-2002-PronathGA #design #float
A Test Design Method for Floating Gate Defects (FGD) in Analog Integrated Circuits (MP, HEG, KA), pp. 78–83.
DATEDATE-2002-VenerisLAA #incremental #multi
Incremental Diagnosis and Correction of Multiple Faults and Errors (AGV, JBL, MA, MSA), pp. 716–721.
DATEDATE-2002-WilsonRZBK #behaviour #modelling #using
Behavioural Modelling of Operational Amplifier Faults Using VHDL-AMS (PRW, JNR, MZ, ADB, YK), p. 1133.
HPDCHPDC-2002-ThainL #grid #theory and practice
Error Scope on a Computational Grid: Theory and Practice (DT, ML), pp. 199–208.
ESOPESOP-2002-Martel #approach #finite #precise #semantics
Propagation of Roundoff Errors in Finite Precision Computations: A Semantics Approach (MM), pp. 194–208.
TACASTACAS-2002-JinRS
Fate and Free Will in Error Traces (HJ, KR, FS), pp. 445–459.
CADECADE-2002-Ahrendt #data type #deduction #generative #specification #using
Deductive Search for Errors in Free Data Type Specifications Using Model Generation (WA), pp. 211–225.
ISSTAISSTA-2002-BriandLS #analysis #contract #object-oriented #using
Investigating the use of analysis contracts to support fault isolation in object oriented code (LCB, YL, HS), pp. 70–80.
ISSTAISSTA-2002-HillerJS #named
PROPANE: an environment for examining the propagation of errors in software (MH, AJ, NS), pp. 81–85.
ISSTAISSTA-2002-OstrandW #industrial #scalability
The distirubtion of faults in a large industrial software system (TJO, EJW), pp. 55–64.
ICTSSTestCom-2002-BorodayPGQ #generative #specification #testing
Test Generation for CEFSM Combining Specification and Fault Coverage (SB, AP, RG, YMQ), pp. 355–372.
ICTSSTestCom-2002-CavalliPY #communication #component #detection
Fault Detection within a Component of a System of Communicating FSMs (ARC, SP, NY), p. 317–?.
ICDARICDAR-2001-BahlmannB #online #probability #recognition #similarity
Measuring HMM Similarity with the Bayes Probability of Error and its Application to Online Handwriting Recognition (CB, HB), pp. 406–411.
ICDARICDAR-2001-Ishitani #documentation #image #information management #modelling
Model-Based Information Extraction Method Tolerant of OCR Errors for Document Images (YI), pp. 908–915.
ITiCSEITiCSE-2001-LancasterC #detection #process #towards
Towards an error free plagarism detection process (TL, FC), pp. 57–60.
ITiCSEITiCSE-2001-ThomasL #distance #learning #student #using
Observational studies of student errors in a distance learning environment using a remote recording and replay tool (PT, KL), pp. 117–120.
CSMRCSMR-2001-Evanco #modelling #predict
Prediction Models for Software Fault Correction Effort (WME), pp. 114–120.
ICSMEICSM-2001-BassinS #classification #legacy #maintenance #orthogonal #outsourcing
Managing the Maintenance of Ported, Outsourced, and Legacy Software via Orthogonal Defect Classification (KB, PS), p. 726–?.
ICSMEICSM-2001-MohapatraM #case study #predict
Defect Prevention through Defect Prediction: A Case Study at Infosys (SM, BM), pp. 260–272.
ICFPICFP-2001-Chitil #algorithm #composition #debugging
Compositional Explanation of Types and Algorithmic Debugging of Type Errors (OC), pp. 193–204.
CIKMCIKM-2001-MarcusML #identification #set
Ordinal Association Rules for Error Identification in Data Sets (AM, JIM, KIL), pp. 589–591.
ICMLICML-2001-RoyM #estimation #learning #reduction #towards
Toward Optimal Active Learning through Sampling Estimation of Error Reduction (NR, AM), pp. 441–448.
SEKESEKE-2001-HoudekSE #detection #empirical #execution #specification
Defect Detection for Executable Specifications — An Experiment (FH, TS, DE), pp. 10–18.
SEKESEKE-2001-TanTL #design #transaction
Design for Post-Transaction Input Error Handling (HBKT, NLT, YL), pp. 212–219.
TOOLSTOOLS-USA-2001-Ghosh #distributed #injection #object-oriented #testing
Fault Injection Testing for Distributed Object Systems (SG), pp. 276–285.
TOOLSTOOLS-USA-2001-GueheneucA #constraints #design pattern #detection #using
Using Design Patterns and Constraints to Automate the Detection and Correction of Inter-Class Design Defects (YGG, HAA), pp. 296–306.
AdaEuropeAdaEurope-2001-ChenL #detection #effectiveness #empirical #evaluation #reduction #testing
Test Suite Reduction and Fault Detecting Effectiveness: An Empirical Evaluation (TYC, MFL), pp. 253–265.
AdaEuropeAdaEurope-2001-FoulgerK #runtime #safety #tool support #using
Using the SPARK Toolset for Showing the Absence of Run-Time Errors in Safety-Critical Software (DF, SK), pp. 229–240.
RERE-2001-Feather #detection #reduction #using
Risk Reduction Using DDP (Defect Detection and Prevention): Software Support and Software Applications (MSF), p. 288.
ICSEICSE-2001-BifflG #estimation #modelling
Evaluating the Accuracy of Defect Estimation Models Based on Inspection Data from Two Inspection Cycles (SB, WG), pp. 145–154.
ICSEICSE-2001-ElbaumMR #testing
Incorporating Varying Test Costs and Fault Severities into Test Case Prioritization (SGE, AGM, GR), pp. 329–338.
SACSAC-2001-HwangR #algorithm #detection
Algorithms to detect chained-inference faults in information distribution systems (YPH, DCR), pp. 679–685.
DACDAC-2001-ChenBD #embedded #testing #using
Testing for Interconnect Crosstalk Defects Using On-Chip Embedded Processor Cores (LC, XB, SD), pp. 317–320.
DACDAC-2001-KarriWMK #concurrent #detection #symmetry
Concurrent Error Detection of Fault-Based Side-Channel Cryptanalysis of 128-Bit Symmetric Block Ciphers (RK, KW, PM, YK), pp. 579–585.
DACDAC-2001-LeeT #verification
Pre-silicon Verification of the Alpha 21364 Microprocessor Error Handling System (RL, BT), pp. 822–827.
DACDAC-2001-RaahemifarA #detection
Fault Characterizations and Design-for-Testability Technique for Detecting IDDQ Faults in CMOS/BiCMOS Circuits (KR, MA), pp. 313–316.
DATEDATE-2001-BensoCNP #analysis #distributed #injection #open source
SEU effect analysis in an open-source router via a distributed fault injection environment (AB, SDC, GDN, PP), pp. 219–225.
DATEDATE-2001-FavalliM #detection #optimisation
Optimization of error detecting codes for the detection of crosstalk originated errors (MF, CM), pp. 290–296.
DATEDATE-2001-HashizumeIYT #detection
CMOS open defect detection by supply current test (MH, MI, HY, TT), p. 509.
DATEDATE-2001-LiW #memory management
Memory fault diagnosis by syndrome compression (JFL, CWW), pp. 97–101.
DATEDATE-2001-NayakHCB #analysis #automation #hardware #matlab #precise #synthesis
Precision and error analysis of MATLAB applications during automated hardware synthesis for FPGAs (AN, MH, ANC, PB), pp. 722–728.
DATEDATE-2001-PomeranzR01a #detection #effectiveness #generative #testing
Definitions of the numbers of detections of target faults and their effectiveness in guiding test generation for high defect coverage (IP, SMR), pp. 504–508.
DATEDATE-2001-QuasemG #simulation
Exact fault simulation for systems on Silicon that protects each core’s intellectual property (MSQ, SKG), p. 804.
DATEDATE-2001-RoussellePBMV #embedded
A register-transfer-level fault simulator for permanent and transient faults in embedded processors (CR, MP, AB, TM, HTV), p. 811.
DATEDATE-2001-ZolfyMN #adaptation #concurrent #simulation
Adaptation of an event-driven simulation environment to sequentially propagated concurrent fault simulation (MZ, SM, ZN), p. 823.
SOSPSOSP-2001-ChouYCHE #empirical #operating system
An Empirical Study of Operating System Errors (AC, JY, BC, SH, DRE), pp. 73–88.
SOSPSOSP-2001-EnglerCC #approach #behaviour #consistency #debugging
Bugs as Inconsistent Behavior: A General Approach to Inferring Errors in Systems Code (DRE, DYC, AC), pp. 57–72.
ADLADL-2000-Crestani #query #recognition #word
Effects of Word Recognition Errors in Spoken Query Processing (FC), pp. 39–47.
PODSPODS-2000-CharikarCMN #estimation #towards
Towards Estimation Error Guarantees for Distinct Values (MC, SC, RM, VRN), pp. 268–279.
VLDBVLDB-2000-YuV #bound #network #performance
Efficient Numerical Error Bounding for Replicated Network Services (HY, AV), pp. 123–133.
CSMRCSMR-2000-Oinas #case study #metric
Defining Goal-driven Fault Management Metrics in a Real World Environment: A Case-Study from Nokia (AO), pp. 101–108.
IWPCIWPC-2000-WohlinHO #approach #comprehension
Understanding the Sources of Software Defects: A Filtering Approach (CW, MH, MCO), pp. 9–17.
CIAACIAA-2000-FerroDR
Regional Least-Cost Error Repair (MVF, VMD, FJR), pp. 293–301.
CSCWCSCW-2000-TwidaleM #process #robust
Coping with errors: the importance of process data in robust sociotechnical systems (MT, PFM), pp. 269–278.
ICMLICML-2000-WalkerWL #comprehension #identification #natural language #using
Using Natural Language Processing and discourse Features to Identify Understanding Errors (MAW, JHW, IL), pp. 1111–1118.
ICPRICPR-v1-2000-Chetverikov #approach
Structural Defects: General Approach and Application to Textile Inspection (DC), pp. 1521–1524.
ICPRICPR-v1-2000-OkataniD #image #metric #multi
A Method for Fine Registration of Multiple View Range Images Considering the Measurement Error Properties (ISO, KD), pp. 1280–1283.
ICPRICPR-v1-2000-SappaG #bound #image #modelling #optimisation
Modeling Range Images with Bounded Error Triangular Meshes without Optimization (ADS, MAG), pp. 1392–1395.
ICPRICPR-v2-2000-BeiraghiAAS #classification #fuzzy #recognition
Application of Fuzzy Integrals in Fusion of Classifiers for Low Error Rate Handwritten Numerals Recognition (SB, MA, MASA, MS), pp. 2487–2490.
ICPRICPR-v2-2000-HansenH #bias #composition #exponential #independence #product line
General Bias/Variance Decomposition with Target Independent Variance of Error Functions Derived from the Exponential Family of Distributions (JVH, TH), pp. 2207–2210.
ICPRICPR-v2-2000-IwamuraOA #estimation
A Modification of Eigenvalues to Compensate Estimation Errors of Eigenvectors (MI, SO, HA), pp. 2378–2381.
ICPRICPR-v2-2000-MalekTA #classification
Effect of the Feature Vector Size on the Generalization Error: The Case of MLPNN and RBFNN Classifiers (JEM, RT, AMA), pp. 2630–2633.
ICPRICPR-v2-2000-Sato #classification #learning
A Learning Method for Definite Canonicalization Based on Minimum Classification Error (AS), pp. 2199–2202.
ICPRICPR-v2-2000-Schulerud #analysis #bias #feature model #linear
Bias of Error Rates in Linear Discriminant Analysis Caused by Feature Selection and Sample Size (HS), pp. 2372–2377.
ICPRICPR-v2-2000-Theera-UmponG #network
Training Neural Networks to Count White Blood Cells via a Minimum Counting Error Objective Function (NTU, PDG), pp. 2299–2302.
ICPRICPR-v3-2000-HufnaglU #classification #performance
Resolving a Defect in Quadrant-Based Classification for Fast Block-Matching (CH, AU), pp. 3203–3206.
ICPRICPR-v3-2000-KletteZ #bound #multi #order
Multigrid Error Bounds for Moments of Arbitrary Order (RK, JDZ), pp. 3790–3793.
ICPRICPR-v3-2000-VassZ #analysis #component #image #performance
Enhanced Significance-Linked Connected Component Analysis for High Performance Error Resilient Wavelet Image Coding (JV, XZ), pp. 3075–3078.
ICPRICPR-v4-2000-Kauppinen #recognition
A Two Stage Defect Recognition Method for Parquet Slab Grading (HK), pp. 4803–4806.
SIGIRSIGIR-2000-McCarleyF #detection #recognition #speech #topic
Influence of speech recognition errors on topic detection (JSM, MF), pp. 342–344.
TOOLSTOOLS-PACIFIC-2000-SkevoulisJ #detection #invariant #java #runtime #source code #static analysis
Generic Invariant-Based Static Analysis Tool for Detection of Runtime Errors in Java Programs (SS, XJ), pp. 36–44.
ICSEICSE-2000-LeszakPS #analysis #case study
A case study in root cause defect analysis (ML, DEP, DS), pp. 428–437.
ICSEICSE-2000-LittlewoodS00a #assessment #design #fault tolerance #reliability #tutorial
Fault tolerance via diversity against design faults (tutorial session): design principles and reliability assessment (BL, LS), p. 835.
SACSAC-2000-KloseKST #classification #symmetry
Controlling Asymmetric Errors in Neuro-Fuzzy Classification (AK, RK, KS, UT), pp. 505–509.
DACDAC-2000-AttarhaNL #fuzzy #logic #modelling #simulation #using
Modeling and simulation of real defects using fuzzy logic (AA, MN, CL), pp. 631–636.
DACDAC-2000-BayraktarogluO
Improved fault diagnosis in scan-based BIST via superposition (IB, AO), pp. 55–58.
DACDAC-2000-DwarakanathB #simulation #tuple #using
Universal fault simulation using fault tuples (KND, RDB), pp. 786–789.
DACDAC-2000-KrishnaswamyCT #simulation
A switch level fault simulation environment (VK, JC, TT), pp. 780–785.
DACDAC-2000-LachMP #debugging #detection #locality #performance
Efficient error detection, localization, and correction for FPGA-based debugging (JL, WHMS, MP), pp. 207–212.
DACDAC-2000-PomeranzR #on the
On diagnosis of pattern-dependent delay faults (IP, SMR), pp. 59–62.
DATEDATE-2000-AnghelN #detection #evaluation #reduction
Cost Reduction and Evaluation of a Temporary Faults Detecting Technique (LA, MN), pp. 591–598.
DATEDATE-2000-CarlettaPN #analysis #detection #using
Detecting Undetectable Controller Faults Using Power Analysis (JC, CAP, MN), pp. 723–728.
DATEDATE-2000-DalpassoBBF #design #distributed #simulation
Virtual Fault Simulation of Distributed IP-Based Designs (MD, AB, LB, MF), pp. 99–103.
DATEDATE-2000-FinF #functional #generative #testing
A VHDL Error Simulator for Functional Test Generation (AF, FF), pp. 390–395.
DATEDATE-2000-GoodbyO #quality
Test Quality and Fault Risk in Digital Filter Datapath BIST (LG, AO), pp. 468–475.
DATEDATE-2000-HoffmannK #multi
Exploiting Hierarchy for Multiple Error Correction in Combinational Circuits (DWH, TK), p. 758.
DATEDATE-2000-KimWSS #incremental #on the #satisfiability #testing
On Applying Incremental Satisfiability to Delay Fault Testing (JK, JW, KAS, JPMS), pp. 380–384.
DATEDATE-2000-ParkK #bound #design #detection
A New IEEE 1149.1 Boundary Scan Design for the Detection of Delay Defects (SP, TK), pp. 458–462.
DATEDATE-2000-Rosing #simulation
A Fault Simulation Methodology for MEMS (RR), pp. 476–483.
DATEDATE-2000-SaabHK #generative #parametricity #simulation
Parametric Fault Simulation and Test Vector Generation (KS, NBH, BK), pp. 650–656.
DATEDATE-2000-SousaA #clustering #complexity #modelling #using
Reducing the Complexity of Defect Level Modeling Using the Clustering Effect (JTdS, VDA), pp. 640–644.
DATEDATE-2000-TsiatouhasHAN #self #testing
A Versatile Built-In Self-Test Scheme for Delay Fault Testing (YT, TH, AA, DN), p. 756.
DATEDATE-2000-VardanianM #concurrent #detection
Improving the Error Detection Ability of Concurrent Checkers by Observation Point Insertion in the Circuit Under Check (VAV, LBM), p. 762.
TACASTACAS-2000-GnesiLLAAM #specification #validation
A Formal Specification and Validation of a Critical System in Presence of Byzantine Errors (SG, DL, GL, CA, AMA, PM), pp. 535–549.
CAVCAV-2000-AlfaroHM #detection
Detecting Errors Before Reaching Them (LdA, TAH, FYCM), pp. 186–201.
ISSTAISSTA-2000-WoodwardA #testing
Testability, fault size and the domain-to-range ratio: An eternal triangle (MRW, ZAAK), pp. 168–172.
ICTSSTestCom-2000-CavalliPY #communication #detection #power of #testing
Fault Detection Power of a Widely Used Test Suite for a System of Communicating FSMs (ARC, SP, NY), p. 35–?.
DL-1999-LawrenceBG #distributed
Distributed Error Correction (SL, KDB, CLG), p. 232.
ICDARICDAR-1999-JeeKL #using
Error Correction of Korean Courtesy Amounts in Bank Slips using Rule Information and cross-referencing (TCJ, EJK, YL), pp. 95–98.
ICDARICDAR-1999-KameshiroHOY #documentation #image #multi #recognition #retrieval #segmentation #using
A Document Image Retrieval Method Tolerating Recognition and Segmentation Errors of OCR using Shape-Feature and Multiple Candidates (TK, TH, YO, FY), pp. 681–684.
ICDARICDAR-1999-KangL #classification
Combining Classifiers based on Minimization of a Bayes Error Rate (HJK, SWL), pp. 398–401.
CSMRCSMR-1999-MonteiroGAAS #intranet
A Software Defect Report and Tracking System in an Intranet (ASM, MG, FBeA, ABdA, PS), pp. 198–202.
ICSMEICSM-1999-DouceL #empirical #evolution
Evolution and Errors: An Empirical Example (CRD, PJL), p. 493–?.
ICSMEICSM-1999-KhoshgoftaarAYJH #experience #legacy #metric #predict
Experience Paper: Preparing Measurements of Legacy Software for Predicting Operational Faults (TMK, EBA, XY, WDJ, JPH), p. 359–?.
DLTDLT-1999-KarhumakiM #theorem
Defect theorems for trees (JK, SM), pp. 164–177.
FMFM-v1-1999-ReffelE #detection #model checking
Error Detection with Directed Symbolic Model Checking (FR, SE), pp. 195–211.
CHICHI-1999-BruckmanE #analysis #programming language
Should we Leverage Natural-Language Knowledge? An Analysis of User Errors in a Natural-Language-Style Programming Language (AB, EE), pp. 207–214.
CHICHI-1999-Oviatt #ambiguity #architecture #multi #recognition
Mutual Disambiguation of Recognition Errors in a Multimodel Architecture (SLO), pp. 576–583.
CHICHI-1999-SuhmMW #empirical #evaluation #interactive #modelling #multimodal
Model-Based and Empirical Evaluation of Multimodal Interactive Error Correction (BS, BAM, AW), pp. 584–591.
HCIHCI-CCAD-1999-NumanoMTMNFM #reduction
Reduction of human errors in plant operation utilizing human error correction function as an individual and crew (MN, KM, KT, HM, YN, JF, YM), pp. 1206–1210.
HCIHCI-CCAD-1999-VirvouM99a
Error diagnosis in an English tutor (MV, DM), pp. 657–661.
EDOCEDOC-1999-RenaudC #component #framework #named
HERCULE: a framework for enhancing error reporting in component-based systems (KR, RLC), pp. 245–254.
ICMLICML-1999-SchefferJ #analysis
Expected Error Analysis for Model Selection (TS, TJ), pp. 361–370.
OOPSLAOOPSLA-1999-TravassosSFB #design #detection #object-oriented #quality #using
Detecting Defects in Object-Oriented Designs: Using Reading Techniques to Increase Software Quality (GT, FS, MF, VRB), pp. 47–56.
RERE-1999-SutcliffeGM #requirements
Human Errors and System Requirements (AGS, JRG, SM), p. 23–?.
DACDAC-1999-BoppanaMJFB #multi
Multiple Error Diagnosis Based on Xlists (VB, RM, JJ, MF, PB), pp. 660–665.
DACDAC-1999-ChenW #approximate #bound
Error Bounded Padé Approximation via Bilinear Conformal Transformation (CPC, DFW), pp. 7–12.
DACDAC-1999-HarjaniV #parametricity
Digital Aetection of Analog Parametric Faults in SC Filters (RH, BV), pp. 772–777.
DACDAC-1999-KocanS #configuration management #hardware
Dynamic Fault Diagnosis on Reconfigurable Hardware (FK, DGS), pp. 691–696.
DATEDATE-1999-CherubalC #functional #parametricity #using
Parametric Fault Diagnosis for Analog Systems Using Functional Mapping (SC, AC), p. 195–?.
DATEDATE-1999-CotaCL #adaptation #linear #using
A Method to Diagnose Faults in Linear Analog Circuits using an Adaptive Tester (ÉFC, LC, ML), pp. 184–188.
DATEDATE-1999-GuerraRRFR #generative
An Accurate Error Control Mechanism for Simplification Before Generation Algorihms (OG, JDRG, ER, FVF, ÁRV), p. 412–?.
DATEDATE-1999-LinPR
Full Scan Fault Coverage With Partial Scan (XL, IP, SMR), pp. 468–472.
DATEDATE-1999-NikolosVHT #embedded #testing
Path Delay Fault Testing of ICs with Embedded Intellectual Property Blocks (DN, HTV, TH, YT), pp. 112–116.
DATEDATE-1999-SantosT #simulation #using
Defect-Oriented Mixed-Level Fault Simulation of Digital Systems-on-a-Chip Using HDL (MBS, JPT), p. 549–?.
DATEDATE-1999-TragoudasM #functional #tool support
ATPG Tools for Delay Faults at the Functional Level (ST, MKM), p. 631–?.
DATEDATE-1999-WuGR #approach #performance #reduction
A Fault List Reduction Approach for Efficient Bridge Fault Diagnosis (JW, GSG, EMR), pp. 780–781.
DATEDATE-1999-YangZ #performance #robust #simulation
Fast, Robust DC and Transient Fault Simulation for Nonlinear Analog Circuits (ZRY, MZ), pp. 244–248.
PDPPDP-1999-Latif-ShabgahiBB #self
Integrating selected fault masking and self-diagnosis mechanisms (GLS, JMB, SB), pp. 97–104.
STOCSTOC-1999-GoldreichRS
Chinese Remaindering with Errors (OG, DR, MS), pp. 225–234.
STOCSTOC-1999-KiwiMS #approximate #testing
Approximate Testing with Relative Error (MAK, FM, MS), pp. 51–60.
STOCSTOC-1999-RazRV
Extracting all the Randomness and Reducing the Error in Trevisan’s Extractors (RR, OR, SPV), pp. 149–158.
ICTSSIWTCS-1999-KoufarevaPY #generative #modelling #testing
Test Generation Driven by User-defined Fault Models (IK, AP, NY), pp. 215–236.
CSMRCSMR-1998-HongK #empirical #identification
Identifying Fault Prone Modules: An Empirical Study in Telecommunication System (SH, KK), pp. 179–184.
CSMRCSMR-1998-Leung #development #effectiveness
Improving Defect Removal Effectiveness for Software Development (HKNL), pp. 157–164.
ICSMEICSM-1998-RothermelHOH #detection #empirical #testing
An Empirical Study of the Effects of Minimization on the Fault Detection Capabilities of Test Suites (GR, MJH, JO, CH), pp. 34–43.
PASTEPASTE-1998-DorRS #analysis #detection #experience #memory management #pointer
Detecting Memory Errors via Static Pointer Analysis (Preliminary Experience) (ND, MR, SS), pp. 27–34.
ICALPICALP-1998-KaoW #performance
Efficient Minimization of Numerical Summation Errors (MYK, JW), pp. 375–386.
ICFPICFP-1998-McAdam
Type Errors Confuse the Programmer (Poster Abstract) (BJM), p. 338.
ICPRICPR-1998-ColmenarezH #detection
Pattern detection with information-based maximum discrimination and error bootstrapping (AC, TSH), pp. 222–224.
ICPRICPR-1998-HannuJ #automation #documentation #image
An automated defect management for document images (HK, JJS), pp. 1288–1294.
ICPRICPR-1998-IivarinenV #adaptation #classification
An adaptive texture and shape based defect classification (JI, AV), pp. 117–122.
ICPRICPR-1998-KadyrovP #detection #estimation #linear #parametricity
Linear transformation parameter estimation for fault detection (AK, MP), pp. 550–552.
ICPRICPR-1998-KaufmannB #locality #using
Amount translation and error localization in check processing using syntax-directed translation (GK, HB), pp. 1530–1534.
ICPRICPR-1998-Mizutani #classification #learning
Discriminative learning for minimum error and minimum reject classification (HM), pp. 136–140.
ICPRICPR-1998-OzdemirBMEE #algorithm #analysis #comparative #evaluation
Comparative evaluation of texture analysis algorithms for defect inspection of textile products (, AB, RM, AE, AE), pp. 1738–1740.
SIGIRSIGIR-1998-MouraNZB #performance
Fast Searching on Compressed Text Allowing Errors (ESdM, GN, NZ, RABY), pp. 298–306.
SIGIRSIGIR-1998-NgZ #retrieval #speech #using
Speech Retrieval Using Phonemes with Error Correction (CN, JZ), pp. 365–366.
LOPSTRLOPSTR-1998-SmausHK #logic programming #multi #source code #using
Preventing Instantiation Errors and Loops for Logic Programs with Multiple Modes Using block Declarations (JGS, PMH, AK), pp. 289–307.
ICSEICSE-1998-WohlinR #overview
Defect Content Estimations from Review Data (CW, PR), pp. 400–409.
DACDAC-1998-HuangCCL #design
Fault-Simulation Based Design Error Diagnosis for Sequential Circuits (SYH, KTC, KCC, JYJL), pp. 632–637.
DACDAC-1998-KwakP #estimation #logic #statistics
An Optimization-Based Error Calculation for Statistical Power Estimation of CMOS Logic Circuits (BK, ESP), pp. 690–693.
DATEDATE-1998-AntolaPS #approach #detection
A Low-Redundancy Approach to Semi-Concurrent Error Detection in Data Paths (AA, VP, MS), pp. 266–272.
DATEDATE-1998-BolchiniSS #analysis #concurrent #detection #network
Fault Analysis in Networks with Concurrent Error Detection Properties (CB, FS, DS), pp. 957–958.
DATEDATE-1998-CoppensAR #analysis #modelling
VHDL Modelling and Analysis of Fault Secure Systems (JC, DAK, CR), pp. 148–152.
DATEDATE-1998-HamiltonO #concurrent #latency
Concurrent Error Recovery with Near-Zero Latency in Synthesized ASICs (SNH, AO), pp. 604–609.
DATEDATE-1998-LindermeirVG #design #detection #metric #parametricity
Analog Test Design with IDD Measurements for the Detection of Parametric and Catastrophic Faults (WML, TJV, HEG), pp. 822–827.
DATEDATE-1998-MirRVH #analysis
Switch-Level Fault Coverage Analysis for Switched-Capacitor Systems (SM, AR, DV, JLH), pp. 810–814.
DATEDATE-1998-NouraniP #testing
A Bypass Scheme for Core-Based System Fault Testing (MN, CAP), pp. 979–980.
DATEDATE-1998-PrietoRGPHR #approach #design #layout #predict #testing
An Approach to Realistic Fault Prediction and Layout Design for Testability in Analog Circuits (JAP, AR, IAG, EJP, JLH, AMDR), pp. 905–909.
DATEDATE-1998-RiesgoTTU #estimation #functional #modelling #quality #validation
Quality Estimation of Test Vectors and Functional Validation Procedures Based on Fault and Error Models (TR, YT, EdlT, JU), pp. 955–956.
DATEDATE-1998-TianS #performance #simulation
Efficient DC Fault Simulation of Nonlinear Analog Circuits (MWT, CJRS), pp. 899–904.
DATEDATE-1998-Velasco-MedinaCN #detection #injection #linear #using
Fault Detection for Linear Analog Circuits Using Current Injection (JVM, TC, MN), pp. 987–988.
HPDCHPDC-1998-StellingFKLL #detection #distributed
A Fault Detection Service for Wide Area Distributed Computations (PS, ITF, CK, CAL, GvL), pp. 268–278.
ISSTAISSTA-1998-HajnalF #algorithm #generative #testing
An Applicable Test Data Generation Algorithm for Domain Errors (ÁH, IF), pp. 63–72.
ICTSSIWTCS-1998-PetrenkoUC #concurrent #detection #using
Using Partial-Orders for Detecting Faults in Concurrent Systems (AP, AU, VC), pp. 175–190.
ADLADL-1997-KleinF #analysis #documentation
Error Tolerant Document Structure Analysis (BK, PF), pp. 116–127.
ICDARICDAR-1997-MykaG #similarity
Measuring the Effects of OCR Errors on Similarity Linking (AM, UG), pp. 968–973.
ICALPICALP-1997-Dami #reduction #runtime
Labelled Reductions, Runtime Errors and Operational Subsumption (LD), pp. 782–793.
HCIHCI-SEC-1997-GargRK #modelling
Modeling the Sources and Consequences of Errors and Delays in Complex Systems (CG, VR, JWK), pp. 67–70.
ICMLICML-1997-CohenD #case study #comparative #induction #logic programming #predict
A Comparative Study of Inductive Logic Programming Methods for Software Fault Prediction (WWC, PTD), pp. 66–74.
ESECESEC-FSE-1997-LandSJ #design #detection #empirical #performance #using #validation
Validating the Defect Detection Performance Advantage of Group Designs for Software Reviews: Report of a Laboratory Experiment Using Program Code (LPWL, CS, DRJ), pp. 294–309.
ESECESEC-FSE-1997-WoodRBM #detection #empirical
Comparing and Combining Software Defect Detection Techniques: A Replicated Empirical Study (MW, MR, AB, JM), pp. 262–277.
ICSEICSE-1997-ShimaTMT #case study
A Study on the Failure Intensity of Different Software Faults (KS, ST, KiM, KT), pp. 86–94.
ASF+SDFASF+SDF-1997-DineshT #approach #case study
A case study of a slicing-based approach for locating type errors (TBD, FT), p. 8.
DACDAC-1997-MirROPH #automation #evaluation #named #simulation
SWITTEST: Automatic Switch-Level Fault Simulation and Test Evaluation of Switched-Capacitor Systems (SM, AR, TO, EJP, JLH), pp. 281–286.
DACDAC-1997-NouraniCP #testing
A Scheme for Integrated Controller-Datapath Fault Testing (MN, JC, CAP), pp. 546–551.
DACDAC-1997-PomeranzR #approach #multi #simulation #using
Fault Simulation under the Multiple Observation Time Approach using Backward Implications (IP, SMR), pp. 608–613.
DACDAC-1997-TianS #agile #parametricity #simulation
Rapid Frequency-Domain Analog Fault Simulation Under Parameter Tolerances (MWT, CJRS), pp. 275–280.
DATEEDTC-1997-BensoPRRU #approach #graph #low level
A new approach to build a low-level malicious fault list starting from high-level description and alternative graphs (AB, PP, MR, MSR, RU), pp. 560–565.
DATEEDTC-1997-BlantonH
The input pattern fault model and its application (RDB, JPH), p. 628.
DATEEDTC-1997-GoorGYM #memory management
March LA: a test for linked memory faults (AJvdG, GG, VNY, VGM), p. 627.
DATEEDTC-1997-NarayananSKLB
A fault diagnosis methodology for the UltraSPARCTM-I microprocessor (SN, RS, RPK, MEL, SBN), pp. 494–500.
DATEEDTC-1997-PaschalisGGK #self
A totally self-checking 1-out-of-3 code error indicator (AMP, NG, DG, PK), pp. 450–454.
DATEEDTC-1997-WahbaB
Connection error location and correction in combinational circuits (AMW, DB), pp. 235–241.
PDPPDP-1997-ClematisGT #concurrent #programming
Programming concurrent backward error recovery: General methodologies and practical approaches (AC, VG, AMT), pp. 228–233.
ICSMEICSM-1996-OwensWG #classification #using
Software Error Classification using Purify (HDO, BFW, MJG), pp. 104–113.
ICSMEICSM-1996-TakahashiN #complexity #interface
The effect of interface complexity on program error density (RT, YN), pp. 77–86.
ICALPICALP-1996-Billaud
Fatal Errors in Conditional Expressions (MB), pp. 146–157.
ICMLICML-1996-RaviseS #evolution
An Advanced Evolution Should Not Repeat its Past Errors (CR, MS), pp. 400–408.
ICMLICML-1996-Saerens #learning
Non Mean Square Error Criteria for the Training of Learning Machines (MS), pp. 427–434.
ICPRICPR-1996-ChaudhuriP #detection
OCR error detection and correction of an inflectional Indian language script (BBC, UP), pp. 245–249.
ICPRICPR-1996-HotterMM #analysis #detection #estimation #robust #statistics #using
Detection of moving objects using a robust displacement estimation including a statistical error analysis (MH, RM, MM), pp. 249–255.
ICPRICPR-1996-IivarinenRV #segmentation
Unsupervised segmentation of surface defects (JI, JR, AV), pp. 356–360.
ICPRICPR-1996-KauppinenS #classification
The effect of illumination variations on color-based wood defect classification (HK, OS), pp. 828–832.
ICPRICPR-1996-Muraki #learning #statistics
Error correction scheme augmented with statistical and lexical learning capability, for Japanese OCR (KM), pp. 560–564.
ICPRICPR-1996-OommenL #pattern matching #pattern recognition #probability #recognition
Probabilistic syntactic pattern recognition for traditional and generalized transposition errors (BJO, RKSL), pp. 685–689.
ICPRICPR-1996-RaudysD #classification #empirical
Expected error of minimum empirical error and maximal margin classifiers (SR, VD), pp. 875–879.
ICPRICPR-1996-SuriHS #automation #bound
Correction of systematic errors in automatically produced boundaries from low-contrast ventriculograms (JSS, RMH, FHS), pp. 361–365.
ICPRICPR-1996-TumerG #classification
Estimating the Bayes error rate through classifier combining (KT, JG), pp. 695–699.
SEKESEKE-1996-BurnsteinMRST #automation #information management #locality #recognition
Knowledge Engineering for Automated Program Recognition and Fault Localization (IB, AM, KR, FS, AT), pp. 85–91.
SEKESEKE-1996-FoxBM #automation #debugging #pascal #process #syntax
Automating the Debugging Process of Pascal Syntax Errors (RF, CB, JM), pp. 92–99.
PLDIPLDI-1996-Evans #detection #memory management #static analysis
Static Detection of Dynamic Memory Errors (DE), pp. 44–53.
DACDAC-1996-BurgunRFBL
Serial Fault Emulation (LB, FR, GF, JB, OL), pp. 801–806.
DACDAC-1996-ChenG #generative #satisfiability
A Satisfiability-Based Test Generator for Path Delay Faults in Combinational Circuts (CAC, SKG), pp. 209–214.
DACDAC-1996-ClarkeKZ #model checking #word
Word Level Model Checking — Avoiding the Pentium FDIV Error (EMC, MK, XZ), pp. 645–648.
DACDAC-1996-HuangCC #verification
Error Correction Based on Verification Techniques (SYH, KCC, KTC), pp. 258–261.
ESOPESOP-1996-FradetCM #algorithm #axiom #detection #pointer #static analysis
Static Detection of Pointer Errors: An Axiomatisation and a Checking Algorithm (PF, RC, DLM), pp. 125–140.
STOCSTOC-1996-BergerKL #3d
Reconstructing a Three-Dimensional Model with Arbitrary Errors (BB, JMK, FTL), pp. 449–458.
TACASTACAS-1996-Holzmann #detection #tool support
Early Fault Detection Tools (GJH), pp. 1–13.
TACASTACAS-1996-Margaria #automation #detection
Fully Automatic Verifcation and Error Detection for Parameterized Iterative Sequential Circuits (TMS), pp. 258–277.
ISSTAISSTA-1996-DaranT #analysis #case study
Software Error Analysis: A Real Case Study Involving Real Faults and Mutations (MD, PTF), pp. 158–171.
ISSTAISSTA-1996-DeMilloPS #locality #slicing
Critical Slicing for Software Fault Localization (RAD, HP, EHS), pp. 121–134.
ISSTAISSTA-1996-OffuttH #semantics
A Semantic Model of Program Faults (AJO, JHH), pp. 195–200.
ICDARICDAR-v1-1995-GarciaDPC #analysis #detection #pseudo #recognition #using
Error detection in character recognition using pseudosyllable analysis (RGG, YAD, FMP, JLC), pp. 446–449.
ICDARICDAR-v2-1995-AlimiG #analysis #online #recognition
The analysis of error in an on-line recognition system of Arabic handwritten characters (AMA, OAG), pp. 890–893.
ICDARICDAR-v2-1995-HisamitsuMSFN
Optimal techniques in OCR error correction for Japanese texts (TH, KM, YS, HF, YN), pp. 1014–1017.
ICALPICALP-1995-JurgensenK
Variable-Length Codes for Error Correction (HJ, SK), pp. 581–592.
CIKMCIKM-1995-HaaseH #database #distributed
Error Propagation in Distributed Databases (OH, AH), pp. 387–394.
ICMLICML-1995-Drakopoulos #bound #classification #nearest neighbour
Bounds on the Classification Error of the Nearest Neighbor Rule (JAD), pp. 203–208.
ICMLICML-1995-KohaviJ #parametricity
Autmatic Parameter Selection by Minimizing Estimated Error (RK, GHJ), pp. 304–312.
SEKESEKE-1995-FigueiredoPC #distributed #realtime
Antecipated Faults in Real-Time Distributed Systems (JCAdF, AP, BSKC), pp. 411–418.
SEKESEKE-1995-TchounikineC #experience #prototype
Fault diagnosis expert system for robots: a knowledge level prototyping experience (PT, CC), pp. 268–274.
RERE-1995-FieldsWH #approach #requirements
A task centered approach to analysing human error tolerance requirements (BF, PCW, MDH), pp. 18–26.
ICSEICSE-1995-WongHLM #detection #effectiveness #testing
Effect of Test Set Minimization on Fault Detection Effectiveness (WEW, JRH, SL, APM), pp. 41–50.
DACDAC-1995-KassabMRT #architecture #functional #simulation
Software Accelerated Functional Fault Simulation for Data-Path Architectures (MK, NM, JR, JT), pp. 333–338.
DACDAC-1995-KonukFL #network #performance #simulation
Accurate and Efficient Fault Simulation of Realistic CMOS Network Breaks (HK, FJF, TL), pp. 345–351.
DACDAC-1995-KriegerBK #multi #simulation
Symbolic Fault Simulation for Sequential Circuits and the Multiple Observation Time Test Strategy (RK, BB, MK), pp. 339–344.
DACDAC-1995-Ribas-XirgoC #analysis #simulation
Analysis of Switch-Level Faults by Symbolic Simulation (LR, JC), pp. 352–357.
DACDAC-1995-SparmannLCR #identification #performance #robust
Fast Identification of Robust Dependent Path Delay Faults (US, DL, KTC, SMR), pp. 119–125.
DACDAC-1995-VenkataramanHFRCP #agile #simulation #using
Rapid Diagnostic Fault Simulation of Stuck-at Faults in Sequential Circuits Using Compact Lists (SV, IH, WKF, EMR, SC, JHP), pp. 133–138.
HPCAHPCA-1995-HurSFOK #array #design #logic #parallel #simulation
Massively Parallel Array Processor for Logic, Fault, and Design Error Simulation (YH, SAS, ESF, GEO, SK), pp. 340–347.
SOSPSOSP-1995-ChapinRDLTG #multi #named
Hive: Fault Containment for Shared-Memory Multiprocessors (JC, MR, SD, TL, DT, AG), pp. 12–25.
ICSMEICSM-1994-LanningK #canonical #complexity #modelling #process
Canonical Modeling of Software Complexity and Fault Correction Activity (DLL, TMK), pp. 374–381.
ICALPICALP-1994-AumannBF #bound #cost analysis #on the
On the Cost of Recomputing: Tight Bounds on Pebbling with Faults (YA, JBI, UF), pp. 47–58.
ICMLICML-1994-FurnkranzW #incremental
Incremental Reduced Error Pruning (JF, GW), pp. 70–77.
ICMLICML-1994-MooreL #algorithm #performance #validation
Efficient Algorithms for Minimizing Cross Validation Error (AWM, MSL), pp. 190–198.
PLDIPLDI-1994-AustinBS #array #detection #performance #pointer
Efficient Detection of All Pointer and Array Access Errors (TMA, SEB, GSS), pp. 290–301.
FSEFSE-1994-CeceFI #communication
Duplication, Insertion and Lossiness Errors in Unreliable Communication Channels (GC, AF, SPI), pp. 35–43.
ICSEICSE-1994-PorterV #detection #empirical #requirements
An Experiment to Assess Different Defect Detection Methods for Software Requirements Inspections (AAP, LGV), pp. 103–112.
DACDAC-1994-ChengC #generative #quality #testing
Generation of High Quality Non-Robust Tests for Path Delay Faults (KTC, HCC), pp. 365–369.
DACDAC-1994-HenftlingWA #simulation
Path Hashing to Accelerate Delay Fault Simulation (MH, HCW, KA), pp. 522–526.
DACDAC-1994-HeraguBA #performance
An Efficient Path Delay Fault Coverage Estimator (KH, MLB, VDA), pp. 516–521.
DACDAC-1994-KarriO #architecture #detection #self #synthesis
Area-Efficient Fault Detection During Self-Recovering Microarchitecture Synthesis (RK, AO), pp. 552–556.
DACDAC-1994-KuehlmannCSL #verification
Error Diagnosis for Transistor-Level Verification (AK, DIC, AS, DPL), pp. 218–224.
DACDAC-1994-NagumoNNMM #named #reduction #using
VFSIM: Vectorized Fault Simulator Using a Reduction Technique Excluding Temporarily Unobservable Faults (TN, MN, TN, MM, SM), pp. 510–515.
DACDAC-1994-PomeranzR #combinator #scalability #using
Design-for-Testability for Path Delay Faults in Large Combinatorial Circuits Using Test-Points (IP, SMR), pp. 358–364.
DACDAC-1994-PomeranzR94a #on the
On Improving Fault Diagnosis for Synchronous Sequential Circuits (IP, SMR), pp. 504–509.
DACDAC-1994-TomitaYSH #design #logic #multi
Rectification of Multiple Logic Design Errors in Multiple Output Circuits (MT, TY, FS, KH), pp. 212–217.
DACDAC-1994-VinnakotaA #functional #generative #testing
Functional Test Generation for FSMs by Fault Extraction (BV, JA), pp. 712–715.
DATEEDAC-1994-AhmedCC #approach #modelling #optimisation #using
A Model-based Approach to Analog Fault Diagnosis using Techniques from Optimisation (SA, PYKC, PC), p. 665.
DATEEDAC-1994-BoniCFMO #modelling #physics
Physical Modeling of Linearity Errors for the Diagnosis of High Resolution R-2R D/A Converters (AB, GC, GF, SM, MO), p. 664.
DATEEDAC-1994-ChessL #generative
Generating Test Patterns for Bridge Faults in CMOS ICs (BC, TL), pp. 165–170.
DATEEDAC-1994-DumasGLP #effectiveness
Effectiveness of a Variable Sampling Time Strategy for Delay Fault Diagnosis (DD, PG, CL, SP), pp. 518–523.
DATEEDAC-1994-FavalliDOR #modelling
Modeling of Broken Connections Faults in CMOS ICs (MF, MD, PO, BR), pp. 159–164.
DATEEDAC-1994-FummiSS #approach #functional #generative #testing
A Functional Approach to Delay Faults Test Generation for Sequential Circuits (FF, DS, MS), pp. 51–57.
DATEEDAC-1994-GaiMR #named #performance
TORSIM: An Efficient Fault Simulator for Synchronous Sequential Circuits (SG, PLM, MSR), pp. 46–50.
DATEEDAC-1994-HahnKB #approach
A Hierarchical Approach to Fault Collapsing (RH, RK, BB), pp. 171–176.
DATEEDAC-1994-IsernF
Test of Bridging Faults in Scan-based Sequential Circuits (EI, JF), pp. 366–370.
DATEEDAC-1994-KunzmannB
Gate-Delay Fault Test with Conventional Scan-Design (AK, FB), pp. 524–528.
DATEEDAC-1994-LinCL #named #performance
TRANS: A Fast and Memory-Efficient Path Delay Fault Simulator (MCL, JEC, CLL), pp. 508–512.
DATEEDAC-1994-LiW #automaton #logic #simulation
Logic and Fault Simulation by Cellular Automata (YLL, CWW), pp. 552–556.
DATEEDAC-1994-ParikhSBSG #automation #design #distributed #framework
Distributed Computing, Automatic Design, and Error Recovery in the ULYSSES II Framework (SP, MLB, JS, GR), pp. 610–617.
DATEEDAC-1994-Rodriguez-MontanesF #analysis #testing
Analysis of Bridging Defects in Sequential CMOS Circuits and their Current Testability (RRM, JF), pp. 356–360.
DATEEDAC-1994-SousaGTW #modelling
Fault Modeling and Defect Level Projections in Digital ICs (JTdS, FMG, JPT, TWW), pp. 436–442.
DATEEDAC-1994-VermeirenSE #simulation
A Suggestion for Accelerating the Analog Fault Simulation (WV, BS, GE), p. 662.
DATEEDAC-1994-VuksicF #approach #testing
A New BIST Approach for Delay Fault Testing (AV, KF), pp. 284–288.
DATEEDAC-1994-WuLCL #clustering #distributed #simulation
Distributed Fault Simulation for Sequential Circuits by Pattern Partitioning (WCW, CLL, JEC, WYL), p. 661.
DATEEDAC-1994-XueDJ #analysis #float #probability
Probability Analysis for CMOS Floating Gate Faults (HX, CD, JAGJ), pp. 443–448.
DATEEDAC-1994-ZemvaBKZ
A Functionality Fault Model: Feasibility and Applications (AZ, FB, KK, BZ), pp. 152–158.
HPDCHPDC-1994-PramanickP #distributed #evaluation #problem #quality
Distributed Solutions to the Delay Fault Test Quality Evaluation Problem (IP, AKP), pp. 177–185.
STOCSTOC-1994-FeigeK #protocol #proving
Two prover protocols: low error at affordable rates (UF, JK), pp. 172–183.
ICLPILPS-1994-BondP #declarative
Declarative Error Diagnosis as Consistency-Based Diagnosis (GWB, BP), p. 673.
ISSTAISSTA-1994-Forgacs
The All Program Functions Criterion for Revealing Computation Errors (IF), p. 198.
ISSTAISSTA-1994-MurrillM #approach #semantics #using
An Experimental Approach to Analyzing Software Semantics Using Error Flow Information (BWM, LJM), p. 200.
ICDARICDAR-1993-BackmutskyZ #detection
Some ergonomic improvements of text error detection and prevention in DTP-systems (VB, VZ), pp. 947–950.
ICDARICDAR-1993-Baird #documentation #image #modelling
Document image defect models and their uses (HSB), pp. 62–67.
ICDARICDAR-1993-Breul #bound #recognition #using
Recognition of handprinted digits using optimal bounded error matching (TMB), pp. 493–496.
ICDARICDAR-1993-LeeKM #algorithm #performance #recognition
Efficient postprocessing algorithms for error correction in handwritten Hangul address and human name recognition (SWL, ESK, BWM), pp. 232–235.
ICSMECSM-1993-KurishimaONAF #analysis
Quantitative Analysis of Errors in Telecommunications Software (NK, HO, JiN, KA, MF), pp. 190–198.
HCIHCI-ACS-1993-BrinkmanS #analysis
Analysis and Support of Fault Diagnosis Strategies (JAB, TWvdS), pp. 115–120.
HCIHCI-ACS-1993-SonntagS #maintenance #optimisation #process
Strategies and Training for Maintenance Personnel: Optimizing Fault Diagnosis Activities (KS, NS), pp. 90–95.
HCIHCI-ACS-1993-WittigO
Inferring Pilot Intent and Error as a Basis for Electronic Crew Assistance (TW, RO), pp. 386–391.
HCIHCI-SHI-1993-MassonK #process
Preventing Human Errors in Skilled Activities Through a Computerized Support System (MM, VDK), pp. 802–807.
ICMLICML-1993-DanylukP #learning #network
Small Disjuncts in Action: Learning to Diagnose Errors in the Local Loop of the Telephone Network (APD, FJP), pp. 81–88.
SEKESEKE-1993-ChenV #information management #problem
Knowledge Engineering in Fault Diagnostic Problem Solving (LC, PV), pp. 255–257.
OOPSLAOOPSLA-1993-HoskingM #evaluation #performance #persistent #programming language
Object Fault Handling for Persistent Programming Languages: A Performance Evaluation (ALH, JEBM), pp. 288–303.
PLDIPLDI-1993-BoydW #analysis #optimisation
Isolation and Analysis of Optimization Errors (MRB, DBW), pp. 26–35.
RERE-1993-KentMQ #constraints #specification
Formally specifying temporal constraints and error recovery (SK, TSEM, WJQ), pp. 208–215.
RERE-1993-Lutz #embedded #requirements #safety
Analyzing software requirements errors in safety-critical, embedded systems (RRL), pp. 126–133.
ESECESEC-1993-PerryS #case study #evolution #realtime #scalability
Software Faults in Evolving a Large, Real-Time System: a Case Study (DEP, CSS), pp. 48–67.
FSEFSE-1993-Lutz #analysis #requirements
Targeting Safety-Related Errors During Software Requirements Analysis (RRL), pp. 99–106.
SACSAC-1993-Chen #probability #robust
Effect of Probabilistic Error Checking Procedures and Performability of Robust Objects (IRC), pp. 677–681.
SACSAC-1993-HyslopL #diagrams #incremental
Error Free Incremental Construction of Voronoi Diagrams in the Plane (GAH, EAL), pp. 388–396.
DACDAC-1993-ChakrabortyAB #design #testing
Design for Testability for Path Delay faults in Sequential Circuits (TJC, VDA, MLB), pp. 453–457.
DACDAC-1993-ChakravartyG #algorithm
An Algorithm for Diagnosing Two-Line Bridging Faults in Combinational Circuits (SC, YG), pp. 520–524.
DACDAC-1993-ChessL #simulation
Bridge Fault simulation strategies for CMOS integrated Circuits (BC, TL), pp. 458–462.
DACDAC-1993-ChungWH #design #logic
Diagnosis and Correction of Logic Design Errors in Digital Circuits (PYC, YMW, INH), pp. 503–508.
DACDAC-1993-KajiharaPKR #effectiveness #generative #logic #testing
Cost-Effective Generation of Minimal Test Sets for Stuck-at Faults in Combinational Logic Circuits (SK, IP, KK, SMR), pp. 102–106.
DACDAC-1993-LamSBS #performance #trade-off
Delay Fault Coverage and Performance Tradeoffs (WKCL, AS, RKB, ALSV), pp. 446–452.
DACDAC-1993-MeyerC #multi #performance #simulation
Fast Hierarchical Multi-Level Fault Simulation of Sequential Circuits with Switch-Level Accuracy (WM, RC), pp. 515–519.
DACDAC-1993-NagiCA #named
DRAFTS: Discretized Analog Circuit Fault Simulator (NN, AC, JAA), pp. 509–514.
DACDAC-1993-PomeranzRU #generative #named #testing
NEST: A Non-Enumerative Test Generation Method for Path Delay Faults in Combinational Circuits (IP, SMR, PU), pp. 439–445.
PDPPDP-1993-CinHMP #detection #parallel
Error detection mechanisms for massively parallel multiprocessors (MDC, WH, EM, AP), pp. 401–408.
SOSPSOSP-1993-WahbeLAG #performance
Efficient Software-Based Fault Isolation (RW, SL, TEA, SLG), pp. 203–216.
STOCSTOC-1993-BorgstromK
Comparison-based search in the presence of errors (RSB, SRK), pp. 130–136.
STOCSTOC-1993-ColeMS #array #configuration management #multi #self
Multi-scale self-simulation: a technique for reconfiguring arrays with faults (RC, BMM, RKS), pp. 561–572.
CAVCAV-1993-LincolnR #algorithm #consistency #hybrid #interactive #verification
The Formal Verification of an Algorithm for Interactive Consistency under a Hybrid Fault Model (PL, JMR), pp. 292–304.
ICLPICLP-1993-CorsiniR #analysis #safety
Safety Analysis by Means of Fault Trees: An Application for Open Boolean Solvers (MMC, AR), p. 834.
ISSTAISSTA-1993-HamletV #reliability #testing
Faults on Its Sleeve: Amplifying Software Reliability Testing (RGH, JMV), pp. 89–98.
ISSTAISSTA-1993-ThompsonRC #data flow #detection
An Information Flow Model of Fault Detection (MCT, DJR, LAC), pp. 182–192.
ICTSSIWPTS-1993-MottelerCS #protocol #testing
Fault Coverage of UIO-based Methods for Protocol Testing (HEM, AC, DPS), pp. 21–34.
ICMLML-1992-SmythM #detection #novel
Detecting Novel Classes with Applications to Fault Diagnosis (PS, JM), pp. 416–425.
ICMLML-1992-TangkitvanichS #concept #multi #relational
Refining a Relational Theory with Multiple Faults in the Concept and Subconcepts (ST, MS), pp. 436–444.
ICMLML-1992-ZytkowZZ
The First Phase of Real-World Discovery: Determining Repeatability and Error of Experiments (JMZ, JZ, RZ), pp. 480–485.
OOPSLAOOPSLA-1992-Walsh #c++ #development #scalability
Preliminary Defect Data from the Iterative Development of a Large C++ Program (JFW), pp. 178–183.
ICSEICSE-1992-AfifiWZ #linear #source code #testing
Testing for Linear Errors in Nonlinear Computer Programs (FHA, LJW, SJZ), pp. 81–91.
ICSEICSE-1992-EickLLVW
Estimating Software Fault Content Cefore Coding (SGE, CRL, MDL, LGV, SAVW), pp. 59–65.
DACDAC-1992-BhattacharyaAA #generative #testing #using
Delay Fault Test Generation for Scan/Hold Circuits Using Boolean Expressions (DB, PA, VDA), pp. 159–164.
DACDAC-1992-BoseA #concurrent #logic #memory management #message passing #multi #simulation
Concurrent Fault Simulation of Logic Gates and Memory Blocks on Message Passing Multicomputers (SB, PA), pp. 332–335.
DACDAC-1992-ChakrabortyAB #generative #logic #modelling #random #testing
Delay Fault Models and Test Generation for Random Logic Sequential Circuits (TJC, VDA, MLB), pp. 165–172.
DACDAC-1992-ChakravartyL #algorithm #monitoring
Algorithms for Current Monitor Based Diagnosis of Bridging and Leakage Faults (SC, ML), pp. 353–356.
DACDAC-1992-LeeH #named #parallel #performance
HOPE: An Efficient Parallel Fault Simulator for Synchronous Sequential Circuits (HKL, DSH), pp. 336–340.
DACDAC-1992-LeeR92a #concurrent #on the #performance #simulation
On Efficient Concurrent Fault Simulation for Synchronous Sequential Circuits (DHL, SMR), pp. 327–331.
DACDAC-1992-MajumdarS #on the #random testing #testing
On the Distribution of Fault Coverage and Test length in Random Testing of Combinational Circuits (AM, SS), pp. 341–346.
ICTSSIWPTS-1992-DriraASC #consistency #detection #testing
Characterizing and Ordering Errors Detected by Conformance Testing (KD, PA, BS, AMC), pp. 67–78.
ICTSSIWPTS-1992-GhedamsiDB #finite #nondeterminism #state machine #testing
Diagnostic Tests for Single Transition Faults in Non-Deterministic Finite State Machines (AG, RD, GvB), pp. 105–116.
SIGMODSIGMOD-1991-IoannidisC #on the
On the Propagation of Errors in the Size of Join Results (YEI, SC), pp. 268–277.
ICMLML-1991-Feng
Inducing Temporal Fault Diagnostic Rules from a Qualitative Model (CF), pp. 403–406.
ICMLML-1991-WeintraubB #generative #knowledge base
Generating Error Candidates for Assigning Blame in a Knowledge Base (MAW, TB), pp. 33–37.
ICSEICSE-1991-ChillaregeKC
Defect Type and Its Impact on the Growth Curve (RC, WlK, RGC), pp. 246–255.
DACDAC-1991-DeguchiIY #analysis #logic #probability
Probabilistic CTSS: Analysis of Timing Error Probability in Asynchronous Logic Circuits (YD, NI, SY), pp. 650–655.
DACDAC-1991-Kitamura #algorithm #simulation
Sequential Circuit Fault Simulation by Fault Information Tracing Algorithm: FIT (YK), pp. 151–154.
DACDAC-1991-MaoC #design
Correlation-Reduced Scan-path Design To Improve Delay Fault Coverage (WM, MDC), pp. 73–79.
DACDAC-1991-MontessoroG #concurrent #multi #named #performance #simulation
Creator: General and Efficient Multilevel Concurrent Fault Simulation (PLM, SG), pp. 160–163.
DACDAC-1991-PitchumaniMR #simulation
A System for Fault Diagnosis and Simulation of VHDL Descriptions (VP, PM, NR), pp. 144–150.
DACDAC-1991-PomeranzR #on the #using
On Achieving a Complete Fault Coverage for Sequential Machines Using the Transition Fault Model (IP, SMR), pp. 341–346.
DACDAC-1991-VandrisS #algorithm #memory management #performance #simulation
Algorithms for Fast, Memory Efficient Switch-Level Fault Simulation (EV, GES), pp. 138–143.
DACDAC-1991-WuL #probability #testing
A Probabilistic Testability Measure for Delay Faults (WCW, CLL), pp. 440–445.
STOCSTOC-1991-AslamD #bound
Searching in the Presence of Linearly Bounded Errors (JAA, AD), pp. 486–493.
CAVCAV-1991-BarbeauB #approach #petri net #using #verification
The Lotos Model of a Fault Protected System and its Verification Using a Petri Net Based Approach (MB, GvB), pp. 103–113.
CAVCAV-1991-Rasse #communication #finite
Error Diagnosis in Finite Communicating Systems (AR), pp. 114–124.
ICTSSIWPTS-1991-BochmannDDDGL #modelling #testing
Fault Models in Testing (GvB, AD, RD, MD, AG, GL), pp. 17–30.
ICTSSIWPTS-1991-FujiwaraB #nondeterminism #state machine #testing
Testing Non-Deterministic State Machines with Fault Coverage (SF, GvB), pp. 267–280.
CHICHI-1990-YoungW #analysis #concept #predict #using
Using a knowledge analysis to predict conceptual errors in text-editor usage (RMY, JW), pp. 91–98.
OOPSLAOOPSLA-ECOOP-1990-PurchaseW #object-oriented #parallel #specification
Message Pattern Specifications: A New Technique for Handling Errors in Parallel Object Oriented Systems (JAP, RLW), pp. 116–125.
POPLPOPL-1990-AikenWW #program transformation
Program Transformation in the Presence of Errors (AA, JHW, ELW), pp. 210–217.
DACDAC-1990-ButlerM #design #performance
The Influences of Fault Type and Topology on Fault Model Performance and the Implications to Test and Testable Design (KMB, MRM), pp. 673–678.
DACDAC-1990-HwangLSW #parallel
A Parallel Pattern Mixed-Level Fault Simulator (TSH, CLL, WZS, CPW), pp. 716–719.
DACDAC-1990-KuoLW #analysis
A Fault Analysis Method for Synchronous Sequential Circuits (TYK, JYL, JFW), pp. 732–735.
DACDAC-1990-LeeH #automation #generative #named #performance
SOPRANO: An Efficient Automatic Test Pattern Generator for Stuck-Open Faults in CMOS Combinational Circuits (HKL, DSH), pp. 660–666.
DACDAC-1990-MaoC #testing
A Variable Observation Time Method for Testing Delay Faults (WM, MDC), pp. 728–731.
DACDAC-1990-NiermannCP #memory management #named #performance #proving
Proofs: A Fast, Memory Efficient Sequential Circuit Fault Simulator (TMN, WTC, JHP), pp. 535–540.
DACDAC-1990-WardA #behaviour #simulation
Behavioral Fault Simulation in VHDL (PCW, JRA), pp. 587–593.
CSLCSL-1990-HertrampfW #bound #interactive #proving
Interactive Proof Systems: Provers, Rounds, and Error Bounds (UH, KWW), pp. 261–273.
PODSPODS-1989-FaloutsosM #clustering #using
Declustering Using Error Correcting Codes (CF, DNM), pp. 253–258.
ICMLML-1989-DrastalMR #induction
Error Correction in Constructive Induction (GD, RM, SR), pp. 81–83.
PLDIPLDI-1989-Cormack #lr #parsing #string #syntax
An LR Substring Parser for Noncorrecting Syntax Error Recovery (GVC), pp. 161–169.
ICSEICSE-1989-NakagawaH #complexity #metric #reliability
An Error Complexity Model for Software Reliability Measurement (YN, SH), pp. 230–236.
ICSEICSE-1989-YoungT #detection #taxonomy
Rethinking the Taxonomy of Fault Detection Techniques (MY, RNT), pp. 53–62.
DACDAC-1989-ChengY #difference #memory management #performance #simulation #using
Differential Fault Simulation — a Fast Method Using Minimal Memory (WTC, MLY), pp. 424–428.
DACDAC-1989-ChoB #generative #simulation
Test Pattern Generation for Sequential MOS Circuits by Symbolic Fault Simulation (KC, REB), pp. 418–423.
DACDAC-1989-GloverM #approach #testing
A Deterministic Approach to Adjacency Testing for Delay Faults (CTG, MRM), pp. 351–356.
DACDAC-1989-LeeHK #generative #testing #using
Test Generation of Stuck-open Faults Using Stuck-at Test Sets in CMOS Combinational Circuits (HKL, DSH, KK), pp. 345–350.
DACDAC-1989-MaoC #testing
A Simplified Six-waveform Type Method for Delay Fault Testing (WM, MDC), pp. 730–733.
DACDAC-1989-NarayananP #algorithm #parallel #simulation
A Massively Parallel Algorithm for Fault Simulation on the Connection Machine (VN, VP), pp. 734–737.
DACDAC-1989-RajsumanJM #detection #using
CMOS Stuck-open Fault Detection Using Single Test Patterns (RR, APJ, YKM), pp. 714–717.
DACDAC-1989-SargentB #algorithm #parallel #standard
A Parallel Row-based Algorithm for Standard Cell Placement with Integrated Error Control (JSS, PB), pp. 590–593.
DACDAC-1989-SchulzFF #parallel #simulation
Parallel Pattern Fault Simulation of Path Delay Faults (MHS, FF, KF), pp. 357–363.
DACDAC-1989-Tamura #functional #logic
Locating Functional Errors in Logic Circuits (KAT), pp. 185–191.
DACDAC-1989-WangKL #approach #logic #robust #set
A New Approach to Derive Robust Sets for Stuck-open Faults in CMOS Combinational Logic Circuits (JFW, TYK, JYL), pp. 726–729.
SIGIRSIGIR-1988-Veronis #interface #natural language
Correction of Phonographic Errors in Natural Language Interfaces (JV), pp. 101–115.
ICSEICSE-1988-ChaLS #analysis #safety #using #verification
Safety Verification in Murphy Using Fault Tree Analysis (SSC, NGL, TJS), pp. 377–387.
DACDAC-1988-ChaoG #modelling
Micro-operation Perturbations in Chip Level Fault Modeling (CHC, FGG), pp. 579–582.
DACDAC-1988-DubaRAR #distributed #simulation
Fault Simulation in a Distributed Environment (PAD, RKR, JAA, WAR), pp. 686–691.
DACDAC-1988-GaiMS #algorithm #concurrent #performance #simulation
The Performance of the Concurrent Fault Simulation Algorithms in MOZART (SG, PLM, FS), pp. 692–697.
DACDAC-1988-GloverM #generative #testing
A Method of Delay Fault Test Generation (CTG, MRM), pp. 90–95.
DACDAC-1988-HarelK #approach #graph #simulation
A Graph Compaction Approach to Fault Simulation (DH, BK), pp. 601–604.
DACDAC-1988-HillAHS #algorithm #simulation
A New Two Task Algorithm for Clock Mode Fault Simulation in Sequential Circuits (FJH, EA, WKH, GQS), pp. 583–586.
DACDAC-1988-MotoharaMUMS #approach #performance #simulation
An Approach to Fast Hierarchical Fault Simulation (AM, MM, MU, YM, MS), pp. 698–703.
STOCSTOC-1988-KearnsL #learning
Learning in the Presence of Malicious Errors (MJK, ML), pp. 267–280.
HCIHCI-CE-1987-YoonH
A Deep-Reasoning Aid for Deep-Reasoning Fault Diagnosis (WCY, JMH), pp. 297–304.
ESECESEC-1987-Conradi #documentation #experience #fortran #source code #verification
Experience with Fortran Verifier. A Tool for Documentation and Error Diagnosis of Fortran-77 Programs (RC), pp. 263–275.
ESECESEC-1987-Knabe #exception #industrial #re-engineering #safety
The Impact of Exception Handling on Labour Division, Safety, and Error Diagnostics in an Industrial Software Engineering Environment (CK), pp. 369–376.
DACDAC-1987-Koeppe #layout
Optimal Layout to Avoid CMOS Stuck-Open Faults (SK), pp. 829–835.
DACDAC-1987-Maly #modelling #testing
Realistic Fault Modeling for VLSI Testing (WM), pp. 173–180.
DACDAC-1987-RajsumanMJ #modelling #on the
On Accuracy of Switch-Level Modeling of Bridging Faults in Complex Gates (RR, YKM, APJ), pp. 244–250.
DACDAC-1987-SchultzB #simulation
Accelerated Transition Fault Simulation (MHS, FB), pp. 237–243.
TAPSOFTCAAP-1987-Gogolla #algebra #on the #parametricity #specification
On Parametric Algebraic Specifications with Clean Error Handling (MG), pp. 81–95.
STOCSTOC-1987-HastadLN #configuration management
Reconfiguring a Hypercube in the Presence of Faults (JH, FTL, MN), pp. 274–284.
CSLCSL-1987-RinnS #learning
Learning by Teams from Examples with Errors (RR, BS), pp. 223–234.
POPLPOPL-1986-Wand
Finding the Source of Type Errors (MW), pp. 38–43.
DACDAC-1986-BarzilaiBHIS #analysis #named #performance #verification
SLS — a fast switch level simulator for verification and fault coverage analysis (ZB, DKB, LMH, VSI, GMS), pp. 164–170.
DACDAC-1986-Daehn #difference
A unified treatment of PLA faults by Boolean differences (WD), pp. 334–338.
DACDAC-1986-Ghosh #approach #functional #rule-based #simulation #verification
A rule-based approach to unifying functional and fault simulation and timing verification (SG), pp. 677–682.
DACDAC-1986-MaS #estimation
Mixed-level fault coverage estimation (HKTM, ALSV), pp. 553–559.
DACDAC-1986-Tryon #correlation #self
Self-testing with correlated faults (DRT), pp. 374–377.
DACDAC-1986-WeiweiX #algorithm #generative #robust #testing
Robust test generation algorithm for stuck-open fault in CMOS circuits (WM, XL), pp. 236–242.
DACDAC-1986-WunderlichR #modelling #on the
On fault modeling for dynamic MOS circuits (HJW, WR), pp. 540–546.
ICSEICSE-1985-KnightA #evaluation
An Experimental Evaluation of Simple Methods for Seeding Program Errors (JCK, PA), pp. 337–342.
ICSEICSE-1985-TakahashiK #empirical #predict
An Empirical Study of a Model for Program Error Prediction (MT, YK), pp. 330–336.
DACDAC-1985-BryantS #concurrent #evaluation #performance
Performance evaluation of FMOSSIM, a concurrent switch-level fault simulator (REB, MDS), pp. 715–719.
DACDAC-1985-GuptaA #functional #modelling #simulation
Functional fault modeling and simulation for VLSI devices (AKG, JRA), pp. 720–726.
DACDAC-1985-Tendolkar #analysis #random
Analysis of timing failures due to random AC defects in VLSI modules (NNT), pp. 709–714.
TAPSOFTCSE-1985-BiebowH #algebra #specification
Algebraic Specification of Synchronisation and Errors: A Telephonic Example (BB, JH), pp. 294–308.
ICALPICALP-1984-Bidoit #algebra #equation #exception #specification
Algebraic Specification of Exception Handling and Error Recovery by Means of Declarations and Equations (MB), pp. 95–108.
ICSEICSE-1984-Ciuffoletti #communication #process
Error Recovery in Systems of Communicating Processes (AC), pp. 6–17.
ICSEICSE-1984-Zeil #testing
Perturbation Testing for Computation Errors (SJZ), pp. 257–265.
DACDAC-1984-JainA #named #simulation
STAFAN: An alternative to fault simulation (SKJ, VDA), pp. 18–23.
DACDAC-1984-KawaiH #simulation
An experimental MOS fault simulation program CSASIM (MK, JPH), pp. 2–9.
DACDAC-1984-ReddyAJ #detection #logic
A gate level model for CMOS combinational logic circuits with application to fault detection (SMR, VDA, SKJ), pp. 504–509.
STOCSTOC-1984-DolevMMU #memory management
Correcting Faults in Write-Once Memory (DD, DM, HGM, JDU), pp. 225–229.
DACDAC-1983-AbramoviciMM #simulation
Critical path tracing — an alternative to fault simulation (MA, PRM, DTM), pp. 214–220.
DACDAC-1983-Acken #testing
Testing for bridging faults (shorts) in CMOS circuits (JMA), pp. 717–718.
DACDAC-1983-ChiangV #detection #logic #network #on the
On fault detection in CMOS logic networks (KWC, ZGV), pp. 50–56.
DACDAC-1983-Vida-TorkuR #multi #quality
Quality level and fault coverage for multichip modules (EKVT, CER), pp. 201–206.
POPLPOPL-1982-SippiS #lr #parsing
Practical Error Recovery in LR Parsing (SS, ESS), pp. 177–184.
PLDISCC-1982-BurkeF
A Practical Method for Syntactic Error Diagnosis and Recovery (MGB, GAF), pp. 67–78.
PLDISCC-1982-JohnsonR #semantics
Semantic Errors — Diagnosis and Repair (CWJ, CR), pp. 88–97.
DACDAC-1982-BoseKLNPW
A fault simulator for MOS LSI circuits (AKB, PK, CYL, HNN, EPS, KWW), pp. 400–409.
DACDAC-1982-Hayes #simulation
A fault simulation methodology for VLSI (JPH), pp. 393–399.
DACDAC-1982-MinS #functional #testing
Testing functional faults in VLSI (YM, SYHS), pp. 384–392.
DACDAC-1982-Saluja #generative
An enhancement of lssd to reduce test pattern generation effort and increase fault coverage (KKS), pp. 489–494.
ICLPILPC-1982-Eshghi82 #logic #metalanguage #programming
Application of Meta-language Programming to Fault Finding in Logic Circuits (KE), pp. 240–246.
DACDAC-1981-AgrawalSA #quality
LSI product quality and fault coverage (VDA, SCS, PA), pp. 196–203.
DACDAC-1981-AlmyR #using
Using error latch trace to obtain diagnostic information (PMA, JLR), pp. 355–359.
DACDAC-1981-El-Ziq #automation #generative #testing
Automatic test generation for stuck-open faults in CMOS VLSI (YMEZ), pp. 347–354.
DACDAC-1981-HsuSB #testing
Structured trace diagnosis for LSSD board testing — an alternative to full fault simulated diagnosis (FCH, PS, REB), pp. 891–897.
DACDAC-1981-Masurkar #algorithm #development #identification #network
An algorithmic pretest development for fault identification in analog networks (VM), pp. 204–212.
DACDAC-1981-McDermott #analysis #random
Random fault analysis (RMM), pp. 360–364.
DACDAC-1980-AbramoviciB #analysis
Fault diagnosis based on effect-cause analysis: An introduction (MA, MAB), pp. 69–76.
DACDAC-1980-dAbreuT #concurrent #functional
An accurate functional level concurrent fault simulator (MAd, EWT), pp. 210–217.
DACDAC-1980-GiambiasiMM #deduction #simulation
Methods for generalized deductive fault simulation (NG, AM, DM), pp. 386–392.
DACDAC-1980-KarpovskyS #component #detection #standard
Detecting bridging and stuck-at faults at input and output pins of standard digital components (MGK, SYHS), pp. 494–505.
DACDAC-1980-Northcutt #design #implementation
The design and implementation of fault insertion capabilities for ISPS (JDN), pp. 197–209.
DACDAC-1980-UlrichLPTKEB #concurrent #performance #simulation
High-speed concurrent fault simulation with vectors and scalars (EU, DL, NP, JT, MK, TE, RB), pp. 374–380.
VLDBVLDB-1979-WilsonS #detection #interactive
A System for Interactive Error Detection (GAW, SBS), pp. 32–51.
PLDISCC-1979-GrahamHJ #lr
Practical LR error recovery (SLG, CBH, WNJ), pp. 168–175.
PLDISCC-1979-PaiK #parsing #syntax
Global context recovery: A new strategy for parser recovery from syntax errors (ABP, RBK), pp. 158–167.
DACDAC-1979-Cha #multi #network
Multiple fault diagnosis in combinational networks (CWC), pp. 149–155.
DACDAC-1979-El-Ziq #generative #network #performance #simulation #testing
Testing of MOS combinational networks a procedure for efficient fault simulation and test generation (YMEZ), pp. 162–170.
DACDAC-1979-KjelkerudT #deduction #generative #logic #simulation #testing #using
Generation of hazard free tests using the D-algorithm in a timing accurate system for logic and deductive fault simulation (EK, OT), pp. 180–184.
POPLPOPL-1978-German #automation #proving #runtime
Automating Proofs of the Absence of Common Runtime Errors (SMG), pp. 105–118.
POPLPOPL-1978-PennelloD #algorithm #lr
A Forward Move Algorithm for LR Error Recovery (TJP, FD), pp. 241–254.
ICSEICSE-1978-HerndonK #analysis #validation
Analysis of Error Remediation Expenditures During Validation (MAH, APK), pp. 202–206.
DACDAC-1978-CaseS #logic #simulation
SALOGS-IV-A program to perform logic simulation and fault diagnosis (GRC, JDS), pp. 392–397.
DACDAC-1978-MalekB #functional #simulation
Functional simulation and fault diagnosis (MM, AKB), pp. 340–346.
DACDAC-1978-MiaraG #deduction #simulation
Dynamic and deductive fault simulation (AM, NG), pp. 439–443.
DACDAC-1978-YamadaWFF #automation #generative #scalability #testing
Automatic System Level Test Generation and Fault Location for Large Digital Systems (AY, NW, TF, SF), pp. 347–352.
STOCSTOC-1978-RivestMKWS
Coping with Errors in Binary Search Procedures (RLR, ARM, DJK, KW, JS), pp. 227–232.
ICALPICALP-1977-Dieterich #context-free grammar #parsing
Parsing and Syntactic Error Recovery for Context-Free Grammars by Means of Coarse Structures (EWD), pp. 180–192.
ICALPICALP-1977-SippuS #on the #parsing
On Defining Error Recovery in Context-Free Parsing (SS, ESS), pp. 492–503.
DACDAC-1977-AbramoviciBK #concurrent #functional #modelling #simulation
Concurrent fault simulation and functional level modeling (MA, MAB, KK), pp. 128–137.
DACDAC-1977-SchulerC #performance #simulation
An efficient method of fault simulation for digital circuits modeled from boolean gates and memories (DMS, RKC), pp. 230–238.
DACDAC-1977-Snethen #generative
Simulator-oriented fault test generator (TJS), pp. 88–93.
DACDAC-1977-Strunge #modelling
Fault modeling in a hierarchical simulator (JJS), pp. 118–127.
DACDAC-1976-Case #analysis #logic
Analysis of actual fault mechanisms in CMOS logic gates (GRC), pp. 265–270.
DACDAC-1976-GoundanH #clustering #logic
Partitioning logic circuits to maximize fault resolution (AG, JPH), pp. 271–277.
DACDAC-1976-WilcoxR #interactive #logic #named
F/LOGIC — An interactive fault and logic simulator for digital circuits (PSW, HR), pp. 68–73.
DACDAC-1975-Fike #design #detection #predict #question
Predicting fault detectability in combinational circuits — a new design tool? (JLF), pp. 290–295.
DACDAC-1975-ThompsonS #simulation
Three levels of accuracy for the simulation of different fault types in digital systems (EWT, SAS), pp. 105–113.
SOSPSOSP-1975-RussellB
Error Resynchronization in Producer-Consumer Systems (DLR, THB), pp. 106–113.
SOSPSOSP-1975-Sadeh #algorithm #analysis #performance
An Analysis of the Performance of the Page Fault Frequency (PFF) Replacement Algorithm (ES), pp. 6–13.
DACDAC-1974-ThompsonSBP #analysis #simulation #using
Timing analysis for digital fault simulation using assignable delays (EWT, SAS, NB, RP), pp. 266–272.
POPLPOPL-1973-GrahamR
Practical Syntactic Error Recovery (SLG, SPR), pp. 52–58.
STOCSTOC-1973-Miller #towards #verification
Toward Mechanical Verification of Properties of Roundoff Error Propagation (WM), pp. 50–58.
STOCSTOC-1973-Teitelbaum #algebra #analysis #evaluation
Context-Free Error Analysis by Evaluation of Algebraic Power Series (RT), pp. 196–199.
DACDAC-1972-Banes #design
Error free MOS/LSI design system (AVB), pp. 29–33.
DACDAC-1972-ChangDE #analysis #logic #self #simulation
Logic simulation and fault analysis of a self-checking switching processor (HYC, RCD, RAE), pp. 128–137.
DACDAC-1972-McClure #logic #simulation
Fault simulation of digital logic utilizing a small host machine (RMM), pp. 104–110.
DACDAC-1971-Bening #logic #maintenance
Application of a logic fault analyzer to the manufacture and maintenance of the CONTROL DATA 7600 computer (LCBJ), pp. 231–235.
DACDAC-1971-KlaytonBL #detection #memory management
Fault detection and diagnosis of memory system faults (ARK, WAB, AIL), pp. 269–277.
SOSPSOSP-1971-Needham #operating system
Handling Difficult Faults in Operating Systems (RMN), pp. 55–57.
DACDAC-1970-BottorffSV #approach #automation #memory management #problem #testing
An automatic system approach to the problem of memory circuit testing & fault diagnosis (PSB, MES, FJV), pp. 95–99.

Bibliography of Software Language Engineering in Generated Hypertext (BibSLEIGH) is created and maintained by Dr. Vadim Zaytsev.
Hosted as a part of SLEBOK on GitHub.