Proceedings of the 11th IEEE/IFIP Conference on Software Architecture
BibSLEIGH corpus
BibSLEIGH tags
BibSLEIGH bundles
BibSLEIGH people
EDIT!
CC-BY
Open Knowledge
XHTML 1.0 W3C Rec
CSS 2.1 W3C CanRec
email twitter


Proceedings of the 11th IEEE/IFIP Conference on Software Architecture
WICSA, 2014.

ARCH
DBLP
Scholar
CSDL
Full names Links ISxN
@proceedings{WICSA-2014,
	address       = "Sydney, Australia",
	ee            = "http://www.computer.org/csdl/proceedings/wicsa/2014/3412/00/index.html",
	isbn          = "978-1-4799-3412-6",
	publisher     = "{IEEE Computer Society}",
	title         = "{Proceedings of the 11th IEEE/IFIP Conference on Software Architecture}",
	year          = 2014,
}

Contents (36 items)

WICSA-2014-BabazadehP #design #distributed #framework
The Stream Software Connector Design Space: Frameworks and Languages for Distributed Stream Processing (MB, CP), pp. 1–10.
WICSA-2014-BiancoMKR #bound #case study #ecosystem #framework #platform
The Role of Platform Boundary Resources in Software Ecosystems: A Case Study (VdB, VM, MK, MR), pp. 11–20.
WICSA-2014-SyromiatnikovW #design pattern
A Journey through the Land of Model-View-Design Patterns (AS, DW), pp. 21–30.
WICSA-2014-SeriaiSSH #component #interface #legacy
Deriving Component Interfaces after a Restructuring of a Legacy System (AS, SS, HAS, SH), pp. 31–40.
WICSA-2014-ProcacciantiLL #architecture
Green Architectural Tactics for the Cloud (GP, PL, GAL), pp. 41–44.
WICSA-2014-UusitaloRKMM #architecture #automation #lessons learnt #safety
Lessons Learned from Safety-Critical Software-Based Automation Architectures of Nuclear Power Plants (EJU, MR, MK, VM, TM), pp. 45–48.
WICSA-2014-AmorimAM #architecture #ecosystem #scalability
Scalability of Ecosystem Architectures (SdSA, ESdA, JDM), pp. 49–52.
WICSA-2014-WeitzelRS #architecture #case study #development #experience #research
Sustaining Agility through Architecture: Experiences from a Joint Research and Development Laboratory (BW, DR, MS), pp. 53–56.
WICSA-2014-VierhauserRGDWZ #architecture #flexibility #framework #monitoring #runtime
A Flexible Framework for Runtime Monitoring of System-of-Systems Architectures (MV, RR, PG, CD, SW, HZ), pp. 57–66.
WICSA-2014-Gonzalez-HerreraBDRBFJ #adaptation #component #framework #monitoring #named
Scapegoat: An Adaptive Monitoring Framework for Component-Based Systems (IYGH, JB, ED, WR, OB, FF, JMJ), pp. 67–76.
WICSA-2014-BaresiGM #consistency #evolution #process #runtime
Consistent Runtime Evolution of Service-Based Business Processes (LB, SG, VPLM), pp. 77–86.
WICSA-2014-AliBGKP #adaptation #architecture #estimation
Architecture Adaptation Based on Belief Inaccuracy Estimation (RAA, TB, IG, JK, FP), pp. 87–90.
WICSA-2014-FaniyiLBY #architecture #self
Architecting Self-Aware Software Systems (FF, PRL, RB, XY), pp. 91–94.
WICSA-2014-WeinreichB #architecture #automation #consistency
Automatic Reference Architecture Conformance Checking for SOA-Based Software Systems (RW, GB), pp. 95–104.
WICSA-2014-CostaPDM #architecture #question #rest #what
Evaluating a Representational State Transfer (REST) Architecture: What is the Impact of REST in My Architecture? (BC, PFP, FCD, PM), pp. 105–114.
WICSA-2014-KnodelN #architecture #evaluation #industrial
Software Architecture Evaluation in Practice: Retrospective on More Than 50 Architecture Evaluations in Industry (JK, MN), pp. 115–124.
WICSA-2014-FuZBX #analysis
A Recoverability-Oriented Analysis for Operations on Cloud Applications (MF, LZ, LB, XX), pp. 125–128.
WICSA-2014-KrkaM #component
Component-Aware Triggered Scenarios (IK, NM), pp. 129–138.
WICSA-2014-ZernadjiTC #approach #evolution #quality #requirements #web #web service
Processing the Evolution of Quality Requirements of Web Service Orchestrations: A Pattern-Based Approach (TZ, CT, CF), pp. 139–142.
WICSA-2014-NakagawaGMFO #architecture #design #evaluation #process #representation
Consolidating a Process for the Design, Representation, and Evaluation of Reference Architectures (EYN, MG, JCM, DF, FO), pp. 143–152.
WICSA-2014-DamRE #architecture #consistency #modelling #nondeterminism
Inconsistency Resolution in Merging Versions of Architectural Models (HKD, AR, AE), pp. 153–162.
WICSA-2014-Braude #architecture #cumulative #development
Cumulative Software Architecture Development (EJB), pp. 163–166.
WICSA-2014-ChauhanB #architecture #as a service #development #tool support #towards
Towards a Reference Architecture to Provision Tools as a Service for Global Software Development (MAC, MAB), pp. 167–170.
WICSA-2014-SeeleSB #architecture #functional #modelling #web
The Functional Architecture Modeling Method Applied on Web Browsers (WS, SS, SB), pp. 171–174.
WICSA-2014-SapienzaCP #architecture #clustering #multi
Architectural Decisions for HW/SW Partitioning Based on Multiple Extra-Functional Properties (GS, IC, PP), pp. 175–184.
WICSA-2014-RekhaM #architecture #case study
A Study on Group Decision-Making in Software Architecture (VSR, HM), pp. 185–194.
WICSA-2014-ChenB #agile #architecture #comprehension #development #refactoring #towards
Towards an Evidence-Based Understanding of Emergence of Architecture through Continuous Refactoring in Agile Software Development (LC, MAB), pp. 195–204.
WICSA-2014-SmileyMW #adaptation #architecture #performance #product line #reuse
A Dynamic Software Product Line Architecture for Prepackaged Expert Analytics: Enabling Efficient Capture, Reuse and Adaptation of Operational Knowledge (KS, SM, PW), pp. 205–214.
WICSA-2014-JavedZ #architecture #comprehension #traceability
The Supportive Effect of Traceability Links in Architecture-Level Software Understanding: Two Controlled Experiments (MAJ, UZ), pp. 215–224.
WICSA-2014-ManteuffelTKGA #architecture #documentation #framework #implementation #industrial
Industrial Implementation of a Documentation Framework for Architectural Decisions (CM, DT, HK, TG, PA), pp. 225–234.
WICSA-2014-PerovichB #architecture #formal method #modelling
Model-Based Formalization of Software Architecture Knowledge (DP, MCB), pp. 235–238.
WICSA-2014-GalsterB #architecture #empirical #information management
Empirical Study of Architectural Knowledge Management Practices (MG, MAB), pp. 239–242.
WICSA-2014-HarperD #agile #architecture #data analysis
Agile Software Architecture in Advanced Data Analytics (KEH, AD), pp. 243–246.
WICSA-2014-TamburriLDH #architecture
Architecting in Networked Organizations (DAT, PL, CD, RH), pp. 247–250.
WICSA-2014-BaroniMMW #architecture #modelling #semantics #wiki
Architecture Description Leveraging Model Driven Engineering and Semantic Wikis (AB, HM, IM, EW), pp. 251–254.
WICSA-2014-DragomirLB #approach #architecture
Systematic Architectural Decision Management, A Process-Based Approach (AD, HL, TB), pp. 255–258.

Bibliography of Software Language Engineering in Generated Hypertext (BibSLEIGH) is created and maintained by Dr. Vadim Zaytsev.
Hosted as a part of SLEBOK on GitHub.