BibSLEIGH
BibSLEIGH corpus
BibSLEIGH tags
BibSLEIGH bundles
BibSLEIGH people
EDIT!
CC-BY
Open Knowledge
XHTML 1.0 W3C Rec
CSS 2.1 W3C CanRec
email twitter
Travelled to:
1 × Belgium
1 × Cyprus
1 × Denmark
1 × Estonia
1 × Finland
1 × France
1 × Greece
1 × Hungary
1 × India
1 × Portugal
1 × Russia
1 × South Africa
1 × Sweden
1 × Switzerland
1 × The Netherlands
2 × Canada
2 × Italy
2 × United Kingdom
3 × USA
Collaborated with:
H.Muccini P.Inverardi I.Malavolta D.D.Ruscio R.Heldal M.Tivoli A.Bucchiarone A.Pierantonio M.Autili U.Eliasson R.Wohlrab R.Hilliard O.Runge J.Lantz C.Menghi T.Berger A.Romanovsky M.Caporuscio A.Bertolino C.Vattani F.Facchini D.Compare A.Sebastiani E.Knauss H.Ehrig C.Ermel D.Bozhinoski S.García T.Bures Sergio García 0002 V.Cortellessa J.Derehag J.Whittle Chen Yang 0007 Peng Liang 0001 P.Avgeriou
Talks about:
architectur (15) descript (6) softwar (6) model (6) analysi (5) system (5) framework (4) architect (4) tool (4) techniqu (3)

Person: Patrizio Pelliccione

DBLP DBLP: Pelliccione:Patrizio

Contributed to:

WICSA 20152015
ESEC/FSE 20132013
FASE 20122012
ICSE 20122012
WICSA/ECSA 20122012
ESEC/FSE 20112011
ASE 20102010
ECSA 20102010
FASE 20102010
ICSE 20102010
ESEC/FSE 20092009
WICSA/ECSA 20092009
ASE 20082008
WICSA 20082008
WICSA 20072007
GT-VMT 20062008
QoSA 20062006
CBSE 20052005
ESEC/FSE 20052005
WICSA 20052005
ICSE 20042004
FME 20032003
ASE 20012001
ASE 20152015
MoDELS 20162016
SLE 20192019
ECSA 20172017
ICSA 20182018
ICSA 20192019

Wrote 31 papers:

WICSA-2015-EliassonHPL #architecture
Architecting in the Automotive Domain: Descriptive vs Prescriptive Architecture (UE, RH, PP, JL), pp. 115–118.
ESEC-FSE-2013-InverardiARPT #challenge #integration #research
Producing software by integration: challenges and research directions (PI, MA, DDR, PP, MT), pp. 2–12.
FASE-2012-RuscioMMPP #architecture #modelling
Model-Driven Techniques to Enhance Architectural Languages Interoperability (DDR, IM, HM, PP, AP), pp. 26–42.
ICSE-2012-RuscioPP #evolution #named #open source
EVOSS: A tool for managing the evolution of free and open source software systems (DDR, PP, AP), pp. 1415–1418.
WICSA-ECSA-2012-HilliardMMP #architecture #composition #framework #on the #reuse #using
On the Composition and Reuse of Viewpoints across Architecture Frameworks (RH, IM, HM, PP), pp. 131–140.
ESEC-FSE-2011-AutiliCRIPT #named #nondeterminism #ubiquitous
EAGLE: engineering software in the ubiquitous globe by leveraging uncErtainty (MA, VC, DDR, PI, PP, MT), pp. 488–491.
ASE-2010-HilliardMMP #architecture #framework #megamodelling
Realizing architecture frameworks through megamodelling techniques (RH, IM, HM, PP), pp. 305–308.
ECSA-2010-RuscioMMPP #architecture #framework #named
ByADL: An MDE Framework for Building Extensible Architecture Description Languages (DDR, IM, HM, PP, AP), pp. 527–531.
FASE-2010-EhrigERBP #analysis #formal method #self #verification
Formal Analysis and Verification of Self-Healing Systems (HE, CE, OR, AB, PP), pp. 139–153.
ICSE-2010-RuscioMMPP #generative
Developing next generation ADLs through MDE techniques (DDR, IM, HM, PP, AP), pp. 85–94.
ESEC-FSE-2009-BertolinoIPT #automation #behaviour #composition #protocol #synthesis
Automatic synthesis of behavior protocols for composable web-services (AB, PI, PP, MT), pp. 141–150.
WICSA-ECSA-2009-BucchiaronePVR #modelling #self #using #verification
Self-Repairing systems modeling and verification using AGG (AB, PP, CV, OR), pp. 181–190.
ASE-2008-MalavoltaMP #architecture #framework #named #tool support
DUALLY: A framework for Architectural Languages and Tools Interoperability (IM, HM, PP), pp. 483–484.
WICSA-2008-MucciniP #analysis #architecture #functional #simulation
Simulating Software Architectures for Functional Analysis (HM, PP), pp. 289–292.
WICSA-2007-MucciniPR #architecture #fault tolerance
Architecting Fault Tolerant Systems (HM, PP, AR), p. 43.
GT-VMT-2006-AutiliP08 #requirements #towards #visual notation
Towards a Graphical Tool for Refining User to System Requirements (MA, PP), pp. 147–157.
QoSA-2006-BucchiaroneMP #analysis #architecture #process
A Practical Architecture-Centric Analysis Process (AB, HM, PP), pp. 127–144.
CBSE-2005-PelliccioneMBF #modelling #named #sequence #specification #testing
TeStor: Deriving Test Sequences from Model-Based Specifications (PP, HM, AB, FF), pp. 267–282.
ESEC-FSE-2005-InverardiMP #analysis #architecture #named
CHARMY: an extensible tool for architectural analysis (PI, HM, PP), pp. 111–114.
WICSA-2005-InverardiMP #named #uml
DUALLY: Putting in Synergy UML 2.0 and ADLs (PI, HM, PP), pp. 251–252.
ICSE-2004-CaporuscioIP #architecture #composition #middleware #verification
Compositional Verification of Middleware-Based Software Architecture Descriptions (MC, PI, PP), pp. 221–230.
FME-2003-CompareIPS #analysis #architecture #lifecycle #model checking #validation
Integrating Model-Checking Architectural Analysis and Validation in a Real Software Life-Cycle (DC, PI, PP, AS), pp. 114–132.
ASE-2001-InverardiMP #architecture #automation #consistency #modelling #using
Automated Check of Architectural Models Consistency Using SPIN (PI, HM, PP), pp. 346–349.
ASE-2015-BozhinoskiRMPT #multi #named
FLYAQ: Enabling Non-expert Users to Specify and Generate Missions of Autonomous Multicopters (DB, DDR, IM, PP, MT), pp. 801–806.
MoDELS-2016-HeldalPELDW #industrial #modelling
Descriptive vs prescriptive models in industry (RH, PP, UE, JL, JD, JW), pp. 216–226.
MoDELS-2016-RuscioMPT #automation #generative
Automatic generation of detailed flight plans from high-level mission descriptions (DDR, IM, PP, MT), pp. 45–55.
SLE-2019-GPMBB #multi #specification
High-level mission specification for multiple robots (SG, PP, CM, TB, TB), pp. 127–140.
ECSA-2017-YangLAEHP #architecture #case study #industrial
Architectural Assumptions and Their Management in Industry - An Exploratory Study (CY0, PL0, PA, UE, RH, PP), pp. 191–207.
ICSA-2018-GarciaMPBW #architecture #collaboration #distributed
An Architecture for Decentralized, Collaborative, and Autonomous Robots (SG0, CM, PP, TB, RW), pp. 75–84.
ICSA-2019-WohlrabEPH #architecture #consistency #guidelines
Improving the Consistency and Usefulness of Architecture Descriptions: Guidelines for Architects (RW, UE, PP, RH), pp. 151–160.
ICSA-2019-WohlrabPKH #agile #architecture #case study #interface #on the
On Interfaces to Support Agile Architecting in Automotive: An Exploratory Case Study (RW, PP, EK, RH), pp. 161–170.

Bibliography of Software Language Engineering in Generated Hypertext (BibSLEIGH) is created and maintained by Dr. Vadim Zaytsev.
Hosted as a part of SLEBOK on GitHub.