BibSLEIGH
BibSLEIGH corpus
BibSLEIGH tags
BibSLEIGH bundles
BibSLEIGH people
CC-BY
Open Knowledge
XHTML 1.0 W3C Rec
CSS 2.1 W3C CanRec
email twitter
Used together with:
softwar (28)
system (20)
architectur (11)
requir (10)
experi (9)

Stem architect$ (all stems)

104 papers:

ECSAECSA-2015-AngelovB #agile #approach #architecture #development #education
An Approach to Software Architecting in Agile Software Development Projects in Education (SA, PdB), pp. 157–168.
WICSAWICSA-2015-BarnettVT #architecture #concept #mobile
A Conceptual Model for Architecting Mobile Applications (SB, RV, AT), pp. 105–114.
WICSAWICSA-2015-Chen #architecture #towards
Towards Architecting for Continuous Delivery (LC), pp. 131–134.
WICSAWICSA-2015-EliassonHPL #architecture
Architecting in the Automotive Domain: Descriptive vs Prescriptive Architecture (UE, RH, PP, JL), pp. 115–118.
WICSAWICSA-2015-PoortV #architecture #case study #cost analysis #experience
Architecting in a Solution Costing Context: Early Experiences with Solution-Based Estimating (EP, EvdV), pp. 127–130.
SACSAC-2015-TizzeiABC #architecture #case study #product line #tool support #using
Architecting cloud tools using software product line techniques: an exploratory study (LPT, LGA, MdB, RFGC), pp. 1441–1448.
ESEC-FSEESEC-FSE-2015-NasrBAFBSD #architecture #matrix #named
MatrixMiner: a red pill to architect informal product descriptions in the matrix (SBN, GB, MA, JBFF, BB, NS, JMD), pp. 982–985.
ECSAECSA-2014-CaraccioloLN #architecture #how #quality #question #requirements #validation
How Do Software Architects Specify and Validate Quality Requirements? (AC, MFL, ON), pp. 374–389.
WICSAWICSA-2014-FaniyiLBY #architecture #self
Architecting Self-Aware Software Systems (FF, PRL, RB, XY), pp. 91–94.
WICSAWICSA-2014-TamburriLDH #architecture
Architecting in Networked Organizations (DAT, PL, CD, RH), pp. 247–250.
DACDAC-2014-SorinMZ #architecture #power management
Architecting Dynamic Power Management to be Formally Verifiable (DJS, OM, MZ), p. 3.
HCIHCI-TMT-2014-Fross #architecture
Ergonomics in the Practice of Project Architect on Selected Examples (KF), pp. 77–85.
CAiSECAiSE-2014-EngelsmanW #architecture #concept #enterprise #requirements
Understandability of Goal-Oriented Requirements Engineering Concepts for Enterprise Architects (WE, RW), pp. 105–119.
ECSAECSA-2013-AmellerGAF #architecture #bibliography #quality
The Role of Quality Attributes in Service-Based Systems Architecting: A Survey (DA, MG, PA, XF), pp. 200–207.
ECSAECSA-2013-HaitzerZ #architecture #component #comprehension #design #diagrams #empirical
Controlled Experiment on the Supportive Effect of Architectural Component Diagrams for Design Understanding of Novice Architects (TH, UZ), pp. 54–71.
ECSAECSA-2013-TofanGA #architecture #bibliography
Difficulty of Architectural Decisions — A Survey with Professional Architects (DT, MG, PA), pp. 192–199.
ECSAECSA-2013-VenB #architecture #design
Making the Right Decision: Supporting Architects with Design Decision Data (JSvdV, JB), pp. 176–183.
DATEDATE-2013-VermesanBJHBM #architecture #ecosystem #mobile
Smart, connected and mobile: architecting future electric mobility ecosystems (OV, LCJB, RJ, PH, RB, AM), pp. 1740–1744.
CHICHI-2013-GrahamSPBD #architecture #distributed #game studies #what
Villains, architects and micro-managers: what tabula rasa teaches us about game orchestration (TCNG, IS, MP, QB, RD), pp. 705–714.
RERE-2013-SchneiderBB #architecture #enterprise #implementation #modelling #requirements
A tool implementation of the unified requirements modeling language as enterprise architect add-in (FS, BB, BB), pp. 334–335.
REFSQREFSQ-2013-DanevaBH #architecture #case study #experience #quality #question #requirements #what
Software Architects’ Experiences of Quality Requirements: What We Know and What We Do Not Know? (MD, LB, AH), pp. 1–17.
WICSA-ECSAWICSA-ECSA-2012-KeulerKNR #architecture #framework #re-engineering #towards
Architecture Engagement Purposes: Towards a Framework for Planning “Just Enough”-Architecting in Software Engineering (TK, JK, MN, DR), pp. 234–238.
DACDAC-2012-JogMXXNID #architecture #performance
Cache revive: architecting volatile STT-RAM caches for enhanced performance in CMPs (AJ, AKM, CX, YX, VN, RI, CRD), pp. 243–252.
DATEDATE-2012-ZhaoYZCL #architecture #array #memory management
Architecting a common-source-line array for bipolar non-volatile memory devices (BZ, JY, YZ, YC, HL), pp. 1451–1454.
RERE-2012-AmellerACF #architecture #case study #how #non-functional #requirements
How do software architects consider non-functional requirements: An exploratory study (DA, CPA, JC, XF), pp. 41–50.
REFSQREFSQ-2012-PoortMWV #architecture #how #non-functional #requirements
How Architects See Non-Functional Requirements: Beware of Modifiability (ERP, NM, IvdW, HvV), pp. 37–51.
ECSAECSA-2011-Axelsson #architecture #embedded #how #nondeterminism #on the
On How to Deal with Uncertainty When Architecting Embedded Software and Systems (JA), pp. 199–202.
ECSAECSA-2011-CiraciSA #architecture #evolution
Guiding Architects in Selecting Architectural Evolution Alternatives (SC, HS, MA), pp. 252–260.
WICSAWICSA-2011-HeeschA #architecture #bibliography #maturity #process #reasoning
Mature Architecting — A Survey about the Reasoning Process of Professional Architects (UvH, PA), pp. 260–269.
WICSAWICSA-2011-LiuC #architecture #in the cloud
Architecting Cloud Computing Applications and Systems (AL, RC), pp. 310–311.
WICSAWICSA-2011-PoortV #architecture
Architecting as a Risk- and Cost Management Discipline (ERP, HvV), pp. 2–11.
WICSAWICSA-2011-PremrajNTV #architecture
The Boomeranged Software Architect (RP, GN, AT, HvV), pp. 73–82.
AdaEuropeAdaEurope-2011-Rodriguez-LopezG #abstraction #architecture #middleware #paradigm
Architecting a Common Bridge Abstraction over Different Middleware Paradigms (IRL, MGV), pp. 132–146.
SEKESEKE-2011-KrikavaC #architecture #feedback
A Reflective Model for Architecting Feedback Control Systems (FK, PC), pp. 553–559.
ECSAECSA-2010-BerniniT #architecture
Explaining Architectural Choices to Non-architects (DB, FT), pp. 352–359.
ECSAECSA-2010-HeeschA #architecture #bibliography #comprehension #process #reasoning #student
Naive Architecting — Understanding the Reasoning Process of Students — A Descriptive Survey (UvH, PA), pp. 24–37.
ECSAECSA-2010-PerezDGA #agile #architecture #flexibility #using
Flexible Working Architectures: Agile Architecting Using PPCs (JP, JD, JG, PPA), pp. 102–117.
ECSAECSA-2010-SaraivaPBDPKAFMS #architecture #aspect-oriented #experience #middleware #modelling #product line #refactoring
Architecting a Model-Driven Aspect-Oriented Product Line for a Digital TV Middleware: A Refactoring Experience (DS, LP, TVB, FCD, PFP, UK, RPMdA, TF, SMF, ALSS), pp. 166–181.
SEKESEKE-2010-GalsterEM #architecture #requirements #specification
Textual Software Requirements Specifications in the Context of Software Architecting (MG, AE, MM), pp. 42–47.
REFSQREFSQ-2010-AmellerF #architecture #bibliography #how #non-functional #requirements
How Do Software Architects Consider Non-Functional Requirements: A Survey (DA, XF), pp. 276–277.
ICSEICSE-2010-PaulischZ #architecture #case study #certification #experience
A role-based qualification and certification program for software architects: an experience report from Siemens (FP, PZ), pp. 21–27.
SPLCSPLC-2010-GustavssonE #architecture #industrial #product line
Architecting Automotive Product Lines: Industrial Practice (HG, UE), pp. 92–105.
HPCAHPCA-2010-WareRFBRRC #approach #architecture #power management
Architecting for power management: The IBM POWER7TM approach (MSW, KR, MSF, BB, JCR, FLRI, JBC), pp. 1–11.
WICSA-ECSAWICSA-ECSA-2009-Axelsson #architecture #case study #embedded #industrial #product line
Evolutionary architecting of embedded automotive product lines: An industrial case study (JA), pp. 101–110.
WICSA-ECSAWICSA-ECSA-2009-FarenhorstHLV #architecture
The lonesome architect (RF, JFH, PL, HvV), pp. 61–70.
WICSA-ECSAWICSA-ECSA-2009-XuZSL #architecture #distributed
An architecting method for distributed process-intensive systems (XX, LZ, MS, YL), pp. 277–280.
REFSQREFSQ-2009-WnukRS #architecture #case study #coordination #industrial #requirements
Architecting and Coordinating Thousands of Requirements — An Industrial Case Study (KW, BR, CS), pp. 118–123.
SACSAC-2008-RossiT08a #architecture #design #web
Designing and architecting process-aware Web applications with EPML (DR, ET), pp. 2409–2414.
SPLCSPLC-2008-EvestiNHP #architecture #quality
A Tool Chain for Quality-Driven Software Architecting (AE, EN, KH, MP), p. 360.
QoSAQoSA-2007-ClercLV #architecture
The Architect’s Mindset (VC, PL, HvV), pp. 231–249.
QoSAQoSA-2007-PoortPKW #architecture #process
The Influence of CMMI on Establishing an Architecting Process (ERP, HP, AK, PHNdW), pp. 215–230.
WICSAWICSA-2007-CardosoI #architecture #bibliography #pervasive #privacy
Architecting Pervasive Computing Systems for Privacy: A Survey (RSC, VI), p. 26.
WICSAWICSA-2007-ClementsKKDRV #architecture #information management
The Duties, Skills, and Knowledge of Software Architects (PCC, RK, MK, DD, SR, PV), p. 20.
WICSAWICSA-2007-FalessiCK #architecture #design #question
Do Architecture Design Methods Meet Architects’ Needs? (DF, GC, PK), p. 5.
WICSAWICSA-2007-FerrariM #architecture #empirical #experience #requirements
The Impact of Requirements Knowledge and Experience on Software Architecting: An Empirical Study (RF, NHM), p. 16.
WICSAWICSA-2007-FragaL #approach #architecture #enterprise #ontology
Training Initiative for New Software/Enterprise Architects: An Ontological Approach (AF, JL), p. 19.
WICSAWICSA-2007-MucciniPR #architecture #fault tolerance
Architecting Fault Tolerant Systems (HM, PP, AR), p. 43.
WICSAWICSA-2007-Sarang #architecture
Setting up Architect Team (PS), p. 18.
HTHT-2007-Rubart #architecture
Architecting structure-aware applications (JR), pp. 185–188.
SEKESEKE-2007-OladimejiSC #approach #architecture #modelling
A Model-driven Approach to Architecting Secure Software (EAO, SS, LC), p. 535–?.
QoSAQoSA-2006-CorryHS #architecture
Traveling Architects — A New Way of Herding Cats (AVC, KMH, DS), pp. 111–126.
CSEETCSEET-2006-Northrop #architecture #education #quality
Let’s Teach Architecting High Quality Software (LMN), p. 5.
EDOCEDOC-2006-ShanH #architecture
Solution Architecting Mechanism (TCS, WWH), pp. 23–34.
SPLCSPL-BOOK-2006-RommesA #architecture #product line
A Scenario-Based Method for Software Product Line Architecting (ER, PA), pp. 3–52.
WICSAWICSA-2005-Hofmeister #architecture
Architecting Session Report (CH), pp. 209–210.
WICSAWICSA-2005-Klein #architecture #how #question
How Does the Architect’s Role Change as the Software Ages? (JK), p. 141.
WICSAWICSA-2005-Koschke #architecture #reverse engineering #what
What Architects Should Know About Reverse Engineering and Rengineering (RK), pp. 4–10.
WICSAWICSA-2005-RommesPA #architecture
Measuring Architecting Effort (ER, AP, PA), pp. 229–230.
WCREWCRE-2005-CanforaP #architecture #testing
Architecting, Analyzing and Testing Service-Oriented Systems (GC, MDP), p. 237.
MODELSMoDELS-2005-Kimelman #architecture #research
Invited Presentation III: The Architects’ Workbench — Research in the Trenches (DK), p. 507.
MODELSMoDELS-2005-Kimelman #architecture #research
Invited Presentation III: The Architects’ Workbench — Research in the Trenches (DK), p. 507.
ICSEICSE-2005-Al-NaeemGBRB #approach #architecture #distributed #quality
A quality-driven systematic approach for architecting distributed software applications (TAN, IG, MAB, FAR, BB), pp. 244–253.
ICSEICSE-2005-GortonL #architecture #dot-net #enterprise #integration
An architects guide to enterprise application integration with J2EE and .NET (IG, AL), pp. 726–727.
CGOCGO-2005-Hind #architecture #machine learning #virtual machine
Virtual Machine Learning: Thinking like a Computer Architect (MH), p. 11.
WICSAWICSA-2004-BachmannBKS #architecture #design #experience #using
Experience Using an Expert System to Assist an Architect in Designing for Modifiability (FB, LJB, MK, CPS), pp. 281–284.
WICSAWICSA-2004-IonitaAHOT #approach #architecture #cost analysis
A Scenario-Driven Approach for Value, Risk, and Cost Analysis in System Architecting for Innovation (MTI, PA, DKH, JHO, JJMT), pp. 277–280.
WICSAWICSA-2004-RivaSSTXY #architecture
Establishing a Software Architecting Environment (CR, PS, TS, APT, JX, YY), pp. 188–200.
ICSEICSE-2004-GortonH #architecture #case study #experience #nondeterminism
Architecting in the Face of Uncertainty: An Experience Report (IG, JH), pp. 543–551.
DACDAC-2003-BittlestoneHSA #architecture #library
Architecting ASIC libraries and flows in nanometer era (CB, AMH, VS, NVA), pp. 776–781.
ICSMEICSM-2003-ObbinkA #architecture #towards
Towards Evergreen Architectures: On the usage of scenarios in system architecting (JHO, PA), p. 298–?.
SEFMSEFM-2003-Sinnott #architecture #generative #specification #testing
Architecting Specifications for Test Case Generation (ROS), p. 24–?.
KDDKDD-2003-BarryZM #architecture #information management #simulation
Architecting a knowledge discovery engine for military commanders utilizing massive runs of simulations (PSB, JZ, MM), pp. 699–704.
SACSAC-2003-GoncalvesMCWFRM #architecture #configuration management #design #named
ARCHITECT-R: A System for Reconfigurable Robots Design (RAG, PAM, JMPC, DFW, MMF, RAFR, EM), pp. 679–683.
ICSEICSE-2003-RussellJ #architecture #embedded #evaluation #performance
Embedded Architect: A Tool for Early Performance Evaluation of Embedded Software (JTR, MFJ), pp. 824–825.
IWPCIWPC-2002-TeleaMR #architecture #tool support #visualisation
An Open Visualization Toolkit for Reverse Architecting (ACT, AM, CR), pp. 3–10.
WCREWCRE-2002-Galal-Edeen #architecture
Reverse Architecting: Seeking the Architectonic (GHGE), pp. 141–150.
IWPCIWPC-2001-BrilP #architecture #incremental #legacy #metric #scalability
An Architectural Connectivity Metric and Its Support for Incremental Re-Architecting of Large Legacy Systems (RJB, AP), pp. 269–280.
TOOLSTOOLS-USA-2001-Tracz #architecture
Extreme Architecting: Surviving the Architectural View Zoo (WT), p. 382.
RERE-2001-Galal #architecture
Scenario-Based Systems Architecting (GHG), pp. 318–319.
WCREWCRE-2000-Riva #architecture #case study #experience #industrial
Reverse Architecting: An Industrial Experience Report (CR), p. 42–?.
EDOCEDOC-2000-SchulzM #architecture #interactive
Architecting Cross-Organisational B2B Interactions (KS, ZM), pp. 92–101.
SPLCSPLC-2000-AmericaOOL #architecture #component #framework #named #product line
CoPAM: A component-oriented platform architecting method family for product family engineering (PA, JHO, RCvO, FvdL), pp. 167–180.
WICSAWICSA-1999-Kruchten #architecture
The Software Architect (PK), pp. 565–584.
HCIHCI-CCAD-1999-WagnerBMS #architecture #collaboration #design
Spaces for creating context & awareness — designing a collaborative virtual work space for (landscape) architects (IW, MB, PM, DS), pp. 283–287.
ICSEICSE-1999-Griss #architecture #component #reuse #scalability
Architecting for Large-Scale Systematic Component Reuse (MLG), pp. 615–616.
ICSEICSE-1999-Rechtin #architecture
The Extension of Systems Architecting to the Architecting of Organizations (ER), p. 622.
TOOLSTOOLS-USA-1998-Griss #architecture #component #reuse #scalability
Architecting for Large-Scale Systematic Component Reuse (MLG), p. 7.
ICSMEICSM-1997-Krikhaar #approach #architecture
Reverse Architecting Approach for Complex Systems (RLK), pp. 4–11.
AdaEuropeAdaEurope-1997-Ogren #ada #architecture
Ada 95 as a Base to Architect Systems in O4STM (), pp. 76–87.
ICSEICSE-1997-RamKH #architecture #product line
Architecting Families of Software-Intensive Products (AR, HK, PH), p. 580.
FSEFSE-1996-Rechtin #architecture
Software Systems Architecting (Abstract) (ER), p. 1.
ICLPCLP-1990-MeyerC90 #architecture #logic programming #source code
Architected Failure Handling for AND-Parallel Logic Programs (DMM, JSC), pp. 271–290.
DACDAC-1988-ThomasDWRNB #architecture
The System Architect’s Workbench (DET, EMD, RAW, JVR, JAN, RLB), pp. 337–343.
DACDAC-1980-ChelottiB #architecture #automation #design #scalability
Design automation at a large architect-engineer (EFC, DPB), pp. 40–49.

Bibliography of Software Language Engineering in Generated Hypertext (BibSLEIGH) is created and maintained by Dr. Vadim Zaytsev.
Hosted as a part of SLEBOK on GitHub.