BibSLEIGH
BibSLEIGH corpus
BibSLEIGH tags
BibSLEIGH bundles
BibSLEIGH people
CC-BY
Open Knowledge
XHTML 1.0 W3C Rec
CSS 2.1 W3C CanRec
email twitter
Used together with:
network (25)
rout (14)
switch (13)
base (12)
system (11)

Stem packet$ (all stems)

81 papers:

DATEDATE-2015-MaHJ #manycore
A packet-switched interconnect for many-core systems with BE and RT service (RM, ZH, AJ), pp. 980–983.
DATEDATE-2015-MazloumiM #hybrid #memory management #multi
A hybrid packet/circuit-switched router to accelerate memory access in NoC-based chip multiprocessors (AM, MM), pp. 908–911.
DATEDATE-2014-LuCC #correlation #memory management #performance
Achieving efficient packet-based memory system by exploiting correlation of memory requests (TL, LC, MC), pp. 1–6.
SACSAC-2014-JunAK #detection #using
DDoS attack detection by using packet sampling and flow features (JHJ, CWA, SHK), pp. 711–712.
ITiCSEITiCSE-2013-TrabelsiA #education #generative #network #using
Using network packet generators and snort rules for teaching denial of service attacks (ZT, LA), pp. 285–290.
STOCSTOC-2013-HarrisS #constraints
Constraint satisfaction, packet routing, and the lovasz local lemma (DGH, AS), pp. 685–694.
SACSAC-2013-NikolaevskiyLPPG #multi #named #scalability
isBF: scalable in-packet bloom filter based multicast (IN, AL, TP, VP, AG), pp. 646–648.
ICPRICPR-2012-HsinSK #algorithm #performance #synthesis
A fast wavelet-packet-based algorithm for texture synthesis (HCH, TYS, LTK), pp. 3124–3127.
HPCAHPCA-2012-MaJW12a #adaptation #algorithm #design #performance
Whole packet forwarding: Efficient design of fully adaptive routing algorithms for networks-on-chip (SM, NDEJ, ZW), pp. 467–478.
CASECASE-2011-WanY #detection #fault
Fault detection of networked control systems utilizing limited possibilities of unknown packet transmission (YW, HY), pp. 619–624.
DATEDATE-2011-KangD #classification #gpu #metaprogramming #scalability
Scalable packet classification via GPU metaprogramming (KK, YSD), pp. 871–874.
SACSAC-2011-KaoYYC #detection
A location-aware rogue AP detection system based on wireless packet sniffing of sensor APs (KFK, THY, WSY, HHC), pp. 32–36.
DACDAC-2010-KuangB #latency #named
LATA: a latency and throughput-aware packet processing system (JK, LNB), pp. 36–41.
DATEDATE-2010-KennedyWLL #string #throughput
Ultra-high throughput string matching for Deep Packet Inspection (AK, XW, ZL, BL), pp. 399–404.
ICALPICALP-v2-2010-EvenM #algorithm #online #random
An O(logn)-Competitive Online Centralized Randomized Packet-Routing Algorithm for Lines (GE, MM), pp. 139–150.
DATEDATE-2009-GuntoroG #flexibility #float
A flexible floating-point wavelet transform and wavelet packet processor (AG, MG), pp. 1314–1319.
DATEDATE-2009-ModarressiSA #hybrid #network
A hybrid packet-circuit switched on-chip network based on SDM (MM, HSA, MA), pp. 566–569.
DATEDATE-2009-SanderGRBM #communication
Priority-based packet communication on a bus-shaped structure for FPGA-systems (OS, BG, CR, JB, KDMG), pp. 178–183.
DRRDRR-2009-MaatoukJA #documentation
Watermarking Ancient Documents Based on Wavelet Packets (MNM, OJ, NEBA), pp. 1–10.
CIAACIAA-J-2008-DixonES09 #analysis
Analysis of Bit-Split Languages for Packet Scanning and Experiments with Wildcard Matching (RD, ÖE, TS), pp. 597–612.
SACSAC-2009-HeYAL #named #network
BPR: a bit-level packet recovery in wireless sensor networks (JH, JY, CA, XL), pp. 59–65.
LCTESLCTES-2009-McKechnieBV #debugging #monitoring #transaction
Debugging FPGA-based packet processing systems through transaction-level communication-centric monitoring (PEM, MB, WV), pp. 129–136.
CASECASE-2008-BiasiSLI #network #process #simulation
Simulation of process control with WirelessHART networks subject to packet losses (MDB, CS, KL, AJI), pp. 548–553.
CIAACIAA-2008-DixonES #analysis
Automata-Theoretic Analysis of Bit-Split Languages for Packet Scanning (RD, ÖE, TS), pp. 141–150.
ICPRICPR-2008-PengXXD #recognition #using
Face recognition using anisotropic dual-tree complex wavelet packets (YP, XX, WX, QD), pp. 1–4.
PPoPPPPoPP-2008-ChengCHT #classification #manycore #scalability #using
Scalable packet classification using interpreting: a cross-platform multi-core solution (HC, ZC, BH, XT), pp. 33–42.
LCTESLCTES-2007-WangCZYZ #optimisation #performance
Optimizing software cache performance of packet processing applications (QW, JC, WZ, MY, BZ), pp. 227–236.
DACDAC-2006-ChangSC #design #evaluation #trade-off
Evaluation and design trade-offs between circuit-switched and packet-switched NOCs for application-specific SOCs (KCC, JSS, TFC), pp. 143–148.
DACDAC-2006-MuraliABM #fault tolerance #multi #network
A multi-path routing strategy with guaranteed in-order packet delivery and fault-tolerance for networks on chip (SM, DA, LB, GDM), pp. 845–848.
DACDAC-2006-SovianiHE #pipes and filters #synthesis
Synthesis of high-performance packet processing pipelines (CS, IH, SAE), pp. 679–682.
DATEDATE-2006-PionteckAK #configuration management
A dynamically reconfigurable packet-switched network-on-chip (TP, CA, RK), pp. 136–137.
ICPRICPR-v2-2006-ChenB #classification #invariant #using
Invariant Texture Classification Using Ridgelet Packets (GC, PB), pp. 464–467.
DATEDATE-2005-LeeCALK #hardware #predict #transaction
A Prediction Packetizing Scheme for Reducing Channel Traffic in Transaction-Level Hardware/Software Co-Emulation (JGL, MKC, KYA, SHL, CMK), pp. 384–389.
PLDIPLDI-2005-DaiHLH #architecture #automation #clustering #pipes and filters
Automatically partitioning packet processing applications for pipelined architectures (JD, BH, LL, LH), pp. 237–248.
STOCSTOC-2005-AdlerEM #probability #towards
Towards asymptotic optimality in probabilistic packet marking (MA, JE, JM), pp. 450–459.
DATEDATE-DF-2004-PaulinPBLL #framework #multi #performance
Application of a Multi-Processor SoC Platform to High-Speed Packet Forwarding (PGP, CP, EB, ML, DL), pp. 58–63.
ESOPESOP-2004-EnnalsSM #linear
Linear Types for Packet Processing (RE, RS, AM), pp. 204–218.
STOCSTOC-2004-AlbersS #algorithm #on the #performance
On the performance of greedy algorithms in packet buffering (SA, MS), pp. 35–44.
ICPRICPR-v2-2004-QuanZ #adaptation #using
Perceptual Criterion Based Fragile Audio Watermarking Using Adaptive Wavelet Packets (XQ, HZ), pp. 867–870.
ICPRICPR-v4-2004-KrichenMGD #identification #using
Iris Identification Using Wavelet Packets (EK, MAM, SGS, BD), pp. 335–338.
HPCAHPCA-2004-MakineniI #architecture
Architectural Characterization of TCP/IP Packet Processing on the Pentium M Microprocessor (SM, RRI), pp. 152–163.
OSDIOSDI-2004-BosBCNP #named #performance
FFPF: Fairly Fast Packet Filters (HB, WdB, MLC, TN, GP), pp. 347–363.
OSDIOSDI-2004-OlshefskiNN #named
ksniffer: Determining the Remote Client Perceived Response Time from Live Packet Streams (DPO, JN, EMN), pp. 333–346.
DATEDATE-2003-AdriahantenainaCGMZ #named #scalability
SPIN: A Scalable, Packet Switched, On-Chip Micro-Network (AA, HC, AG, LM, CAZ), pp. 20070–20073.
DATEDATE-2003-CaldariCCMGOT #modelling
SystemC Modeling of a Bluetooth Transceiver: Dynamic Management of Packet Type in a Noisy Channel (MC, MC, PC, GM, FDG, SO, CT), pp. 20214–20219.
DATEDATE-2003-SoniRHRV #named #network #performance
NPSE: A High Performance Network Packet Search Engine (NS, NR, LBH, SR, GV), pp. 20074–20081.
DATEDATE-2003-YeBM #analysis #communication
Packetized On-Chip Interconnect Communication Analysis for MPSoC (TTY, LB, GDM), pp. 10344–10349.
SACSAC-2003-Mahoney #detection #network
Network Traffic Anomaly Detection Based on Packet Bytes (MVM), pp. 346–350.
DACDAC-2002-ThieleCGK #architecture #design #framework #trade-off
A framework for evaluating design tradeoffs in packet processing architectures (LT, SC, MG, SK), pp. 880–885.
STOCSTOC-2002-Adler #probability #trade-off
Tradeoffs in probabilistic packet marking for IP traceback (MA), pp. 407–418.
ICTSSTestCom-2002-OgishiHKS #sequence #specification
A TCP Test System Specifying only Exceptional Packet Sequences (TO, TH, TK, KS), pp. 21–38.
DACDAC-2001-DallyT #network
Route Packets, Not Wires: On-Chip Interconnection Networks (WJD, BT), pp. 684–689.
ICALPICALP-2001-Havill #array #linear #online
Online Packet Routing on Linear Arrays and Rings (JTH), pp. 773–784.
POPLPOPL-2001-BhargavanCMG #automaton #monitoring #network #what
What packets may come: automata for network monitoring (KB, SC, PJM, CAG), pp. 206–219.
DATEDATE-2000-GuerrierG #architecture
A Generic Architecture for On-Chip Packet-Switched Interconnections (PG, AG), pp. 250–256.
ICALPICALP-2000-AdlerFGP #bound
Tight Size Bounds for Packet Headers in Narrow Meshes (MA, FEF, LAG, MP), pp. 756–767.
ICPRICPR-v3-2000-VehelM
Wavelet Packet Based Digital Watermarking (JLV, AM), pp. 3417–3420.
STOCSTOC-1999-AndrewsZ #requirements
Packet Routing with Arbitrary End-to-End Delay Requirements (MA, LZ), pp. 557–565.
STOCSTOC-1999-Feige
Nonmonotonic Phenomena in Packet Routing (UF), pp. 583–591.
STOCSTOC-1999-Gamarnik #adaptation #network #policy
Stability of Adaptive and Non-Adaptive Packet Routing Policies in Adversarial Queueing Networks (DG), pp. 206–214.
ICEISICEIS-1999-JunHSKP #network #performance #protocol
An Efficient Protocol for Radio Packet Networks (ARJ, SJH, HSS, GHK, MSP), pp. 642–648.
STOCSTOC-1998-AielloKOR #adaptation
Adaptive Packet Routing for Bursty Adversarial Traffic (WA, EK, RO, AR), pp. 359–368.
ICFPICFP-1998-HicksKMGN #named #network
PLAN: A Packet Language for Active Networks (MWH, PK, JTM, CAG, SN), pp. 86–93.
STOCSTOC-1997-OstrovskyR #algorithm
Universal O(Congestion + Dilation + log1+epsilonN) Local Control Packet Switching Algorithms (RO, YR), pp. 644–653.
STOCSTOC-1997-SrinivasanT #algorithm #approximate
A Constant-Factor Approximation Algorithm for Packet Routing, and Balancing Local vs. Global Criteria (AS, CPT), pp. 636–643.
ITiCSEITiCSE-1996-MartiPH #education #network #paradigm
PACKET tracing: a new paradigm for teaching computer network courses (WFM, UWP, JAHJ), pp. 162–164.
STOCSTOC-1996-RabaniT #distributed #network
Distributed Packet Switching in Arbitrary Networks (YR, ÉT), pp. 366–375.
IFLIFL-1996-LoidlH #communication #effectiveness #graph #parallel #reduction
Making a Packet: Cost-Effective Communication for a Parallel Graph Reducer (HWL, KH), pp. 184–199.
HPDCHPDC-1996-Ravindran #design #multi #network
Design of Multicast Packet Swithches for High — speed Multi — Service Networks (KR), pp. 573–584.
STOCSTOC-1995-Harchol-BalterW #bound #network
Bounding delays in packet-routing networks (MHB, DW), pp. 248–257.
STOCSTOC-1995-MansourP
Many-to-one packet routing on grids (Extended Abstract) (YM, BPS), pp. 258–267.
HPDCHPDC-1995-LiebeherrW #multi #network
A Versatile Packet Multiplexer for Quality-of-Service Networks (JL, DEW), pp. 148–155.
SACSAC-1993-Jimenez-CedenoV #approach #communication #network #predict #realtime
Centralized Packet Radio Network: A Communication Approach Suited for Data Collection in a Real-Time Flash Flood Prediction System (MJC, RVE), pp. 709–713.
SACSAC-1993-ShenDU #data flow #predict
Packet Delay Prediction in Datagram Mesh Systems (ZS, PGD, LU), pp. 539–545.
HPDCHPDC-1992-GuhaA #distributed #scalability
A Scalable Packet Switch for Distributed Computing (AG, MA), pp. 85–93.
ICTSSIWPTS-1992-Koblentz #performance #testing
Issues in Testing Fast Packet Services over the Broadband ISDN (MK), pp. 3–18.
STOCSTOC-1989-Upfal
An O(log N) Deterministic Packet Routing Scheme (Preliminary Version) (EU), pp. 241–250.
OOPSLAOOPSLA-1989-Schelvis #approach #distributed #garbage collection #incremental
Incremental Distribution of Timestamp Packets: A New Approach to Distributed Garbage Collection (MS), pp. 37–48.
SOSPSOSP-1987-MogulRA #network #performance
The Packet Filter: An Efficient Mechanism for User-level Network Code (JCM, RFR, MJA), pp. 39–51.
STOCSTOC-1980-Toueg #network
Deadlock- and Livelock-Free Packet Switching Networks (ST), pp. 94–99.
STOCSTOC-1979-TouegU #concurrent #network
Deadlock-Free Packet Switching Networks (ST, JDU), pp. 89–98.

Bibliography of Software Language Engineering in Generated Hypertext (BibSLEIGH) is created and maintained by Dr. Vadim Zaytsev.
Hosted as a part of SLEBOK on GitHub.