BibSLEIGH
BibSLEIGH corpus
BibSLEIGH tags
BibSLEIGH bundles
BibSLEIGH people
EDIT!
CC-BY
Open Knowledge
XHTML 1.0 W3C Rec
CSS 2.1 W3C CanRec
email twitter
throughput
Google throughput

Tag #throughput

94 papers:

ECIRECIR-p2-2019-SiedlaczekRS
Exploiting Global Impact Ordering for Higher Throughput in Selective Search (MS, JR, TS), pp. 12–19.
ICMLICML-2019-MendisRAC #estimation #named #network #performance #using
Ithemal: Accurate, Portable and Fast Basic Block Throughput Estimation using Deep Neural Networks (CM, AR, SPA, MC), pp. 4505–4515.
ASPLOSASPLOS-2019-0002R #architecture #latency
uops.info: Characterizing Latency, Throughput, and Port Usage of Instructions on Intel Microarchitectures (AA0, JR), pp. 673–686.
CASECASE-2019-Barhebwa-Mushamuka #multi #optimisation #scheduling
Multi-objective optimization for Work-In-Process balancing and throughput maximization in global fab scheduling (FBM, SDP, CY), pp. 697–702.
CASECASE-2017-HuangMY #algorithm #difference #evolution #performance #using
Forecasting of throughput performance using an ARMA model with improved differential evolution algorithm (JTH, YM, YY), pp. 376–381.
CBSECBSE-2016-WulfWH #architecture #parallel
Increasing the Throughput of Pipe-and-Filter Architectures by Integrating the Task Farm Parallelization Pattern (CW, CCW, WH), pp. 13–22.
ICMLICML-2016-Gilad-BachrachD #named #network
CryptoNets: Applying Neural Networks to Encrypted Data with High Throughput and Accuracy (RGB, ND, KL, KEL, MN, JW), pp. 201–210.
OOPSLAOOPSLA-2016-PaiP #algorithm #compilation #graph #optimisation
A compiler for throughput optimization of graph algorithms on GPUs (SP, KP), pp. 1–19.
CASECASE-2016-ZhaoXL #constraints #effectiveness #order #probability
Effective throughput maximization of stochastic customer orders with inventory constraints (YZ, XX, HL), pp. 1387–1393.
VLDBVLDB-2015-ZhangWYGLZ #in memory #named
Mega-KV: A Case for GPUs to Maximize the Throughput of In-Memory Key-Value Stores (KZ, KW, YY, LG, RL, XZ), pp. 1226–1237.
HCIHCI-IT-2015-MacKenzie
Fitts’ Throughput and the Remarkable Case of Touch-Based Target Selection (ISM), pp. 238–249.
SACSAC-2015-ChengKWT #scalability #semantics #web
High throughput indexing for large-scale semantic web data (LC, SK, TEW, GT), pp. 416–422.
CASECASE-2015-GallertLRJT #2d #3d #biology #industrial #scalability
Biological high throughput screening of 2D and 3D cell cultures for future industrial up-scaling (CG, RL, TR, SJ, KT), pp. 1527–1532.
CASECASE-2015-XuZLW #order #probability #scheduling
Stochastic customer order scheduling to maximize throughput (XX, YZ, HL, MW), pp. 665–670.
HPCAHPCA-2015-LiRJOEBFR
Priority-based cache allocation in throughput processors (DL, MR, DRJ, MO, ME, DB, DSF, SWR), pp. 89–100.
DocEngDocEng-2014-KolbergFRF #analysis #documentation #performance #using
JAR tool: using document analysis for improving the throughput of high performance printing environments (MLK, LGF, MR, CF), pp. 175–178.
DACDAC-2014-DingCM #layout #optimisation
Throughput Optimization for SADP and E-beam based Manufacturing of 1D Layout (YD, CC, WKM), p. 6.
HPCAHPCA-2014-XieTHC #clustering #memory management
Improving system throughput and fairness simultaneously in shared memory CMP systems via Dynamic Bank Partitioning (MX, DT, KH, XC), pp. 344–355.
OSDIOSDI-2014-BelayPKGKB #latency #named #operating system
IX: A Protected Dataplane Operating System for High Throughput and Low Latency (AB, GP, AK, SG, CK, EB), pp. 49–65.
PDPPDP-2014-HuaWR #distributed #file system
Enhancing Throughput of Hadoop Distributed File System for Interaction-Intensive Tasks (XH, HW, SR), pp. 508–511.
CIKMCIKM-2013-VanderbauwhedeFACM #using
High throughput filtering using FPGA-acceleration (WV, AF, LA, SRC, MM), pp. 1245–1248.
SACSAC-2013-NunesP #scheduling #transaction
Improving transaction abort rates without compromising throughput through judicious scheduling (AN, JP), pp. 493–494.
CASECASE-2013-ZhouL #detection #realtime
Real time electricity demand response for sustainable manufacturing systems considering throughput bottleneck detection (ZZ, LL), pp. 640–644.
HPCAHPCA-2013-GoswamiCL #architecture #memory management #using
Power-performance co-optimization of throughput core architecture using resistive memory (NG, BC, TL), pp. 342–353.
VLDBVLDB-2012-KangLM #performance
Flash-based Extended Cache for Higher Throughput and Faster Recovery (WHK, SWL, BM), pp. 1615–1626.
CASECASE-2012-KimM #modelling #on the #probability #random
On the throughput of deterministic flow lines with random state dependent setups: Stochastic models and applications (WsK, JRM), pp. 650–655.
DACDAC-2012-CropPC #detection #logic #using
Regaining throughput using completion detection for error-resilient, near-threshold logic (JC, RP, PC), pp. 974–979.
PDPPDP-2012-WangB #architecture #design #evaluation
Design and Evaluation of a High Throughput QoS-Aware and Congestion-Aware Router Architecture for Network-on-Chip (CW, NB), pp. 457–464.
CHICHI-2011-WobbrockSJ #design #empirical #metric #modelling
The effects of task dimensionality, endpoint deviation, throughput calculation, and experiment design on pointing measures and models (JOW, KS, AJ), pp. 1639–1648.
CASECASE-2011-GhirardiPS #case study #multimodal #platform
Maximizing the throughput of multimodal logistic platforms by simulation-optimization: The Duferco case study (MG, GP, DS), pp. 52–57.
DACDAC-2011-HongSK #case study #estimation #performance
Emulation based high-accuracy throughput estimation for high-speed connectivities: case study of USB2.0 (BH, CS, DK), pp. 609–614.
DACDAC-2011-HuangQFQ #constraints #realtime
Throughput maximization for periodic real-time systems under the maximal temperature constraint (HH, GQ, JF, MQ), pp. 363–368.
DATEDATE-2011-HeidmannWP #architecture #detection
Architecture and FPGA-implementation of a high throughput K+-Best detector (NH, TW, SP), pp. 240–245.
DATEDATE-2011-LungHKC #3d #manycore #online #optimisation
Thermal-aware on-line task allocation for 3D multi-core processor throughput optimization (CLL, YLH, DMK, SCC), pp. 8–13.
DATEDATE-2011-MurugappaABJ #architecture #flexibility #multi
A flexible high throughput multi-ASIP architecture for LDPC and turbo decoding (PM, RAK, AB, MJ), pp. 228–233.
DATEDATE-2011-ZattSBH #architecture #estimation #hardware #parallel #pipes and filters #video
Multi-level pipelined parallel hardware architecture for high throughput motion and disparity estimation in Multiview Video Coding (BZ, MS, SB, JH), pp. 1448–1453.
HPCAHPCA-2011-RanganPWB #performance
Achieving uniform performance and maximizing throughput in the presence of heterogeneity (KKR, MDP, GYW, DMB), pp. 3–14.
VLDBVLDB-2010-DebnathSL #named #persistent
FlashStore: High Throughput Persistent Key-Value Store (BKD, SS, JL), pp. 1414–1425.
ICSEICSE-2010-GoldsteinSTU
Improving throughput via slowdowns (MG, OS, RTB, SU), pp. 11–20.
SACSAC-2010-ZhouS #ad hoc #energy #network #performance #towards
Towards higher throughput and energy efficiency in dense wireless ad hoc and sensor networks (WZ, RS), pp. 749–755.
DACDAC-2010-HePKYALC #energy #named
Xetal-Pro: an ultra-low energy and high throughput SIMD processor (YH, YP, RPK, ZY, AAA, SML, HC), pp. 543–548.
DATEDATE-2010-CupaiuoloST #architecture #detection #ml
Low-complexity high throughput VLSI architecture of soft-output ML MIMO detector (TC, MS, AT), pp. 1396–1401.
DATEDATE-2010-KennedyWLL #string
Ultra-high throughput string matching for Deep Packet Inspection (AK, XW, ZL, BL), pp. 399–404.
DATEDATE-2010-MeijerNS #modelling #network #process
Throughput modeling to evaluate process merging transformations in polyhedral process networks (SM, HN, TS), pp. 747–752.
HPCAHPCA-2010-XuZZY
Simple virtual channel allocation for high throughput and high frequency on-chip routers (YX, BZ, YZ, JY), pp. 1–11.
OSDIOSDI-2010-GulatiMV #named #scheduling #variability
mClock: Handling Throughput Variability for Hypervisor IO Scheduling (AG, AM, PJV), pp. 437–450.
PDPPDP-2010-LeoAGZ #analysis #clustering #data transformation #using
Using Virtual Clusters to Decouple Computation and Data Management in High Throughput Analysis Applications (SL, PA, MG, GZ), pp. 411–415.
CASECASE-2009-RoyKHM
Impact of zones on throughput and cycle times in warehouses with Autonomous Vehicles (DR, AK, SSH, CJM), pp. 449–454.
DACDAC-2009-HanumaiahRVC #constraints #manycore
Throughput optimal task allocation under thermal constraints for multi-core processors (VH, RR, SBKV, KSC), pp. 776–781.
DACDAC-2009-LeeK #manycore #optimisation #using
Optimizing throughput of power- and thermal-constrained multicore processors using DVFS and per-core power-gating (JL, NSK), pp. 47–50.
HPCAHPCA-2009-ChenA #fine-grained #first-order #parallel #thread
A first-order fine-grained multithreaded throughput model (XEC, TMA), pp. 329–340.
ISMMISMM-2009-Vengerov #analysis #garbage collection #modelling #optimisation
Modeling, analysis and throughput optimization of a generational garbage collector (DV), pp. 1–9.
PDPPDP-2009-Goglin #communication
High Throughput Intra-Node MPI Communication with Open-MX (BG), pp. 173–180.
CHICHI-2008-MacKenzieI #trade-off
Fitts’ throughput and the speed-accuracy tradeoff (ISM, PI), pp. 1633–1636.
CASECASE-2008-UnverM #automation #composition #design pattern #using
Using architectural software patterns in support of controlling modular high throughput screening automation systems (HÖÜ, JM), pp. 912–917.
DATEDATE-2008-GhamarianGBS #analysis #data flow #graph #parametricity
Parametric Throughput Analysis of Synchronous Data Flow Graphs (AHG, MG, TB, SS), pp. 116–121.
DATEDATE-2008-WiggersBS #communication
Computation of Buffer Capacities for Throughput Constrained and Data Dependent Inter-Task Communication (MW, MB, GJMS), pp. 640–645.
VLDBVLDB-2007-LeeZL #approach #concurrent #distributed #integration #query
Request Window: an Approach to Improve Throughput of RDBMS-based Data Integration System by Utilizing Data Sharing Across Concurrent Distributed Queries (RL, MZ, HL), pp. 1219–1230.
CIAACIAA-2007-CaucalCFR #context-free grammar #performance
Efficient Computation of Throughput Values of Context-Free Languages (DC, JC, WF, WR), pp. 203–213.
CASECASE-2007-AllwardtWHST #integration
Enhancing the Throughput of Catalyst Screening Labs by Integration of a High Pressure Microplate Reactor (AA, CW, SHL, NS, KT), pp. 381–385.
CASECASE-2007-MorrisonM #clustering #on the #tool support
On the Throughput of Clustered Photolithography Tools: Wafer Advancement and Intrinsic Equipment Loss (JRM, MKM), pp. 88–93.
CASECASE-2007-YiDZ0 #analysis #clustering #linear #tool support
Throughput Analysis of Linear Cluster Tools (JY, SD, MTZ, PvdM), pp. 1063–1068.
DACDAC-2007-CollinsC #optimisation
Topology-Based Optimization of Maximal Sustainable Throughput in a Latency-Insensitive System (RLC, LPC), pp. 410–415.
DATEDATE-2007-GargM #analysis #design #interactive #multi #process
Interactive presentation: System-level process variation driven throughput analysis for single and multiple voltage-frequency island designs (SG, DM), pp. 403–408.
LCTESLCTES-2007-HuangHG #embedded #energy #execution #optimisation #pipes and filters #streaming
Joint throughput and energy optimization for pipelined execution of embedded streaming applications (PKH, MH, SG), pp. 137–139.
CIKMCIKM-2006-StanoiMPL #distributed #query
Maximizing the sustained throughput of distributed continuous queries (IS, GAM, TP, CAL), pp. 834–835.
DACDAC-2006-StuijkGB #constraints #data flow #graph #requirements #trade-off
Exploring trade-offs in buffer requirements and throughput constraints for synchronous dataflow graphs (SS, MG, TB), pp. 899–904.
HPDCHPDC-2006-LiuSW #approach #combinator
A High Throughput Approach to Combinatorial Search on Grids (YL, AMS, SW), pp. 351–352.
PDPPDP-2006-IzuMG #injection #network
Effects of Injection Pressure on Network Throughput (CI, JMA, JAG), pp. 91–98.
DATEDATE-2005-SilvaB #architecture #design #pipes and filters #trade-off
Area and Throughput Trade-Offs in the Design of Pipelined Discrete Wavelet Transform Architectures (SVS, SB), pp. 32–37.
HPDCHPDC-2005-KonishiOHI #case study
A case study of instant workbench for InterProScan by Knoppix high throughput computing edition (FK, SO, YH, MI), pp. 301–302.
SACSAC-2004-CarmeliGHNNSV #reliability
High throughput reliable message dissemination (BC, GG, AH, NN, HN, JS, PV), pp. 322–327.
HPCAHPCA-2004-CarreraB #data-driven
Improving Disk Throughput in Data-Intensive Servers (EVC, RB), pp. 130–141.
OSDIOSDI-2004-RenesseS #replication
Chain Replication for Supporting High Throughput and Availability (RvR, FBS), pp. 91–104.
ICEISICEIS-2002-LiuL #analysis #object-oriented
A Knowledge Object Oriented System for High Throughput Collection and Analysis of Data (HL, TL), pp. 764–769.
ASPLOSASPLOS-2002-KimPR #interface #network #web
Increasing web server throughput with network interface data caching (HyK, VSP, SR), pp. 239–250.
HPDCHPDC-2002-GhanemGRW #information management
Grid-Based Knowledge Discovery Services for High Throughput Informatics (MG, YG, AR, PW), p. 416.
DACDAC-2000-OmnesFC #co-evolution #design #embedded #interactive #multi
Interactive co-design of high throughput embedded multimedia (TJFO, TF, FC), pp. 328–331.
HPCAHPCA-2000-RajwarKG
Improving the Throughput of Synchronization by Insertion of Delays (RR, AK, JRG), pp. 168–179.
STOCSTOC-2000-GoelMP #multi #online
Combining fairness with throughput: online routing with multiple objectives (AG, AM, SAP), pp. 670–679.
PDPPDP-1999-LopezADZ #design #network #optimisation #robust
Optimizing network throughput: optimal versus robust design (PL, RA, JD, LZ), pp. 45–52.
STOCSTOC-1999-Bar-NoyGNS #approximate #multi #realtime #scheduling
Approximating the Throughput of Multiple Machines Under Real-Time Scheduling (ABN, SG, JN, BS), pp. 622–631.
DACDAC-1998-LakshminarayanaJ #behaviour #control flow #framework #named #optimisation
FACT: A Framework for the Application of Throughput and Power Optimizing Transformations to Control-Flow Intensive Behavioral Descriptions (GL, NKJ), pp. 102–107.
HPDCHPDC-1998-RamanLS #distributed #named #resource management
Matchmaking: Distributed Resource Management for High Throughput Computing (RR, ML, MHS), pp. 140–146.
DACDAC-1997-BeniniMP #adaptation #design #latency #pipes and filters
Telescopic Units: Increasing the Average Throughput of Pipelined Designs by Adaptive Latency Control (LB, EM, MP), pp. 22–27.
VLDBVLDB-1995-HvasshovdTBH #database #realtime
The ClustRa Telecom Database: High Availability, High Throughput, and Real-Time Response (SOH, ØT, SEB, PH), pp. 469–477.
DACDAC-1995-DeCastelo-Vide-e-SouzaPP #algorithm #approach #architecture #optimisation #using
Optimal ILP-Based Approach for Throughput Optimization Using Simultaneous Algorithm/Architecture Matching and Retiming (YGDVeS, MP, ACP), pp. 113–118.
DATEEDAC-1994-HuangR #behaviour #performance #using
Maximizing the Throughput of High Performance DSP Applications Using Behavioral Transformations (SHH, JMR), pp. 25–30.
STOCSTOC-1994-MansourNV #communication #parallel #trade-off
Trade-offs between communication throughput and parallel time (YM, NN, UV), pp. 372–381.
VLDBVLDB-1992-KrishnakumarB #algorithm #database
High Throughput Escrow Algorithms for Replicated Databases (NK, AJB), pp. 175–186.
DACDAC-1992-GeurtsCM
Time Constrained Allocation and Assignment Techniques for High Throughput Signal Processing (WG, FC, HDM), pp. 124–127.
DACDAC-1991-NoteGCM #architecture #named #synthesis
Cathedral-III: Architecture-Driven High-level Synthesis for High Throughput DSP Applications (SN, WG, FC, HDM), pp. 597–602.
VLDBVLDB-1990-GrayHW #array #low cost #reliability
Parity Striping of Disk Arrays: Low-Cost Reliable Storage with Acceptable Throughput (JG, BH, MW), pp. 148–161.
SIGMODSIGMOD-1987-HermanGLW #architecture #database
The Datacycle Architecture for Very High Throughput Database Systems (GEH, GG, KCL, AW), pp. 97–103.

Bibliography of Software Language Engineering in Generated Hypertext (BibSLEIGH) is created and maintained by Dr. Vadim Zaytsev.
Hosted as a part of SLEBOK on GitHub.