BibSLEIGH
BibSLEIGH corpus
BibSLEIGH tags
BibSLEIGH bundles
BibSLEIGH people
EDIT!
CC-BY
Open Knowledge
XHTML 1.0 W3C Rec
CSS 2.1 W3C CanRec
email twitter
pipes and filters
Google pipes and filters

Tag #pipes and filters

427 papers:

PADLPADL-2020-BrikX #using
Diagnosing Data Pipeline Failures Using Action Languages: A Progress Report (AB, JX), pp. 73–81.
CCCC-2020-PuriniBCB #analysis #image #smt #using
Bitwidth customization in image processing pipelines using interval analysis and SMT solvers (SP, VB, ZC, UB), pp. 167–178.
CoGCoG-2019-SykownikBM #analysis #automation #sentiment #speech
Can You Hear the Player Experienceƒ A Pipeline for Automated Sentiment Analysis of Player Speech (PS, FB, MM), pp. 1–4.
CIKMCIKM-2019-ShanahanD #detection #realtime
Realtime Object Detection via Deep Learning-based Pipelines (JGS, LD), pp. 2977–2978.
MoDELSMoDELS-2019-RajbahadurOHD #modelling #quality
Pitfalls Analyzer: Quality Control for Model-Driven Data Science Pipelines (GKR, GAO, AEH, JD), pp. 12–22.
PADLPADL-2019-PietersS #performance #re-engineering
Faster Coroutine Pipelines: A Reconstruction (RPP, TS), pp. 133–149.
ASPLOSASPLOS-2019-ZhengOZSYC #framework #named #performance
HiWayLib: A Software Framework for Enabling High Performance Communications for Heterogeneous Pipeline Computations (ZZ, CO, JZ, XS, YY, WC), pp. 153–166.
CAVCAV-2019-KimAS #flexibility #robust #synthesis
Flexible Computational Pipelines for Robust Abstraction-Based Control Synthesis (ESK, MA, SAS), pp. 591–608.
MSRMSR-2018-MantylaCC08 #analysis #natural language #re-engineering
Natural language or not (NLON): a package for software engineering text analysis pipeline (MVM, FC, MC), pp. 387–391.
CIKMCIKM-2018-MizusawaTMAM #crowdsourcing #performance #workflow
Efficient Pipeline Processing of Crowdsourcing Workflows (KM, KT, MM, TA, AM), pp. 1559–1562.
KDDKDD-2018-AbernethyCFSW #named
ActiveRemediation: The Search for Lead Pipes in Flint, Michigan (JDA, AC, AF, EMS, JW), pp. 5–14.
KDDKDD-2018-WaliaHCCLKNBAM #predict #risk management
A Dynamic Pipeline for Spatio-Temporal Fire Risk Prediction (BSW, QH, JC, FC, JL, NK, PN, JB, GA, MM), pp. 764–773.
ESEC-FSEESEC-FSE-2018-DebroyMB #agile #case study #delivery #integration
Building lean continuous integration and delivery pipelines by applying DevOps principles: a case study at Varidesk (VD, SM, LB), pp. 851–856.
CASECASE-2018-ChenJ #data fusion
Data Fusion Pipelines for Autonomous Smart Manufacturing (XC, RJ), pp. 1203–1208.
MSRMSR-2017-ZampettiSOCP #analysis #how #integration #open source #tool support
How open source projects use static code analysis tools in continuous integration pipelines (FZ, SS, RO, GC, MDP), pp. 334–344.
ICFP-2017-Spivey #performance
Faster coroutine pipelines (JMS), p. 23.
CIKMCIKM-2017-Berti-EquilleZ #data analysis #profiling
Profiling DRDoS Attacks with Data Analytics Pipeline (LBÉ, YZ), pp. 1983–1986.
CCCC-2017-TownsendKE #data flow #functional #source code
From functional programs to pipelined dataflow circuits (RT, MAK, SAE), pp. 76–86.
ICFP-2016-CastroHS #morphism #parallel #process #reasoning #using
Farms, pipes, streams and reforestation: reasoning about structured parallel processes using types and hylomorphisms (DC, KH, SS), pp. 4–17.
CIKMCIKM-2016-LeeKYLK #comparison #framework
An Experimental Comparison of Iterative MapReduce Frameworks (HL, MK, SBY, JGL0, YK), pp. 2089–2094.
KDDKDD-2016-ZhangBSS #named #optimisation #performance
FLASH: Fast Bayesian Optimization for Data Analytic Pipelines (YZ, MTB, HS, JS), pp. 2065–2074.
PLDIPLDI-2016-SmithA #synthesis
MapReduce program synthesis (CS, AA), pp. 326–340.
ICSE-2016-BersaniBGKP #performance #scalability #using
Efficient large-scale trace checking using mapreduce (MMB, DB, CG, SK, PSP), pp. 888–898.
CAVCAV-2016-ChenSW #approach #commutative #framework #problem #transducer
The Commutativity Problem of the MapReduce Framework: A Transducer-Based Approach (YFC, LS, ZW), pp. 91–111.
SIGMODSIGMOD-2015-OktayMKK #hybrid #named #performance
SEMROD: Secure and Efficient MapReduce Over HybriD Clouds (KYO, SM, VK, MK), pp. 153–166.
VLDBVLDB-2015-EldawyMJ #visualisation
A Demonstration of HadoopViz: An Extensible MapReduce System for Visualizing Big Spatial Data (AE, MFM, CJ), pp. 1896–1907.
VLDBVLDB-2015-KimmettST #case study #fuzzy
Fuzzy Joins in MapReduce: An Experimental Study (BK, VS, AT), pp. 1514–1525.
VLDBVLDB-2015-LaiQLC #scalability
Scalable Subgraph Enumeration in MapReduce (LL, LQ, XL, LC), pp. 974–985.
VLDBVLDB-2015-LeiZRE #execution
Shared Execution of Recurring Workloads in MapReduce (CL, ZZ, EAR, MYE), pp. 714–725.
VLDBVLDB-2015-ParkMS #probability #query #using
Processing of Probabilistic Skyline Queries Using MapReduce (YP, JKM, KS), pp. 1406–1417.
VLDBVLDB-2015-ShiQMJWRO #data analysis #scalability
Clash of the Titans: MapReduce vs. Spark for Large Scale Data Analytics (JS, YQ, UFM, LJ, CW, BR, ), pp. 2110–2121.
CIKMCIKM-2015-LinZWLL0C #approach #data-driven #parametricity #predict
Data Driven Water Pipe Failure Prediction: A Bayesian Nonparametric Approach (PL, BZ, YW0, ZL, BL0, YW0, FC0), pp. 193–202.
MLDMMLDM-2015-SalahAM #mining #optimisation #performance
Optimizing the Data-Process Relationship for Fast Mining of Frequent Itemsets in MapReduce (SS, RA, FM), pp. 217–231.
SEKESEKE-2015-AdornesGLF #architecture #distributed #domain-specific language #memory management
A Unified MapReduce Domain-Specific Language for Distributed and Shared Memory Architectures (DA, DG, CL, LGF), pp. 619–624.
SEKESEKE-2015-LiuH #petri net #verification
PIPE+Verifier — A Tool for Analyzing High Level Petri Nets (SL, XH), pp. 575–580.
SIGIRSIGIR-2015-KimY #design #evaluation #information retrieval
IR Evaluation: Designing an End-to-End Offline Evaluation Pipeline (JYK, EY), pp. 1129–1132.
ECOOPECOOP-2015-BiboudisPFS #algebra
Streams a la carte: Extensible Pipelines with Object Algebras (AB, NP, GF, YS), pp. 591–613.
PADLPADL-2015-ShterionovJ #implementation #performance #probability
Implementation and Performance of Probabilistic Inference Pipelines (DSS, GJ), pp. 90–104.
SACSAC-2015-FerrucciSKS #algorithm #framework #parallel #search-based
A parallel genetic algorithms framework based on Hadoop MapReduce (FF, PS, MTK, FS), pp. 1664–1667.
SACSAC-2015-MestrePN #adaptation
Adaptive sorted neighborhood blocking for entity matching with MapReduce (DGM, CESP, DCN), pp. 981–987.
SACSAC-2015-ShterionovJ #component #probability
Crucial components in probabilistic inference pipelines (DSS, GJ), pp. 1887–1889.
SLESLE-2015-BenelallamGTC #atl #distributed #model transformation
Distributed model-to-model transformation with ATL on MapReduce (AB, AG, MT, JC), pp. 37–48.
ASPLOSASPLOS-2015-GoiriBNN #approximate #framework #named
ApproxHadoop: Bringing Approximations to MapReduce Frameworks (IG, RB, SN, TDN), pp. 383–397.
ASPLOSASPLOS-2015-MullapudiVB #automation #image #named #optimisation
PolyMage: Automatic Optimization for Image Processing Pipelines (RTM, VV, UB), pp. 429–443.
DACDAC-2015-DuraisamyKCLPMM #energy #manycore #performance #platform
Energy efficient MapReduce with VFI-enabled multicore platforms (KD, RGK, WC, GL, PPP, RM, DM), p. 6.
DACDAC-2015-ZhaoTDZ #synthesis
Area-efficient pipelining for FPGA-targeted high-level synthesis (RZ, MT, SD, ZZ), p. 6.
DATEDATE-2015-YinLLWG
Joint affine transformation and loop pipelining for mapping nested loop on CGRAs (SY, DL, LL, SW, YG), pp. 115–120.
DATEDATE-2015-ZhangJSPHP #hardware #manycore #named
E-pipeline: elastic hardware/software pipelines on a many-core fabric (XZ, HJ, MS, JP, JH, SP), pp. 363–368.
HPDCHPDC-2015-SabneSE #clustering #named #programming
HeteroDoop: A MapReduce Programming System for Accelerator Clusters (AS, PS, RE), pp. 235–246.
LCTESLCTES-2015-BairdGSWU #architecture #optimisation
Optimizing Transfers of Control in the Static Pipeline Architecture (RB, PG, MS, DBW, GRU), p. 10.
PDPPDP-2015-SongRHM #nearest neighbour
Solutions for Processing K Nearest Neighbor Joins for Massive Data on MapReduce (GS, JR, FH, FM), pp. 279–287.
SIGMODSIGMOD-2014-ElmeleegyOR #distributed #memory management #named #using
SpongeFiles: mitigating data skew in mapreduce using distributed memory (KE, CO, BR), pp. 551–562.
SIGMODSIGMOD-2014-LevinK #network #social #using
Stratified-sampling over social networks using mapreduce (RL, YK), pp. 863–874.
SIGMODSIGMOD-2014-OkcanR
Anti-combining for MapReduce (AO, MR), pp. 839–850.
SIGMODSIGMOD-2014-QinYCCZL #graph #scalability
Scalable big graph processing in MapReduce (LQ, JXY, LC, HC, CZ, XL), pp. 827–838.
VLDBVLDB-2014-BoykinROL #framework #named #online
Summingbird: A Framework for Integrating Batch and Online MapReduce Computations (POB, SR, IO, JL), pp. 1441–1451.
VLDBVLDB-2014-Lu0OVW #named #scalability
ScalaGiST: Scalable Generalized Search Trees for MapReduce Systems [Innovative Systems Paper] (PL, GC, BCO, HTV, SW), pp. 1797–1808.
VLDBVLDB-2014-ShiZLCLW #named #optimisation #tool support
MRTuner: A Toolkit to Enable Holistic Optimization for MapReduce Jobs (JS, JZ, JL, ZC, SL, CW), pp. 1319–1330.
SEFMSEFM-2014-BianculliGK #logic #metric #using
Trace Checking of Metric Temporal Logic with Aggregating Modalities Using MapReduce (DB, CG, SK), pp. 144–158.
ICFPICFP-2014-SchlesingerGW #concurrent #policy
Concurrent NetCore: from policies to pipelines (CS, MG, DW), pp. 11–24.
CHICHI-2014-GaoHAHD #automation #named #visualisation
NewsViews: an automated pipeline for creating custom geovisualizations for news (TG, JH, EA, BH, ND), pp. 3005–3014.
ICEISICEIS-v1-2014-SilvaNMFMM #algorithm #detection #distributed #performance #using
Efficient and Distributed DBScan Algorithm Using MapReduce to Detect Density Areas on Traffic Data (TLCdS, ACAN, RPM, VAEdF, JAFdM, JCM), pp. 52–59.
CIKMCIKM-2014-ParkSKP
MapReduce Triangle Enumeration With Guarantees (HMP, FS, UK, RP), pp. 1739–1748.
KDDKDD-2014-ChierichettiDK #clustering #correlation
Correlation clustering in MapReduce (FC, NND, RK), pp. 641–650.
OOPSLAOOPSLA-2014-RadoiFRS #imperative
Translating imperative code to MapReduce (CR, SJF, RMR, MS), pp. 909–927.
CGOCGO-2014-RongPWW
Just-In-Time Software Pipelining (HR, HP, YW, CW), p. 11.
DACDAC-2014-DaiTHZ #synthesis
Flushing-Enabled Loop Pipelining for High-Level Synthesis (SD, MT, KH, ZZ), p. 6.
DACDAC-2014-HoC #optimisation #performance
A New Asynchronous Pipeline Template for Power and Performance Optimization (KHH, YWC), p. 6.
DACDAC-2014-LiS #monitoring #robust #self
Robust and In-Situ Self-Testing Technique for Monitoring Device Aging Effects in Pipeline Circuits (JL, MS), p. 6.
DACDAC-2014-LiuGJA #named
CGPA: Coarse-Grained Pipelined Accelerators (FL, SG, NPJ, DIA), p. 6.
DATEDATE-2014-ChenRC #adaptation #design #named
DARP: Dynamically Adaptable Resilient Pipeline design in microprocessors (HC, SR, KC), pp. 1–6.
DATEDATE-2014-GinesL #testing
Sigma-delta testability for pipeline A/D converters (AJG, GL), pp. 1–6.
DATEDATE-2014-HaoRX #behaviour #equivalence #synthesis
Equivalence checking for function pipelining in behavioral synthesis (KH, SR, FX), pp. 1–6.
HPDCHPDC-2014-El-HelwHB #clustering #manycore #named
Glasswing: accelerating mapreduce on multi-core and many-core clusters (IEH, RFHH, HEB), pp. 295–298.
HPDCHPDC-2014-LiZMTZBF #named #online #performance
MRONLINE: MapReduce online performance tuning (ML, LZ, SM, JT, LZ, ARB, NF), pp. 165–176.
HPDCHPDC-2014-XiangMA #matrix #scalability #using
Scalable matrix inversion using MapReduce (JX, HM, AA), pp. 177–190.
PDPPDP-2014-XavierNR #clustering #comparison #performance
A Performance Comparison of Container-Based Virtualization Systems for MapReduce Clusters (MGX, MVN, CAFDR), pp. 299–306.
SIGMODSIGMOD-2013-TaoLX #algorithm
Minimal MapReduce algorithms (YT, WL, XX), pp. 529–540.
VLDBVLDB-2013-AjiWVLL0S #named #performance
Hadoop-GIS: A High Performance Spatial Data Warehousing System over MapReduce (AA, FW, HV, RL, QL, XZ, JHS), pp. 1009–1020.
VLDBVLDB-2013-EldawyM #framework #performance
A Demonstration of SpatialHadoop: An Efficient MapReduce Framework for Spatial Data (AE, MFM), pp. 1230–1233.
VLDBVLDB-2013-ParkMS #parallel #query #using
Parallel Computation of Skyline and Reverse Skyline Queries Using MapReduce (YP, JKM, KS), pp. 2002–2013.
VLDBVLDB-2014-OnizukaKHNH13 #optimisation #query
Optimization for iterative queries on MapReduce (MO, HK, SH, KN, ZH), pp. 241–252.
VLDBVLDB-2014-WangC13 #framework #multi #optimisation
Multi-Query Optimization in MapReduce Framework (GW, CYC), pp. 145–156.
ICEISICEIS-v2-2013-MarynowskiPWM #dependence #testing
Dependability Testing of MapReduce Systems (JEM, ARP, TSW, AJM), pp. 165–172.
CIKMCIKM-2013-LinYM #named #sql
MRPacker: an SQL to mapreduce optimizer (XL, YY, SM), pp. 1157–1160.
CIKMCIKM-2013-ParkC #algorithm #graph #performance #scalability
An efficient MapReduce algorithm for counting triangles in a very large graph (HMP, CWC), pp. 539–548.
ICMLICML-c3-2013-MengM #robust
Robust Regression on MapReduce (XM, MWM), pp. 888–896.
KDDKDD-2013-RamanSGJ #big data
Beyond myopic inference in big data pipelines (KR, AS, JG, TJ), pp. 86–94.
RecSysRecSys-2013-SchelterBSAM #distributed #matrix #using
Distributed matrix factorization with mapreduce using a series of broadcast-joins (SS, CB, MS, AA, VM), pp. 281–284.
PLDIPLDI-2013-Ragan-KelleyBAPDA #compilation #image #locality #named #optimisation #parallel
Halide: a language and compiler for optimizing parallelism, locality, and recomputation in image processing pipelines (JRK, CB, AA, SP, FD, SPA), pp. 519–530.
SACSAC-2013-AzarianCWB #approach #manycore
An FPGA-based multi-core approach for pipelining computing stages (AA, JMPC, SW, JB), pp. 1533–1540.
SLESLE-2013-BaggeH #pretty-printing
A Pretty Good Formatting Pipeline (AHB, TH), pp. 177–196.
DACDAC-2013-AlleMD #analysis #dependence #runtime #synthesis
Runtime dependency analysis for loop pipelining in high-level synthesis (MA, AM, SD), p. 10.
DACDAC-2013-ChakrabortyCRA
Efficiently tolerating timing violations in pipelined microprocessors (KC, BC, SR, DMA), p. 8.
DACDAC-2013-JahnPKCH #configuration management #optimisation
Optimizations for configuring and mapping software pipelines in many core systems (JJ, SP, SK, JJC, JH), p. 8.
DACDAC-2013-TangAP #communication #configuration management #multi
Reconfigurable pipelined coprocessor for multi-mode communication transmission (LT, JAA, SP), p. 8.
DATEDATE-2013-ChenHBK #energy #multi #optimisation #worst-case
Energy optimization with worst-case deadline guarantee for pipelined multiprocessor systems (GC, KH, CB, AK), pp. 45–50.
DATEDATE-2013-JahnH #architecture #manycore #named #self
Pipelets: self-organizing software pipelines for many-core architectures (JJ, JH), pp. 1516–1521.
DATEDATE-2013-OborilT #design
MTTF-balanced pipeline design (FO, MBT), pp. 270–275.
HPDCHPDC-2013-BuRX #clustering #scheduling
Interference and locality-aware task scheduling for MapReduce applications in virtual clusters (XB, JR, CZX), pp. 227–238.
HPDCHPDC-2013-YinLBGN #dataset #order #performance #using
Efficient analytics on ordered datasets using MapReduce (JY, YL, MB, LG, AN), pp. 125–126.
LCTESLCTES-2013-FinlaysonDGUWST #performance
Improving processor efficiency by statically pipelining instructions (IF, BD, PG, GRU, DBW, MS, GST), pp. 33–44.
SIGMODSIGMOD-2012-ElghandourA #named #reuse
ReStore: reusing results of MapReduce jobs in pig (IE, AA), pp. 701–704.
SIGMODSIGMOD-2012-KwonBHR #named
SkewTune: mitigating skew in mapreduce applications (YK, MB, BH, JAR), pp. 25–36.
SIGMODSIGMOD-2012-SuS
Oracle in-database hadoop: when mapreduce meets RDBMS (XS, GS), pp. 779–790.
VLDBVLDB-2012-BahmaniKV #streaming
Densest Subgraph in Streaming and MapReduce (BB, RK, SV), pp. 454–465.
VLDBVLDB-2012-ChenAK #big data #interactive
Interactive Analytical Processing in Big Data Systems: A Cross-Industry Study of MapReduce Workloads (YC, SA, RHK), pp. 1802–1813.
VLDBVLDB-2012-DittrichQ #big data #performance
Efficient Big Data Processing in Hadoop MapReduce (JD, JAQR), pp. 2014–2015.
VLDBVLDB-2012-ElghandourA #named #reuse
ReStore: Reusing Results of MapReduce Jobs (IE, AA), pp. 586–597.
VLDBVLDB-2012-KhoussainovaBS #debugging #named #performance
PerfXplain: Debugging MapReduce Job Performance (NK, MB, DS), pp. 598–609.
VLDBVLDB-2012-KwonBHR
SkewTune in Action: Mitigating Skew in MapReduce Applications (YK, MB, BH, JAR), pp. 1934–1937.
VLDBVLDB-2012-LaptevZZ
Early Accurate Results for Advanced Analytics on MapReduce (NL, KZ, CZ), pp. 1028–1039.
VLDBVLDB-2012-LimHB #named #workflow
Stubby: A Transformation-based Optimizer for MapReduce Workflows (HL, HH, SB), pp. 1196–1207.
VLDBVLDB-2012-LuSCO #nearest neighbour #performance #using
Efficient Processing of k Nearest Neighbor Joins using MapReduce (WL, YS, SC, BCO), pp. 1016–1027.
VLDBVLDB-2012-MetwallyF #framework #multi #named #scalability #similarity
V-SMART-Join: A Scalable MapReduce Framework for All-Pair Similarity Joins of Multisets and Vectors (AM, CF), pp. 704–715.
VLDBVLDB-2012-Shim #algorithm #big data #data analysis
MapReduce Algorithms for Big Data Analysis (KS), pp. 2016–2017.
VLDBVLDB-2012-ZhangCW #multi #performance #using
Efficient Multi-way Theta-Join Processing Using MapReduce (XZ, LC, MW), pp. 1184–1195.
ICPCICPC-2012-SajnaniOL #clone detection #detection #parallel #using
Parallel code clone detection using MapReduce (HS, JO, CVL), pp. 261–262.
CSCWCSCW-2012-XuB12a
A reference-based scoring model for increasing the findability of promising ideas in innovation pipelines (AX, BPB), pp. 1183–1186.
CIKMCIKM-2012-RiondatoDFU #algorithm #approximate #mining #named #parallel #random
PARMA: a parallel randomized algorithm for approximate association rules mining in MapReduce (MR, JAD, RF, EU), pp. 85–94.
ECIRECIR-2012-JonassenB #concurrent #distributed #retrieval
Intra-query Concurrent Pipelined Processing for Distributed Full-Text Retrieval (SJ, SEB), pp. 413–425.
RecSysRecSys-2012-SchelterBM #scalability #similarity
Scalable similarity-based neighborhood methods with MapReduce (SS, CB, VM), pp. 163–170.
LOPSTRLOPSTR-2012-ChristiansenHLP #data analysis #declarative
A Declarative Pipeline Language for Complex Data Analysis (HC, CTH, OTL, MP), pp. 17–34.
ASPLOSASPLOS-2012-AhmadCRV #clustering #named #optimisation
Tarazu: optimizing MapReduce on heterogeneous clusters (FA, STC, AR, TNV), pp. 61–74.
CASECASE-2012-KwonSY #synthesis
A linkage type mechanical clutch synthesis for pipeline inspection robot (YSK, JTS, BJY), pp. 618–623.
CASECASE-2012-YaguchiKS
In-piping actuator capable of free movement in a thin complex pipe (HY, KK, KS), pp. 630–634.
CGOCGO-2012-LiuRCK #named #optimisation #towards
Panacea: towards holistic optimization of MapReduce applications (JL, NR, STC, MTK), pp. 33–43.
DACDAC-2012-HaoRX #behaviour #equivalence
Equivalence checking for behaviorally synthesized pipelines (KH, SR, FX), pp. 344–349.
DATEDATE-2012-HansenS #multi #resource management
Multi-token resource sharing for pipelined asynchronous systems (JH, MS), pp. 1191–1196.
HPCAHPCA-2012-SartoriAK
Power balanced pipelines (JS, BA, RK), pp. 261–272.
HPDCHPDC-2012-ChenA #effectiveness #memory management #optimisation
Optimizing MapReduce for GPUs with effective shared memory usage (LC, GA), pp. 199–210.
HPDCHPDC-2012-LiSBKS #in the cloud #named
CAM: a topology aware minimum cost flow based resource manager for MapReduce applications in the cloud (ML, DS, ARB, AK, PS), pp. 211–222.
HPDCHPDC-2012-ParkLKHM #configuration management #virtual machine
Locality-aware dynamic VM reconfiguration on MapReduce clouds (JP, DL, BK, JH, SM), pp. 27–36.
HPDCHPDC-2012-TanMZ
Coupling scheduler for MapReduce/Hadoop (JT, XM, LZ), pp. 129–130.
OSDIOSDI-2012-GuoFCZZMLLZZ #optimisation
Spotting Code Optimizations in Data-Parallel Pipelines through PeriSCOPE (ZG, XF, RC, JZ, HZ, SM, CL, WL, JZ, LZ), pp. 121–133.
ESOPESOP-2012-EmotoFH #framework #parallel #programming
Generate, Test, and Aggregate — A Calculation-based Framework for Systematic Parallel Programming with MapReduce (KE, SF, ZH), pp. 254–273.
ICSTICST-2012-GeronimoFMS #algorithm #automation #generative #parallel #search-based #testing
A Parallel Genetic Algorithm Based on Hadoop MapReduce for the Automatic Generation of JUnit Test Suites (LDG, FF, AM, FS), pp. 785–793.
ISSTAISSTA-2012-ZhangED #composition #generative #testing
Compositional load test generation for software pipelines (PZ, SGE, MBD), pp. 89–99.
SIGMODSIGMOD-2011-BahmaniCX #performance #personalisation #rank
Fast personalized PageRank on MapReduce (BB, KC, DX), pp. 973–984.
SIGMODSIGMOD-2011-LiMDMS #framework #platform #scalability #using
A platform for scalable one-pass analytics using MapReduce (BL, EM, YD, AM, PJS), pp. 985–996.
SIGMODSIGMOD-2011-LinACOW #framework #named #scalability
Llama: leveraging columnar storage for scalable join processing in the MapReduce framework (YL, DA, CC, BCO, SW), pp. 961–972.
SIGMODSIGMOD-2011-OkcanR #using
Processing theta-joins using MapReduce (AO, MR), pp. 949–960.
SIGMODSIGMOD-2011-Quiane-RuizPSD
RAFT at work: speeding-up mapreduce applications under task and node failures (JAQR, CP, JS, JD), pp. 1225–1228.
VLDBVLDB-2011-ChattopadhyayLLMALKW #framework #implementation #sql
Tenzing A SQL Implementation On The MapReduce Framework (BC, LL, WL, SM, PA, VL, YK, MW), pp. 1318–1327.
VLDBVLDB-2011-FloratouPST
Column-Oriented Storage Techniques for MapReduce (AF, JMP, EJS, ST), pp. 419–429.
VLDBVLDB-2011-HerodotouB #cost analysis #optimisation #profiling #source code
Profiling, What-if Analysis, and Cost-based Optimization of MapReduce Programs (HH, SB), pp. 1111–1122.
VLDBVLDB-2011-HerodotouDB #cost analysis #optimisation #programming
MapReduce Programming and Cost-based Optimization? Crossing this Chasm with Starfish (HH, FD, SB), pp. 1446–1449.
VLDBVLDB-2011-JahaniCR #automation #optimisation #source code
Automatic Optimization for MapReduce Programs (EJ, MJC, CR), pp. 385–396.
VLDBVLDB-2011-KimRA #algebra #using
From SPARQL to MapReduce: The Journey Using a Nested TripleGroup Algebra (HK, PR, KA), pp. 1426–1429.
VLDBVLDB-2011-MoralesGS #social
Social Content Matching in MapReduce (GDFM, AG, MS), pp. 460–469.
VLDBVLDB-2011-PansareBJC #online #scalability
Online Aggregation for Large MapReduce Jobs (NP, VRB, CJ, TC), pp. 1135–1145.
VLDBVLDB-2011-ParkIW #named #workflow
RAMP: A System for Capturing and Tracing Provenance in MapReduce Workflows (HP, RI, JW), pp. 1351–1354.
VLDBVLDB-2012-JestesYL11 #scalability
Building Wavelet Histograms on Large Data in MapReduce (JJ, KY, FL), pp. 109–120.
SEFMSEFM-2011-OnoHTNH #coq #specification #using
Using Coq in Specification and Program Extraction of Hadoop MapReduce Applications (KO, YH, YT, NN, MH), pp. 350–365.
CHICHI-2011-KuznetsovDCP #authoring #quality
Ceci n’est pas une pipe bombe: authoring urban landscapes with air quality sensors (SK, GND, JCC, EP), pp. 2375–2384.
CIKMCIKM-2011-KolbTR
Block-based load balancing for entity resolution with MapReduce (LK, AT, ER), pp. 2397–2400.
CIKMCIKM-2011-SarmaJB #debugging #information management
Building a generic debugger for information extraction pipelines (ADS, AJ, PB), pp. 2229–2232.
CIKMCIKM-2011-WachsmuthSE #information management #performance
Constructing efficient information extraction pipelines (HW, BS, GE), pp. 2237–2240.
CIKMCIKM-2011-WuQD #owl #using
Finding all justifications of OWL entailments using TMS and MapReduce (GW, GQ, JD), pp. 1425–1434.
KDDKDD-2011-CordeiroTTLKF #clustering #dataset #multi #scalability
Clustering very large multi-dimensional datasets with MapReduce (RLFC, CTJ, AJMT, JL, UK, CF), pp. 690–698.
KDDKDD-2011-EneIM #clustering #performance #using
Fast clustering using MapReduce (AE, SI, BM), pp. 681–689.
KDDKDD-2011-GhotingKPK #algorithm #data mining #implementation #machine learning #mining #named #parallel #tool support
NIMBLE: a toolkit for the implementation of parallel data mining and machine learning algorithms on mapreduce (AG, PK, EPDP, RK), pp. 334–342.
SEKESEKE-2011-LiuZH #modelling #petri net
PIPE+ — A Modeling Tool for High Level Petri Nets (SL, RZ, XH), pp. 115–121.
SIGIRSIGIR-2011-LeeHWHS #dataset #graph #image #learning #multi #scalability #using
Multi-layer graph-based semi-supervised learning for large-scale image datasets using mapreduce (WYL, LCH, GLW, WHH, YFS), pp. 1121–1122.
SACSAC-2011-YouYH #framework
A load-aware scheduler for MapReduce framework in heterogeneous cloud environments (HHY, CCY, JLH), pp. 127–132.
DACDAC-2011-JavaidSPH #adaptation #case study #multi #power management #video
Low-power adaptive pipelined MPSoCs for multimedia: an H.264 video encoder case study (HJ, MS, SP, JH), pp. 1032–1037.
DACDAC-2011-SeokJCBS #design #energy #performance
Pipeline strategy for improving optimal energy efficiency in ultra-low voltage design (MS, DJ, CC, DB, DS), pp. 990–995.
DATEDATE-2011-ChiuSH #constraints #precedence #realtime #streaming #synthesis
Pipeline schedule synthesis for real-time streaming tasks with inter/intra-instance precedence constraints (YSC, CSS, SHH), pp. 1321–1326.
DATEDATE-2011-GolaniB #multi
An area-efficient multi-level single-track pipeline template (PG, PAB), pp. 1509–1512.
DATEDATE-2011-KondratyevLMW #synthesis
Realistic performance-constrained pipelining in high-level synthesis (AK, LL, MM, YW), pp. 1382–1387.
DATEDATE-2011-SafarESS #configuration management #satisfiability
A reconfigurable, pipelined, conflict directed jumping search SAT solver (MS, MWEK, MS, AS), pp. 1243–1248.
DATEDATE-2011-ZattSBH #architecture #estimation #hardware #parallel #throughput #video
Multi-level pipelined parallel hardware architecture for high throughput motion and disparity estimation in Multiview Video Coding (BZ, MS, SB, JH), pp. 1448–1453.
HPDCHPDC-2011-AbbasiEWSK #performance
Just in time: adding value to the IO pipelines of high performance applications with JITStaging (HA, GE, MW, KS, SK), pp. 27–36.
HPDCHPDC-2011-BalkirFR #architecture #distributed #mining #using
A distributed look-up architecture for text mining applications using mapreduce (ASB, ITF, AR), pp. 279–280.
HPDCHPDC-2011-FadikaDGR #adaptation
Adapting MapReduce for HPC environments (ZF, ED, MG, LR), pp. 263–264.
HPDCHPDC-2011-KangCWSW
Enhancement of Xen’s scheduler for MapReduce workloads (HK, YC, JLW, RS, JW), pp. 251–262.
ISMMISMM-2011-SingerKBL #garbage collection #java #multi
Garbage collection auto-tuning for Java mapreduce on multi-cores (JS, GK, GB, ML), pp. 109–118.
PDPPDP-2011-MarozzoTT #distributed #framework
A Framework for Managing MapReduce Applications in Dynamic Distributed Environments (FM, DT, PT), pp. 149–158.
SIGMODSIGMOD-2010-BlanasPERST #algorithm #comparison
A comparison of join algorithms for log processing in MaPreduce (SB, JMP, VE, JR, EJS, YT), pp. 975–986.
SIGMODSIGMOD-2010-CondieCAHGTES #online #query
Online aggregation and continuous query support in MapReduce (TC, NC, PA, JMH, JG, JT, KE, RS), pp. 1115–1118.
SIGMODSIGMOD-2010-MortonBG #graph #named
ParaTimer: a progress indicator for MapReduce DAGs (KM, MB, DG), pp. 507–518.
SIGMODSIGMOD-2010-VernicaCL #parallel #performance #using
Efficient parallel set-similarity joins using MapReduce (RV, MJC, CL), pp. 495–506.
VLDBVLDB-2010-Chen #named #performance
Cheetah: A High Performance, Custom Data Warehouse on Top of MapReduce (SC), pp. 1459–1468.
VLDBVLDB-2010-JiangOSW #performance
The Performance of MapReduce: An In-depth Study (DJ, BCO, LS, SW), pp. 472–483.
VLDBVLDB-2010-LangP #clustering #energy
Energy Management for MapReduce Clusters (WL, JMP), pp. 129–139.
VLDBVLDB-2010-NykielPMKK #multi #named #query
MRShare: Sharing Across Multiple Queries in MapReduce (TN, MP, CM, GK, NK), pp. 494–505.
VLDBVLDB-2010-WangSSWCDGW #behaviour #simulation
Behavioral Simulations in MapReduce (GW, MAVS, BS, XW, TC, AJD, JG, WMW), pp. 952–963.
CHICHI-2010-BaileyH #case study #idea #scalability #what
What’s your idea?: a case study of a grassroots innovation pipeline within a large software company (BPB, EH), pp. 2065–2074.
ICPRICPR-2010-TsaiHTC #detection #predict #scalability #using
Learning-Based Vehicle Detection Using Up-Scaling Schemes and Predictive Frame Pipeline Structures (YMT, KYH, CCT, LGC), pp. 3101–3104.
KDDKDD-2010-ChanGGHL #modelling #online #scalability
Evaluating online ad campaigns in a pipeline: causal models at scale (DC, RG, OG, TH, DL), pp. 7–16.
PLDIPLDI-2010-ChambersRPAHBW #named #performance
FlumeJava: easy, efficient data-parallel pipelines (CC, AR, FP, SA, RRH, RB, NW), pp. 363–375.
POPLPOPL-2010-TristanL #validation
A simple, verified validator for software pipelining (JBT, XL), pp. 83–92.
SASSAS-2010-BellAW #concurrent #logic #parallel
Concurrent Separation Logic for Pipelined Parallelization (CJB, AWA, DW), pp. 151–166.
SASSAS-2010-Goldberg #framework #in memory #memory management #optimisation #validation
Translation Validation of Loop Optimizations and Software Pipelining in the TVOC Framework — In Memory of Amir Pnueli (BG), pp. 6–21.
ASEASE-2010-ShangAH #case study #experience #mining #repository #scalability #tool support #using
An experience report on scaling tools for mining software repositories using MapReduce (WS, BA, AEH), pp. 275–284.
SACSAC-2010-KimHJEY #framework
Harnessing input redundancy in a MapReduce framework (SGK, HH, HJ, HE, HYY), pp. 362–366.
CGOCGO-2010-HuangRJZHA #parallel
Decoupled software pipelining creates parallelization opportunities (JH, AR, TBJ, YZ, THH, DIA), pp. 121–130.
CGOCGO-2010-WeiYYG #communication #source code
Minimizing communication in rate-optimal software pipelining for stream programs (HW, JY, HY, GRG), pp. 210–217.
DACDAC-2010-NurvitadhiHLK #automation #parallel #specification #synthesis #thread #transaction
Automatic multithreaded pipeline synthesis from transactional datapath specifications (EN, JCH, SLL, TK), pp. 314–319.
DATEDATE-2010-CanedoYK #parallel #simulation
Skewed pipelining for parallel simulink simulations (AC, TY, HK), pp. 891–896.
DATEDATE-2010-DadgourB #architecture #design #detection #novel #using
Aging-resilient design of pipelined architectures using novel detection and correction circuits (HFD, KB), pp. 244–249.
DATEDATE-2010-IqbalSH #estimation #execution #named #order #recursion
RMOT: Recursion in model order for task execution time estimation in a software pipeline (NI, MAS, JH), pp. 953–956.
DATEDATE-2010-JavaidJHP #agile #estimation #runtime
Rapid runtime estimation methods for pipelined MPSoCs (HJ, AJ, MSH, SP), pp. 363–368.
DATEDATE-2010-Mirza-AghatabarBG #algorithm
Algorithms to maximize yield and enhance yield/area of pipeline circuitry by insertion of switches and redundant modules (MMA, MAB, SKG), pp. 1249–1254.
DATEDATE-2010-NurvitadhiHKL #automation #specification #transaction
Automatic pipelining from transactional datapath specifications (EN, JCH, TK, SLL), pp. 1001–1004.
DATEDATE-2010-OmsCBK #architecture #automation
Automatic microarchitectural pipelining (MGO, JC, DB, MK), pp. 961–964.
HPDCHPDC-2010-EkanayakeLZGBQF #named #runtime
Twister: a runtime for iterative MapReduce (JE, HL, BZ, TG, SHB, JQ, GF), pp. 810–818.
HPDCHPDC-2010-HuangSILLWJ #named #realtime
MR-scope: a real-time tracing tool for MapReduce (DH, XS, SI, LL, HL, SW, HJ), pp. 849–855.
HPDCHPDC-2010-KieferVL
Pairwise Element Computation with MapReduce (TK, PBV, WL), pp. 826–833.
HPDCHPDC-2010-LeoZ #api #named #python
Pydoop: a Python MapReduce and HDFS API for Hadoop (SL, GZ), pp. 819–825.
HPDCHPDC-2010-LinMAFGZ #named
MOON: MapReduce On Opportunistic eNvironments (HL, XM, JSA, WcF, MKG, ZZ), pp. 95–106.
HPDCHPDC-2010-PanBM #multi #query
Parallelizing multiple group-by query in share-nothing environment: a MapReduce study case (JP, YLB, FM), pp. 856–863.
HPDCHPDC-2010-StuartCMO #multi #using
Multi-GPU volume rendering using MapReduce (JAS, CKC, KLM, JDO), pp. 841–848.
HPDCHPDC-2010-UrbaniMB #semantics #web
Massive Semantic Web data compression with MapReduce (JU, JM, HEB), pp. 795–802.
ICDARICDAR-2009-ChaudhuryJTSSM #analysis #image
Google Newspaper Search — Image Processing and Analysis Pipeline (KC, AJ, ST, VS, SS, SM), pp. 621–625.
VLDBVLDB-2009-AbouzeidBARS #architecture #hybrid #named
HadoopDB: An Architectural Hybrid of MapReduce and DBMS Technologies for Analytical Workloads (AA, KBP, DJA, AR, AS), pp. 922–933.
VLDBVLDB-2009-FriedmanPC #approach #named #polymorphism #self #sql
SQL/MapReduce: A practical approach to self-describing, polymorphic, and parallelizable user-defined functions (EF, PMP, JC), pp. 1402–1413.
VLDBVLDB-2009-GatesNCKNORSS #data flow #experience
Building a HighLevel Dataflow System on top of MapReduce: The Pig Experience (AG, ON, SC, PK, SN, CO, BR, SS, US), pp. 1414–1425.
VLDBVLDB-2009-PandaHBB #learning #named #parallel
PLANET: Massively Parallel Learning of Tree Ensembles with MapReduce (BP, JH, SB, RJB), pp. 1426–1437.
MSRMSR-2009-ShangJAH #framework #mining #repository #research
MapReduce as a general framework to support research in Mining Software Repositories (MSR) (WS, ZMJ, BA, AEH), pp. 21–30.
ICEISICEIS-DISI-2009-MartinoSPV #co-evolution #database #framework
A Mapreduce Framework for Change Propagation in Geographic Databases (FDM, SS, GP, MV), pp. 31–36.
SIGIRSIGIR-2009-Lin #documentation #similarity
Brute force and indexed approaches to pairwise document similarity comparisons with MapReduce (JJL), pp. 155–162.
SIGIRSIGIR-2009-McCreadieMO #on the
On single-pass indexing with MapReduce (RM, CM, IO), pp. 742–743.
LOPSTRLOPSTR-2009-ScandoloKH #parallel #using
Program Parallelization Using Synchronized Pipelining (LS, CK, MVH), pp. 173–187.
CGOCGO-2009-UdupaGT #execution #source code
Software Pipelined Execution of Stream Programs on GPUs (AU, RG, MJT), pp. 200–209.
DACDAC-2009-DangRMM #generative #interactive #source code
Generating test programs to cover pipeline interactions (TND, AR, TM, PM), pp. 142–147.
DACDAC-2009-GeMW #configuration management #memory management
A DVS-based pipelined reconfigurable instruction memory (ZG, TM, WFW), pp. 897–902.
DACDAC-2009-JavaidP #design #multi
A design flow for application specific heterogeneous pipelined multiprocessor systems (HJ, SP), pp. 250–253.
DATEDATE-2009-AhmedERCST #performance #programmable #reduction
Exploration of power reduction and performance enhancement in LEON3 processor with ESL reprogrammable eFPGA in processor pipeline and as a co-processor (SZA, JE, LR, JBC, GS, LT), pp. 184–189.
DATEDATE-2009-Diaz-MadridNHDR #reduction
Power reduction of a 12-bit 40-MS/s pipeline ADC exploiting partial amplifier sharing (JÁDM, HN, HH, GDA, RRM), pp. 369–373.
DATEDATE-2009-YangH #parallel #scheduling
Pipelined data parallel task mapping/scheduling technique for MPSoC (HY, SH), pp. 69–74.
HPDCHPDC-2009-IbrahimJCCWQ #implementation #named #towards #virtual machine
CLOUDLET: towards mapreduce implementation on virtual machines (SI, HJ, BC, HC, SW, LQ), pp. 65–66.
ICFPICFP-2008-DukeBRW #case study #experience #functional #visualisation
Experience report: visualizing data through functional pipelines (DJD, RB, CR, MW), pp. 379–382.
FDGGDCSE-2008-ShurnHK #framework #game studies
A game framework to enhance the STEM pipeline (TS, CH, IBCK), pp. 41–45.
CIKMCIKM-2008-Aguilar-SaboritJSM #memory management #performance
Exploiting pipeline interruptions for efficient memory allocation (JAS, MJ, DS, VMM), pp. 639–648.
SACSAC-2008-SykoraAS #embedded
Dynamic configuration of application-specific implicit instructions for embedded pipelined processors (MS, GA, CS), pp. 1509–1516.
CASECASE-2008-HoshinoFS #network #scheduling
Integrated scheduling for gasoline blending considering storage tanks and pipe network (SH, NF, HS), pp. 784–789.
CASECASE-2008-QianZ #predict #process
Optimal model predictive control of plasma pipe welding process (KQ, YZ), pp. 492–497.
CGOCGO-2008-RamanORBA
Parallel-stage decoupled software pipelining (ER, GO, AR, MJB, DIA), pp. 114–123.
CGOCGO-2008-WinkelKS #compilation
Latency-tolerant software pipelining in a production compiler (SW, RK, RS), pp. 104–113.
CGOCGO-2008-ZhaoCW #analysis #manycore #named #profiling
Pipa: pipelined profiling and analysis on multi-core systems (QZ, IC, WFW), pp. 185–194.
DACDAC-2008-EguroH
Enhancing timing-driven FPGA placement for pipelined netlists (KE, SH), pp. 34–37.
DACDAC-2008-LongM #automation #design #self
Automated design of self-adjusting pipelines (JL, SOM), pp. 211–216.
DATEDATE-2008-HashemiG #algorithm #approximate #synthesis
Exact and Approximate Task Assignment Algorithms for Pipelined Software Synthesis (MH, SG), pp. 746–751.
DATEDATE-2008-MucciVMGDGKSCC #adaptation #array #configuration management #implementation #parallel
Implementation of Parallel LFSR-based Applications on an Adaptive DSP featuring a Pipelined Configurable Gate Array (CM, LV, IM, DG, AD, SG, JK, AS, LC, FC), pp. 1444–1449.
DATEDATE-2008-MuirAL #automation #streaming
Automated Dynamic Throughput-constrained Structural-level Pipelining in Streaming Applications (MM, TA, IL), pp. 1358–1361.
DATEDATE-2008-SammanHG #architecture #parallel
Multicast Parallel Pipeline Router Architecture for Network-on-Chip (FAS, TH, MG), pp. 1396–1401.
DATEDATE-2008-StefanoBBM #design #multi #process
Process Variation Tolerant Pipeline Design Through a Placement-Aware Multiple Voltage Island Design Style (BS, DB, LB, EM), pp. 967–972.
OSDIOSDI-2008-ZahariaKJKS #performance
Improving MapReduce Performance in Heterogeneous Environments (MZ, AK, ADJ, RHK, IS), pp. 29–42.
PPoPPPPoPP-2008-GiacomoniMV #concurrent #parallel #performance #queue
FastForward for efficient pipeline parallelism: a cache-optimized concurrent lock-free queue (JG, TM, MV), pp. 43–52.
CASECASE-2007-OkTRKKZB #monitoring #network #self
Optimal Transmission Power in Self-sustainable Sensor Networks for Pipeline Monitoring (CO, HPT, UNR, SRTK, SGK, XZ, STSB), pp. 591–596.
CCCC-2007-NagarakatteG #integer #linear #programming #scheduling #using
Register Allocation and Optimal Spill Code Scheduling in Software Pipelined Loops Using 0-1 Integer Linear Programming Formulation (SGN, RG), pp. 126–140.
CGOCGO-2007-DaiLH #execution #network #using
Pipelined Execution of Critical Sections Using Software-Controlled Caching in Network Processors (JD, LL, BH), pp. 312–324.
DACDAC-2007-SheeP #design #multi
Design Methodology for Pipelined Heterogeneous Multiprocessor System (SLS, SP), pp. 811–816.
DATEDATE-2007-AminzadehDL #design
Design of high-resolution MOSFET-only pipelined ADCs with digital calibration (HA, MD, RL), pp. 427–432.
DATEDATE-2007-KhanA #architecture #configuration management #implementation #programmable #realtime
Pipelined implementation of a real time programmable encoder for low density parity check code on a reconfigurable instruction cell architecture (ZK, TA), pp. 349–354.
DATEDATE-2007-KhanATE #algorithm #implementation #sorting
A new pipelined implementation for minimum norm sorting used in square root algorithm for MIMO-VBLAST systems (ZK, TA, JST, ATE), pp. 1569–1574.
DATEDATE-2007-XuRC #analysis #interactive #power management
Interactive presentation: Analysis of power consumption and BER of flip-flop based interconnect pipelining (JX, AR, MHC), pp. 1218–1223.
DATEDATE-2007-ZhuSD #functional #interactive #validation
Interactive presentation: Functional and timing validation of partially bypassed processor pipelines (QZ, AS, ND), pp. 1164–1169.
HPCAHPCA-2007-RangerRPBK #manycore
Evaluating MapReduce for Multi-core and Multiprocessor Systems (CR, RR, AP, GRB, CK), pp. 13–24.
LCTESLCTES-2007-HuangHG #embedded #energy #execution #optimisation #streaming #throughput
Joint throughput and energy optimization for pipelined execution of embedded streaming applications (PKH, MH, SG), pp. 137–139.
DocEngDocEng-2006-Tennison #documentation #xml
Processing XML documents with pipelines (JT), p. 91.
PODSPODS-2006-CondonDHW #algorithm #problem
Flow algorithms for two pipelined filter ordering problems (AC, AD, LH, NW), pp. 193–202.
SEFMSEFM-2006-Kapoor #formal method #modelling #verification
Formal Modelling and Verification of an Asynchronous DLX Pipeline (HKK), pp. 118–127.
SEKESEKE-2006-GokhaleY #analysis #architecture #reliability
Reliability Analysis of Pipe and Filter Architecture Style (SSG, SMY), pp. 625–630.
ASPLOSASPLOS-2006-GordonTA #parallel #source code
Exploiting coarse-grained task, data, and pipeline parallelism in stream programs (MIG, WT, SPA), pp. 151–162.
ASPLOSASPLOS-2006-ShyamCPBA #fault #low cost
Ultra low-cost defect protection for microprocessor pipelines (SS, KC, SP, VB, TMA), pp. 73–82.
DACDAC-2006-PsarakisGHPRR #self
Systematic software-based self-test for pipelined processors (MP, DG, MH, AMP, AR, SR), pp. 393–398.
DACDAC-2006-SovianiHE #synthesis
Synthesis of high-performance packet processing pipelines (CS, IH, SAE), pp. 679–682.
DATEDATE-2006-AlimondaACP #approach #energy #optimisation #runtime
A control theoretic approach to run-time energy optimization of pipelined processing in MPSoCs (AA, AA, SC, AP), pp. 876–877.
DATEDATE-2006-KaneMS #verification
Monolithic verification of deep pipelines with collapsed flushing (RK, PM, SKS), pp. 1234–1239.
DATEDATE-2006-KooM #functional #generative #testing #using #validation
Functional test generation using property decompositions for validation of pipelined processors (HMK, PM), pp. 1240–1245.
DATEDATE-2006-KranitisMLTPGH #embedded #fault #testing
Optimal periodic testing of intermittent faults in embedded pipelined processor applications (NK, AM, NL, GT, AMP, DG, CH), pp. 65–70.
DATEDATE-2006-ViswanathAJ #automation #power management
Automatic insertion of low power annotations in RTL for pipelined microprocessors (VV, JAA, WAHJ), pp. 496–501.
SIGMODSIGMOD-2005-HarizopoulosSA #named #query #relational
QPipe: A Simultaneously Pipelined Relational Query Engine (SH, VS, AA), pp. 383–394.
VLDBVLDB-2005-LiuR #parallel #query
Revisiting Pipelined Parallelism in Multi-Join Query Processing (BL, EAR), pp. 829–840.
DiGRADiGRA-2005-Banks
Opening the Production Pipeline: Unruly Creators (JB).
PLDIPLDI-2005-DaiHLH #architecture #automation #clustering
Automatically partitioning packet processing applications for pipelined architectures (JD, BH, LL, LH), pp. 237–248.
PLDIPLDI-2005-RongDG #multi
Register allocation for software pipelined multi-dimensional loops (HR, AD, GRG), pp. 154–167.
DACDAC-2005-KimK05a #evaluation #modelling #performance #reuse #simulation
Performance simulation modeling for fast evaluation of pipelined scalar processor by evaluation reuse (HYK, TGK), pp. 341–344.
DACDAC-2005-McGeeN #classification #design #framework
A lattice-based framework for the classification and design of asynchronous pipelines (PBM, SMN), pp. 491–496.
DATEDATE-2005-BarrandonCH #design
Systematic Figure of Merit Computation for the Design of Pipeline ADC (LB, SC, DH), pp. 277–278.
DATEDATE-2005-CasuM #design
A New System Design Methodology for Wire Pipelined SoC (MRC, LM), pp. 944–945.
DATEDATE-2005-ChienCLMRM #optimisation
Designer-Driven Topology Optimization for Pipelined Analog to Digital Converters (YTC, DC, JHL, GKM, RAR, TM), pp. 279–280.
DATEDATE-2005-DattaBMBR #design #modelling #process #statistics
Statistical Modeling of Pipeline Delay and Design of Pipeline under Process Variation to Enhance Yield in sub-100nm Technologies (AD, SB, SM, NB, KR), pp. 926–931.
DATEDATE-2005-KimKPJC #architecture #configuration management #optimisation #resource management
Resource Sharing and Pipelining in Coarse-Grained Reconfigurable Architecture for Domain-Specific Optimization (YK, MK, CP, JJ, KC), pp. 12–17.
DATEDATE-2005-MishraD #functional #generative #testing #validation
Functional Coverage Driven Test Generation for Validation of Pipelined Processors (PM, NDD), pp. 678–683.
DATEDATE-2005-ReshadiD #generative #modelling #performance
Generic Pipelined Processor Modeling and High Performance Cycle-Accurate Simulator Generation (MR, NDD), pp. 786–791.
DATEDATE-2005-SilvaB #architecture #design #throughput #trade-off
Area and Throughput Trade-Offs in the Design of Pipelined Discrete Wavelet Transform Architectures (SVS, SB), pp. 32–37.
DATEDATE-2005-StergiouACRBM #abstract syntax tree #design #library #network #synthesis
ast pipes Lite: A Synthesis Oriented Design Library For Networks on Chips (SS, FA, SC, LR, DB, GDM), pp. 1188–1193.
LCTESLCTES-2005-SoD #concurrent #integration #thread
Complementing software pipelining with software thread integration (WS, AGD), pp. 137–146.
SIGMODSIGMOD-2004-BabuMMNW #adaptation
Adaptive Ordering of Pipelined Stream Filters (SB, RM, KM, IN, JW), pp. 407–418.
SIGMODSIGMOD-2004-KramerS #framework #named
PIPES — A Public Infrastructure for Processing and Exploring Streams (JK, BS), pp. 925–926.
SCAMSCAM-2004-SuWHM
Software De-Pipelining Technique (BS, JW, EWH, JM), pp. 7–16.
ICPRICPR-v1-2004-FerrettiB #implementation #parallel
A Parallel Pipelined Implementation of LOCO-I for JPEG-LS (MF, MB), pp. 769–772.
SEKESEKE-2004-Chan #automation #information management
Automated Support for Knowledge Engineering for A Natural Gas Pipeline Domain (CWC), pp. 86–91.
ASPLOSASPLOS-2004-SrinivasanRAGU
Continual flow pipelines (STS, RR, HA, AG, MU), pp. 107–119.
CGOCGO-2004-RongDGG #code generation #multi
Code Generation for Single-Dimension Software Pipelining of Multi-Dimensional Loops (HR, AD, RG, GRG), pp. 175–188.
CGOCGO-2004-RongTGDG #multi
Single-Dimension Software Pipelining for Multi-Dimensional Loops (HR, ZT, RG, AD, GRG), pp. 163–174.
DACDAC-2004-CongFZ #architecture #automation #synthesis
Architecture-level synthesis for automatic interconnect pipelining (JC, YF, ZZ), pp. 602–607.
DACDAC-2004-LongSLH #optimisation
Floorplanning optimization with trajectory piecewise-linear model for pipelined interconnects (CL, LJS, WL, LH), pp. 640–645.
DACDAC-2004-ZhangHC #analysis #statistics
Statistical timing analysis in sequential circuit for on-chip global interconnect pipelining (LZ, YH, CCPC), pp. 904–907.
DATEDATE-DF-2004-PanatoSWJRB #design #multi
Design of Very Deep Pipelined Multipliers for FPGAs (AP, SVS, FRW, MOJ, RR, SB), pp. 52–57.
DATEDATE-v1-2004-GinesPR #fault
Digital Background Gain Error Correction in Pipeline ADCs (AJG, EJP, AR), pp. 82–87.
DATEDATE-v1-2004-MishraD #functional #generative #graph
Graph-Based Functional Test Program Generation for Pipelined Processors (PM, ND), pp. 182–187.
DATEDATE-v1-2004-Taherzadeh-SaniLS #design #optimisation
Systematic Design for Optimization of High-Resolution Pipelined ADCs (MTS, RL, OS), pp. 678–679.
OSDIOSDI-2004-DeanG #clustering #named #scalability
MapReduce: Simplified Data Processing on Large Clusters (JD, SG), pp. 137–150.
PDPPDP-2004-ColmenarGLHLH #empirical #latency
Empirical Characterization of the Latency of Long Asynchronous Pipelines with Data-Dependent Module Delays (JMC, OG, SL, JIH, JL, RH), pp. 112–119.
DATEDATE-2005-AndersenBTBBHM04
A 97mW 110MS/s 12b Pipeline ADC Implemented in 0.18mum Digital CMOS (TNA, AB, FT, JB, TEB, BH, ØM), pp. 219–222.
CAVCAV-2004-RayH #deduction #first-order #quantifier #using #verification
Deductive Verification of Pipelined Machines Using First-Order Quantification (SR, WAHJ), pp. 31–43.
SACSAC-2003-CornoCRS #automation #generative
Automatic Test Program Generation for Pipeline Processors (FC, GC, MSR, GS), pp. 736–740.
CCCC-2003-TouatiE
Early Control of Register Pressure for Software Pipelined Loops (SAAT, CE), pp. 17–32.
DACDAC-2003-ZieglerHD #communication
Compiler-generated communication for pipelined FPGA applications (HEZ, MWH, PCD), pp. 610–615.
DATEDATE-2003-AgarwalRV #architecture
Exploring High Bandwidth Pipelined Cache Architecture for Scaled Technology (AA, KR, TNV), pp. 10778–10783.
DATEDATE-2003-NummerS #testing
DFT for Testing igh-Performance Pipelined Circuits with Slow-Speed Testers (MN, MS), pp. 10212–10217.
DATEDATE-2003-RebaudengoRV #analysis #fault
An Accurate Analysis of the Effects of Soft Errors in the Instruction and Data Caches of a Pipelined Microprocessor (MR, MSR, MV), pp. 10602–10607.
DATEDATE-2003-RettbergZBL #architecture #embedded #self
A Fully Self-Timed Bit-Serial Pipeline Architecture for Embedded Systems (AR, MCZ, CB, TL), pp. 11130–11131.
HPDCHPDC-2003-ThainBAAL #grid
Pipeline and Batch Sharing in Grid Workloads (DT, JB, ACAD, RHAD, ML), pp. 152–161.
SASSAS-2002-LangenbachTH #analysis #modelling
Pipeline Modeling for Timing Analysis (ML, ST, RH), pp. 294–309.
GPCEGPCE-2002-NogaK #content management #optimisation
Optimizing Content Management System Pipelines (MLN, FK), pp. 252–267.
ASPLOSASPLOS-2002-MukherjeeSBELW #algorithm #case study #comparative
A comparative study of arbitration algorithms for the Alpha 21364 pipelined router (SSM, FS, PJB, JSE, SL, DW), pp. 223–234.
DACDAC-2002-EderB #logic #performance #verification
Achieving maximum performance: a method for the verification of interlocked pipeline control logic (KE, GB), pp. 135–140.
DATEDATE-2002-FerrettiB #encoding #using
Single-Track Asynchronous Pipeline Templates Using 1-of-N Encoding (MF, PAB), pp. 1008–1015.
DATEDATE-2002-MishraDNT #automation #execution #functional #multi #verification
Automatic Verification of In-Order Execution In Microprocessors with Fragmented Pipelines and Multicycle Functional Units (PM, NDD, AN, HT), pp. 36–43.
DATEDATE-2002-OzdagBSN #performance
High-Speed Non-Linear Asynchronous Pipelines (ROO, PAB, MS, SMN), pp. 1000–1007.
DATEDATE-2002-TugsinavisutB
Control Circuit Templates for Asynchronous Bundled-Data Pipelines (ST, PAB), p. 1098.
HPCAHPCA-2002-BrownP #using
Using Internal Redundant Representations and Limited Bypass to Support Pipelined Adders and Register Files (MDB, YNP), pp. 289–298.
LCTESLCTES-SCOPES-2002-MilnerD #performance
Quick piping: a fast, high-level model for describing processor pipelines (CWM, JWD), pp. 175–184.
CAVCAV-2002-Jacobi #model checking #verification
Formal Verification of Complex Out-of-Order Pipelines by Combining Model-Checking and Theorem-Proving (CJ0), pp. 309–323.
PODSPODS-2001-DalviSRS #multi #optimisation
Pipelining in Multi-Query Optimization (NND, SKS, PR, SS).
VLDBVLDB-2001-UrhanF #interactive #performance #query #scheduling
Dynamic Pipeline Scheduling for Improving Interactive Query Performance (TU, MJF), pp. 501–510.
SACSAC-2001-AltemoseN
Register pressure responsive software pipelining (GA, CN), pp. 626–631.
CCCC-2001-MuthukumarD
Software Pipelining of Nested Loops (KM, GD), pp. 165–181.
CCCC-2001-YunKM #control flow #towards
A First Step Towards Time Optimal Software Pipelining of Loops with Control Flows (HSY, JK, SMM), pp. 182–199.
DACDAC-2001-GorenSW #analysis #novel #probability
A Novel Method for Stochastic Nonlinearity Analysis of a CMOS Pipeline ADC (DG, ES, IAW), pp. 127–132.
DACDAC-2001-KohnoM #behaviour #verification
A New Verification Methodology for Complex Pipeline Behavior (KK, NM), pp. 816–821.
DACDAC-2001-KroeningP #automation #design
Automated Pipeline Design (DK, WJP), pp. 810–815.
HPCAHPCA-2001-PehD #architecture
A Delay Model and Speculative Architecture for Pipelined Routers (LSP, WJD), pp. 255–266.
HPDCHPDC-2001-RoyoCKF #architecture #network #resource management
Active Yellow Pages: A Pipelined Resource Management Architecture for Wide-Area Network Computing (DR, LDdC, NHK, JABF), pp. 147–157.
LCTESLCTES-OM-2001-GranstonSZ #architecture
Software Pipelining Irregular Loops on the TMS320C6000 VLIW DSP Architecture (EDG, ES, JZ), pp. 138–144.
ICPRICPR-v1-2000-Wandell
Color Appearance and the Digital Imaging Pipeline (BAW), pp. 1183–1190.
PLDIPLDI-2000-ZalameaLAV #code generation
Improved spill code generation for software pipelined loops (JZ, JL, EA, MV), pp. 134–144.
CCCC-2000-Gregg
Global Software Pipelining with Iteration Preselection (DG), pp. 189–201.
CCCC-2000-HoogerbruggeA #java #virtual machine
Pipelined Java Virtual Machine Interpreters (JH, LA), pp. 35–49.
DATEDATE-2000-PeraliasARH #design #verification
A Vhdl-Based Methodology for Design and Verification of Pipeline A/D Converters (EJP, AJA, AR, JLH), pp. 534–538.
SACSAC-1999-CraneWS #algorithm #multi #scheduling #search-based #using
Scheduling of Multi-Product Fungible Liquid Pipelines Using Genetic Algorithms (DSC, RLW, DAS), pp. 280–285.
CCCC-1999-ZhangGRG #performance
Efficient State-Diagram Construction Methods for Software Pipelining (CZ, RG, SR, GRG), pp. 153–167.
DACDAC-1999-CampenhoutMH #design #generative #testing #verification
High-Level Test Generation for Design Verification of Pipelined Microprocessors (DVC, TNM, JPH), pp. 185–188.
DACDAC-1999-VelevB #similarity #verification
Exploiting Positive Equality and Partial Non-Consistency in the Formal Verification of Pipelined Microprocessors (MNV, REB), pp. 397–401.
LCTESLCTES-1999-SchneiderF #abstract interpretation #behaviour #predict
Pipeline Behavior Prediction for Superscalar Processors by Abstract Interpretation (JS, CF), pp. 35–44.
PDPPDP-1999-EspadasPLT #parallel #process
Parallel resolution of alternating-line processes by means of pipelining techniques (DE, MP, IML, FT), pp. 289–296.
CAVCAV-1999-Bjesse #automation #combinator #verification
Automatic Verification of Combinatorial and Pipelined FFT (PB), pp. 380–393.
CAVCAV-1999-RameshB #case study #design #tool support #using #validation
Validation of Pipelined Processor Designs Using Esterel Tools: A Case Study (SR, PB), pp. 84–95.
ITiCSEITiCSE-1998-KarpouzisK #approach
The rendering pipeline in the classroom: a diversified approach (KK, SDK), pp. 139–142.
SACSAC-1998-Kimm #2d #configuration management #problem
Two dimensional maximal elements problem on a reconfigurable optical pipelined bus system (HK), pp. 623–627.
DACDAC-1998-McGrawAK #design #top-down
A Top-Down Design Environment for Developing Pipelined Datapaths (RMM, JHA, RHK), pp. 236–241.
DATEDATE-1998-MesmanSTMJ #approach #constraints
A Constraint Driven Approach to Loop Pipelining and Register Binding (BM, MTJS, AHT, JLvM, JAGJ), pp. 377–383.
LCTESLCTES-1998-ChildersD #design #synthesis
A Design Environment for Counterflow Pipeline Synthesis (BRC, JWD), pp. 113–234.
PDPPDP-1998-Khan #algorithm #distributed #performance
Performance of the pipelined hash-join algorithm in a heterogeneous distributed environment (ZSK), pp. 486–491.
PDPPDP-1998-SanchezDG #configuration management #network #using
Using channel pipelining in reconfigurable interconnection networks (JLS, JD, JMG), pp. 120–126.
TACASTACAS-1998-Bryant #verification
Formal Verification of Pipelined Processors (REB), pp. 1–4.
CAVCAV-1998-HosabettuSG #correctness #proving
Decomposing the Proof of Correctness of pipelined Microprocessors (RH, MKS, GG), pp. 122–134.
SACSAC-1997-Sibai97a #communication #multi #on the
On the impact of pipelined communication in hierarchical ring multicomputers (FNS), pp. 384–388.
DACDAC-1997-BakshiG #clustering #hardware
Hardware/Software Partitioning and Pipelining (SB, DG), pp. 713–716.
DACDAC-1997-BeniniMP #adaptation #design #latency #throughput
Telescopic Units: Increasing the Average Throughput of Pipelined Designs by Adaptive Latency Control (LB, EM, MP), pp. 22–27.
DACDAC-1997-CongW #synthesis
FPGA Synthesis with Retiming and Pipelining for Clock Period Minimization of Sequential Circuits (JC, CW), pp. 644–649.
HPCAHPCA-1997-JanikLM #architecture
Advances of the Counterflow Pipeline Microarchitecture (KJJ, SLL, MFM), pp. 230–236.
CAVCAV-1997-SawadaH #approach #verification
Trace Table Based Approach for Pipeline Microprocessor Verification (JS, WAHJ), pp. 364–375.
PLDIPLDI-1996-EichenbergerD #constraints #multi #scheduling
A Reduced Multipipeline Machine Description that Preserves Scheduling Constraints (AEE, ESD), pp. 12–22.
PLDIPLDI-1996-RuttenbergGLS #compilation #heuristic
Software Pipelining Showdown: Optimal vs. Heuristic Methods in a Production Compiler (JCR, GRG, WL, AS), pp. 1–11.
CCCC-1996-PfahlerP #comparison #scheduling
A Comparison of Modulo Scheduling Techniques for Software Pipelining (PP, GP), pp. 18–32.
CCCC-1996-WangG #named
Pipelining-Dovetailing: A Transformation to Enhance Software Pipelining for Nested Loops (JW, GRG), pp. 1–17.
DACDAC-1996-BinhISH #algorithm #clustering #design #hardware
A Hardware/Software Partitioning Algorithm for Designing Pipelined ASIPs with Least Gate Counts (NNB, MI, AS, NH), pp. 527–532.
DACDAC-1996-HassounE #architecture
Architectural Retiming: Pipelining Latency-Constrained Circuts (SH, CE), pp. 708–713.
DACDAC-1996-LevittO #scalability #verification
A Scalable Formal Verification Methodology for Pipelined Microprocessors (JRL, KO), pp. 558–563.
DACDAC-1996-LiouLC #performance #pseudo #testing
Area Efficient Pipelined Pseudo-Exhaustive Testing with Retiming (HYL, TTYL, CKC), pp. 274–279.
HPCAHPCA-1996-GovindarajanAG #hardware
Co-Scheduling Hardware and Software Pipelines (RG, ERA, GRG), pp. 52–61.
ECOOPECOOP-1995-DriesenHV
Message Dispatch on Pipelined Processors (KD, UH, JV), pp. 253–282.
PLDIPLDI-1995-AltmanGG #scheduling
Scheduling and Mapping: Software Pipelining in the Presence of Structural Hazards (ERA, RG, GRG), pp. 139–150.
SIGMODSIGMOD-1994-HsiaoCY #execution #on the #parallel
On Parallel Execution of Multiple Pipelined Hash Joins (HIH, MSC, PSY), pp. 185–196.
VLDBVLDB-1994-HasanM #algorithm #optimisation #parallel #trade-off
Optimization Algorithms for Exploiting the Parallelism-Communication Tradeoff in Pipelined Parallelism (WH, RM), pp. 36–47.
SEKESEKE-1994-RisheS #automation #database #design
A pipeline CASE tool for database design (NR, WS), pp. 336–343.
POPLPOPL-1994-ProebstingF #detection
Detecting Pipeline Structural Hazards Quickly (TAP, CWF), pp. 280–286.
DACDAC-1994-BhagwatiD #automation #verification
Automatic Verification of Pipelined Microprocessors (VB, SD), pp. 603–608.
DACDAC-1994-Casavant #design #named #programmable
MIST — A Design Aid for Programmable Pipelined Processors (AEC), pp. 532–536.
DACDAC-1994-HuangD #set #synthesis
Synthesis of Instruction Sets for Pipelined Microprocessors (IJH, AMD), pp. 5–11.
DACDAC-1994-JunH #automation #synthesis
Automatic Synthesis of Pipeline Structures with Variable Data Initiation Intervals (HSJ, SYH), pp. 537–541.
DACDAC-1994-PassosSB #multi #scheduling
Loop Pipelining for Scheduling Multi-Dimensional Systems via Rotation (NLP, EHMS, SCB), pp. 485–490.
DACDAC-1994-ShyurCP #on the #testing
On Testing Wave Pipelined Circuits (JCS, HPC, TMP), pp. 370–374.
DATEEDAC-1994-CoulombP #fourier
PLFP256 A Pipelined Fourier Processor (PC, FP), pp. 245–249.
DATEEDAC-1994-DepuydtGGM #graph #optimisation #scheduling
Optimal Scheduling and Software Pipelining of Repetitive Signal Flow Graphs with Delay Line Optimization (FD, WG, GG, HDM), pp. 490–494.
CAVCAV-1994-BurchD #automation #verification
Automatic verification of Pipelined Microprocessor Control (JRB, DLD), pp. 68–80.
SIGMODSIGMOD-1993-FushimiK #database #hardware #named
GREO: A Commercial Database Processor Based on A Pipelined Hardware Sorter (SF, MK), pp. 449–452.
SIGMODSIGMOD-1993-LoCRY #on the
On Optimal Processor Allocation to Support Pipelined Hash Joins (MLL, MSC, CVR, PSY), pp. 69–78.
POPLPOPL-1993-NingG #framework #novel
A Novel Framework of Register Allocation for Software Pipelining (QN, GRG), pp. 29–42.
SACSAC-1993-PanH #array #composition
Computation of Singular Value Decomposition on Arrays with Pipelined Optical Buses (YP, MH), pp. 525–532.
DACDAC-1993-ChaoLS #algorithm #scheduling
Rotation Scheduling: A Loop Pipelining Algorithm (LFC, ASL, EHMS), pp. 566–572.
DACDAC-1993-CloutierT #set #synthesis
Synthesis of Pipelined Instruction Set Processors (RJC, DET), pp. 583–588.
DACDAC-1993-ShenoyBS #multi
Resynthesis of Multi-Phase Pipelines (NVS, RKB, ALSV), pp. 490–496.
VLDBVLDB-1992-ChenLYY #execution #using
Using Segmented Right-Deep Trees for the Execution of Pipelined Hash Joins (MSC, MLL, PSY, HCY), pp. 15–26.
PLDIPLDI-1992-RauLTS
Register Allocation for Software Pipelined Loops (BRR, ML, PPT, MSS), pp. 283–299.
CCCC-1992-DuesterwaldGS #approach
Register Pipelining: An Integrated Approach to Register Allocation for Scalar and Subscripted Variables (ED, RG, MLS), pp. 192–206.
CCCC-1992-ErtlK #scheduling
Instruction Scheduling for Complex Pipelines (MAE, AK), pp. 207–218.
CCCC-1992-HoogerbruggeC #architecture
Comparing Software Pipelining for an Operation-Triggered and a Tarnsport-Triggered Architecture (JH, HC), pp. 219–228.
DACDAC-1992-HuangD #compilation #set #synthesis
High Level Synthesis of Pipelined Instruction Set Processors and Back-End Compilers (IJH, AMD), pp. 135–140.
PLDIPLDI-1991-Jain #scheduling
Circular Scheduling: A New Technique to Perform Software Pipelining (SJ), pp. 219–228.
DACDAC-1991-ChenM #scheduling
Datapath Scheduling for Two-Level Pipelining (CYRC, MZM), pp. 603–606.
DACDAC-1991-HuHB
Minimizing the Number of Delay Buffers in the Synchronization of Pipelined Systems (XH, RGH, SCB), pp. 758–763.
DACDAC-1991-HwangHL #functional #scheduling
Scheduling for Functional Pipelining and Loop Winding (CTH, YCH, YLL), pp. 764–769.
DACDAC-1990-McNallC #architecture #automation #synthesis
Automatic Operator Configuration in the Synthesis of Pipelined Architectures (KNM, AEC), pp. 174–179.
ICLPCLP-1990-GiacobazziR90 #logic programming #optimisation #source code
Pipeline Optimizations in AND-Parallel Logic Programs (RG, LR), pp. 291–305.
PLDIPLDI-1988-Lam #effectiveness #scheduling
Software Pipelining: An Effective Scheduling Technique for VLIW Machines (MSL), pp. 318–328.
PLDIBest-of-PLDI-1988-Lam88a #effectiveness #scheduling
Software pipelining: an effective scheduling technique for VLIW machines (with retrospective) (MSL), pp. 244–256.
DACDAC-1988-JainPP #synthesis
Module Selection for Pipelined Synthesis (RJ, ACP, NP), pp. 542–547.
DACDAC-1988-Razouk #modelling #petri net
The Use of Petri Nets for Modeling Pipelined Processors (RRR), pp. 548–553.
ESOPESOP-1988-AikenN #parallel
Perfect Pipelining: A New Loop Parallelization Technique (AA, AN), pp. 221–235.
SIGMODSIGMOD-1987-RichardsonLM #algorithm #design #evaluation #parallel
Design and Evaluation of Parallel Pipelined Join Algorithms (JPR, HL, KPM), pp. 399–409.
ASPLOSASPLOS-1987-Clark #performance
Pipelining and Performance in the VAX 8800 Processor (DWC), pp. 173–177.
ASPLOSASPLOS-1987-WeissS #case study #compilation
A Study of Scalar Compilation Techniques for Pipelined Supercomputers (SW, JES), pp. 105–109.
DACDAC-1987-JainPP #design #predict #trade-off
Predicting Area-Time Tradeoffs for Pipelined Design (RJ, ACP, NP), pp. 35–41.
PLDIBest-of-PLDI-1986-MuchnickG #architecture #performance #scheduling
Efficient instruction scheduling for a pipelined architecture (with retrospective) (SSM, PBG), pp. 167–174.
DACDAC-1986-ParkP #named #synthesis
Sehwa: a program for synthesis of pipelines (NP, ACP), pp. 454–460.
ICLPSLP-1984-TickW84 #prolog #towards
Towards a Pipelined Prolog Processor (ET, DHDW), pp. 29–40.
POPLPOPL-1982-HennessyG #code generation #constraints
Code Generation and Reorganization in the Presence of Pipeline Constraints (JLH, TRG), pp. 120–127.
ASPLOSASPLOS-1982-Rymarczyk #guidelines
Coding Guidelines for Pipelined Processors (JWR), pp. 12–19.
DACDAC-1979-MatsuiTEMSYSNK #automation
Automatic pipe routing and material take-off system for chemical plant (YM, HT, SE, NM, SS, CY, TS, SN, BK), pp. 121–127.
DACDAC-1972-CorleyA #design #industrial #interactive #visual notation
The graphically accessed interactive design of industrial pipe systems (MRC, JJAI), pp. 165–169.

Bibliography of Software Language Engineering in Generated Hypertext (BibSLEIGH) is created and maintained by Dr. Vadim Zaytsev.
Hosted as a part of SLEBOK on GitHub.