BibSLEIGH
BibSLEIGH corpus
BibSLEIGH tags
BibSLEIGH bundles
BibSLEIGH people
CC-BY
Open Knowledge
XHTML 1.0 W3C Rec
CSS 2.1 W3C CanRec
email twitter
Used together with:
buffer (27)
algorithm (15)
optim (14)
time (11)
automat (11)

Stem insert$ (all stems)

123 papers:

DACDAC-2015-LinYP #constraints #performance
High performance dummy fill insertion with coupling and uniformity constraints (YL, BY, DZP), p. 6.
DATEDATE-2015-DupuisBFNR #hardware #testing
New testing procedure for finding insertion sites of stealthy hardware trojans (SD, PSB, MLF, GDN, BR), pp. 776–781.
DATEDATE-2015-YinLLWG15a #policy
Cooperatively managing dynamic writeback and insertion policies in a last-level DRAM cache (SY, JL, LL, SW, YG), pp. 187–192.
PODSPODS-2015-Kapralov #complexity #nearest neighbour #query #trade-off
Smooth Tradeoffs between Insert and Query Complexity in Nearest Neighbor Search (MK), pp. 329–342.
ESOPESOP-2015-AbdullaAP #performance
The Best of Both Worlds: Trading Efficiency and Optimality in Fence Insertion for TSO (PAA, MFA, NTP), pp. 308–332.
LATALATA-2015-EremondiIM #bound
Insertion Operations on Deterministic Reversal-Bounded Counter Machines (JE, OHI, IM), pp. 200–211.
FMFM-2015-0001K #bound #model checking #using
Property-Driven Fence Insertion Using Reorder Bounded Model Checking (SJ, DK), pp. 291–307.
HCIDHM-HM-2015-FujiwaraSKFETY
Light Transmission Properties of Insert Molded GFRPs with Different Crape Structure of Silk Fabrics (KF, ES, TK, TF, AE, YT, YY), pp. 267–276.
HCIDHM-HM-2015-FujiwaraSKFSEST #evaluation
Colorimetry and Impression Evaluation of Insert Molded GFRP Plate with Black Silk Fabrics (KF, ES, TK, TF, TS, AE, YS, YT, YY), pp. 256–266.
OOPSLAOOPSLA-2015-BenderLP #declarative
Declarative fence insertion (JB, ML, JP), pp. 367–385.
DACDAC-2014-WuWNBP #on the
On Timing Closure: Buffer Insertion for Hold-Violation Removal (PCW, MDFW, IN, SB, VP), p. 6.
HCIDUXU-ELAS-2014-Spinillo #how #usability
How Do Patient Information Leaflets Aid Medicine Usage? A Proposal for Assessing Usability of Medicine Inserts (CGS), pp. 115–124.
ICPRICPR-2014-MicoO #algorithm #performance
Dynamic Insertions in TLAESA Fast NN Search Algorithm (LM, JO), pp. 3828–3833.
KDDKDD-2014-Melli #automation #parsing #semantics
Shallow semantic parsing of product offering titles (for better automatic hyperlink insertion) (GM), pp. 1670–1678.
ISMMISMM-2014-Joisha #performance #scalability
Sticky tries: fast insertions, fast lookups, no deletions for large key universes (PGJ), pp. 35–46.
CAVCAV-2014-AlglaveKNP #approach #automation #static analysis
Don’t Sit on the Fence — A Static Analysis Approach to Automatic Fence Insertion (JA, DK, VN, DP), pp. 508–524.
DACDAC-2013-KimJK #algorithm #problem
An optimal algorithm of adjustable delay buffer insertion for solving clock skew variation problem (JK, DJ, TK), p. 6.
TACASTACAS-2013-AbdullaACLR #automation #precise
Memorax, a Precise and Sound Tool for Automatic Fence Insertion under TSO (PAA, MFA, YFC, CL, AR), pp. 530–536.
TACASTACAS-2013-LindenW #approach #memory management
A Verification-Based Approach to Memory Fence Insertion in PSO Memory Systems (AL, PW), pp. 339–353.
SEKESEKE-2013-BuenoA #experience #knowledge base
Releasing the OMCS-Br Knowledgebase to Facilitate Insertion of Culture in Applications: Brazilian Experience (S) (AdOB, JCA), pp. 137–140.
DATEDATE-2012-FirouziKT
NBTI mitigation by optimized NOP assignment and insertion (FF, SK, MBT), pp. 218–223.
DocEngDocEng-2012-Damera-VenkataB #automation #documentation
Ad insertion in automatically composed documents (NDV, JB), pp. 3–12.
TACASTACAS-2012-AbdullaACLR
Counter-Example Guided Fence Insertion under TSO (PAA, MFA, YFC, CL, AR), pp. 204–219.
SASSAS-2012-AbdullaACLR #abstraction #automation #integer #source code
Automatic Fence Insertion in Integer Programs via Predicate Abstraction (PAA, MFA, YFC, CL, AR), pp. 164–180.
LATALATA-2012-KrassovitskiyL
Computing by Observing Insertion (AK, PL), pp. 377–388.
DLTDLT-J-2010-KariS11 #parallel #revisited
Schema for Parallel Insertion and Deletion: Revisited (LK, SS), pp. 1655–1668.
DLTDLT-J-2010-KuppusamyMK11 #ambiguity #on the
On the Ambiguity of Insertion Systems (LK, AM, KK), pp. 1747–1758.
DLTDLT-2011-Fujioka
Morphic Characterizations in Terms of Insertion Systems with a Context of Length One (KF), pp. 474–475.
ICALPICALP-v1-2011-ChimaniH #approximate
A Tighter Insertion-Based Approximation of the Crossing Number (MC, PH), pp. 122–134.
HCIHCI-ITE-2011-ShinPCPK #feedback
Needle Insertion Simulator with Haptic Feedback (SS, WP, HC, SHP, LK), pp. 119–124.
CIKMCIKM-2011-ZhuangLF #xml
Insert-friendly XML containment labeling scheme (CZ, ZL, SF), pp. 2449–2452.
DACDAC-2010-ChenDC #synthesis
Clock tree synthesis under aggressive buffer insertion (YYC, CD, DC), pp. 86–89.
DACDAC-2010-GuthausWR #linear #optimisation #programming
Non-uniform clock mesh optimization with linear programming buffer insertion (MRG, GW, RR), pp. 74–79.
DATEDATE-2010-Mirza-AghatabarBG #algorithm #pipes and filters
Algorithms to maximize yield and enhance yield/area of pipeline circuitry by insertion of switches and redundant modules (MMA, MAB, SKG), pp. 1249–1254.
DLTDLT-2010-KariS #parallel
Schema for Parallel Insertion and Deletion (LK, SS), pp. 267–278.
LATALATA-2010-Zantema #complexity
Complexity of Guided Insertion-Deletion in RNA-Editing (HZ), pp. 608–619.
ICGTICGT-2010-Khomenko #behaviour
A New Type of Behaviour-Preserving Transition Insertions in Unfolding Prefixes (VK), pp. 75–90.
ICPRICPR-2010-MicoO #algorithm #constant #nearest neighbour #performance
A Constant Average Time Algorithm to Allow Insertions in the LAESA Fast Nearest Neighbour Search Index (LM, JO), pp. 3911–3914.
LDTALDTA-2010-JohnstoneS
Tear-Insert-Fold grammars (AJ, ES), p. 6.
DACDAC-2009-HuLA #approximate #polynomial
A fully polynomial time approximation scheme for timing driven minimum cost buffer insertion (SH, ZL, CJA), pp. 424–429.
DATEDATE-2009-ChenL #design
Performance-driven dual-rail insertion for chip-level pre-fabricated design (FWC, YYL), pp. 308–311.
DATEDATE-2009-GriessnigMSW #fault #novel #testing
Fault insertion testing of a novel CPLD-based fail-safe system (GG, RM, CS, RW), pp. 214–219.
LATALATA-2009-Onodera #locality #using
New Morphic Characterizations of Languages in Chomsky Hierarchy Using Insertion and Locality (KO), pp. 648–659.
HCIHIMI-II-2009-KimuraONOT #analysis
Analysis on Descriptions of Dosage Regimens in Package Inserts of Medicines (MK, KO, KN, MO, FT), pp. 539–548.
HCIHIMI-II-2009-NabetaKOT
A Proposal of a Method to Extract Active Ingredient Names from Package Inserts (KN, MK, MO, FT), pp. 576–585.
DACDAC-2008-JiangS #algorithm #scalability
Circuit-wise buffer insertion and gate sizing algorithm with scalability (ZJ, WS), pp. 708–713.
SIGMODSIGMOD-2008-SilbersteinCSVYR #distributed #order #performance
Efficient bulk insertion into a distributed ordered table (AS, BFC, US, EV, RY, RR), pp. 765–778.
LATALATA-2008-KrassovitskiyRV
Further Results on Insertion-Deletion Systems with One-Sided Contexts (AK, YR, SV), pp. 333–344.
DACDAC-2007-ChenZ #performance #process
Fast Min-Cost Buffer Insertion under Process Variations (RC, HZ), pp. 338–343.
DACDAC-2007-HuangCCN
Clock Period Minimization with Minimum Delay Insertion (SHH, CHC, CMC, YTN), pp. 970–975.
DATEDATE-2007-BaneresCK
Layout-aware gate duplication and buffer insertion (DB, JC, MK), pp. 1367–1372.
ICEISICEIS-DISI-2007-OsbornB #2d
An insertion strategy for a two-dimensional spatial access method (WO, KB), pp. 295–300.
SACSAC-2007-SarfrazR #algorithm #image #polynomial #random #using
A randomized knot insertion algorithm for outline capture of planar images using cubic spline (MS, AR), pp. 71–75.
DACDAC-2006-ChenCCCH #novel
Novel full-chip gridless routing considering double-via insertion (HYC, MFC, YWC, LC, BH), pp. 755–760.
DACDAC-2006-FengH #equivalence #verification
Early cutpoint insertion for high-level software vs. RTL formal combinational equivalence verification (XF, AJH), pp. 1063–1068.
DACDAC-2006-PengL #constraints #power management
Low-power repeater insertion with both delay and slew rate constraints (YP, XL), pp. 302–307.
DACDAC-2006-WaghmodeLS #scalability
Buffer insertion in large circuits with constructive solution search techniques (MW, ZL, WS), pp. 296–301.
DACDAC-2006-WangZ #bound
Optimal jumper insertion for antenna avoidance under ratio upper-bound (JW, HZ), pp. 761–766.
DATEDATE-2006-BabighianBMM
Enabling fine-grain leakage management by voltage anchor insertion (PB, LB, AM, EM), pp. 868–873.
DATEDATE-2006-ViswanathAJ #automation #pipes and filters #power management
Automatic insertion of low power annotations in RTL for pipelined microprocessors (VV, JAA, WAHJ), pp. 496–501.
CHICHI-2006-DrennerHFRT #web
Insert movie reference here: a system to bridge conversation and item-oriented web sites (SD, FMH, DF, JR, LGT), pp. 951–954.
ICPRICPR-v2-2006-CaoF
Synthesizing Reflections of Inserted Objects (XC, HF), pp. 1225–1228.
DACDAC-2005-PengL #named #performance #power management #using
Freeze: engineering a fast repeater insertion solver for power minimization using the ellipsoid method (YP, XL), pp. 813–818.
DACDAC-2005-SuC #algorithm
An exact jumper insertion algorithm for antenna effect avoidance/fixing (BYS, YWC), pp. 325–328.
DACDAC-2005-SzeAHS
Path based buffer insertion (CCNS, CJA, JH, WS), pp. 509–514.
DACDAC-2005-ZhaoZD #constraints #robust
Constraint-aware robustness insertion for optimal noise-tolerance enhancement in VLSI circuits (CZ, YZ, SD), pp. 190–195.
DATEDATE-2005-KallakuriDF #communication
Buffer Insertion for Bridges and Optimal Buffer Sizing for Communication Sub-System of Systems-on-Chip (SK, AD, EAF), pp. 826–827.
DATEDATE-2005-LiS05a #algorithm
An O(bn2) Time Algorithm for Optimal Buffer Insertion with b Buffer Types (ZL, WS), pp. 1324–1329.
DATEDATE-2005-LiuPP #hybrid #named #performance #power management
RIP: An Efficient Hybrid Repeater Insertion Scheme for Low Power (XL, YP, MCP), pp. 1330–1335.
DATEDATE-2005-XiongTH #process
Buffer Insertion Considering Process Variation (JX, KHT, LH), pp. 970–975.
LOPSTRLOPSTR-2005-SuenagaKY #approach #automation #generative #source code #type system
Extension of Type-Based Approach to Generation of Stream-Processing Programs by Automatic Insertion of Buffering Primitives (KS, NK, AY), pp. 98–114.
DACDAC-2004-LiuPP #library #power management #question #what
Practical repeater insertion for low power: what repeater library do we need? (XL, YP, MCP), pp. 30–35.
DATEDATE-v1-2004-BabighianBM #algorithm #scalability
A Scalable ODC-Based Algorithm for RTL Insertion of Gated Clocks (PB, LB, EM), pp. 500–505.
DATEDATE-v1-2004-EfthymiouSE #automation #generative
Automatic Scan Insertion and Pattern Generation for Asynchronous Circuits (AE, CPS, DAE), pp. 672–673.
DATEDATE-v2-2004-VrankenSW #layout
Impact of Test Point Insertion on Silicon Area and Timing during Layout (HPEV, FSS, HJW), pp. 810–815.
SIGMODSIGMOD-2004-ONeilOPCSW #named #xml
ORDPATHs: Insert-Friendly XML Node Labels (PEO, EJO, SP, IC, GS, NW), pp. 903–908.
ISMMISMM-2004-LeeY #automation #effectiveness #memory management #source code
Experiments on the effectiveness of an automatic insertion of memory reuses into ML-like programs (OL, KY), pp. 97–107.
DACDAC-2003-ShiL #algorithm
An O(nlogn) time algorithm for optimal buffer insertion (WS, ZL), pp. 580–585.
VLDBVLDB-2003-AnKR #performance
Improving Performance with Bulk-Inserts in Oracle R-Trees (NA, KVRK, SR), pp. 948–951.
SASSAS-2003-LeeYY #memory management #reuse #source code
Inserting Safe Memory Reuse Commands into ML-Like Programs (OL, HY, KY), pp. 171–188.
DATEDATE-2002-HuangLWG #constraints
Maze Routing with Buffer Insertion under Transition Time Constraints (LDH, ML, DFW, YG), pp. 702–707.
DATEDATE-2002-HuangTXWL #algorithm #polynomial #problem
A Polynomial Time Optimal Diode Insertion/Routing Algorithm for Fixing Antenna Problem (LDH, XT, HX, DFW, IML), pp. 470–475.
DATEDATE-2002-LuZKC
Flip-Flop and Repeater Insertion for Early Interconnect Planning (RL, GZ, CKK, KYC), pp. 690–695.
DATEDATE-2002-ZhuangKSJ
An Enhanced Q-Sequence Augmented with Empty-Room-Insertion and Parenthesis Trees (CZ, YK, KS, LJ), pp. 61–68.
SCAMSCAM-2002-Ghosh #concurrent #fault #metric #object-oriented #source code #testing #towards #using
Towards Measurement of Testability of Concurrent Object-Oriented Programs Using Fault Insertion: A Preliminary Investigation (SG), pp. 17–25.
DACDAC-2001-LepakLH #constraints
Simultaneous Shield Insertion and Net Ordering under Explicit RLC Noise Constraint (KML, IL, LH), pp. 199–202.
DATEDATE-2001-GaoW #algorithm #graph #modelling
A graph based algorithm for optimal buffer insertion under accurate delay models (YG, DFW), pp. 535–539.
ICDARICDAR-2001-MieneHI
Extracting Textual Inserts from Digital Videos (AM, TH, GTI), pp. 1079–1083.
STOCSTOC-2001-ErgunSSS #performance
Biased dictionaries with fast insert/deletes (FE, SCS, JS, RKS), pp. 483–491.
ICEISICEIS-v1-2001-PereiraB
Inserting Data Warehouse in Corporations (WALP, KB), pp. 3–9.
DACDAC-2000-JagannathanHL #algorithm #performance
A fast algorithm for context-aware buffer insertion (AJ, SWH, JL), pp. 368–373.
DACDAC-2000-LaiW
Maze routing with buffer insertion and wiresizing (ML, DFW), pp. 374–378.
DATEDATE-2000-LiuAW #constraints
Meeting Delay Constraints in DSM by Minimal Repeater Insertion (IML, AA, DFW), pp. 436–440.
DATEDATE-2000-VardanianM #concurrent #detection #fault
Improving the Error Detection Ability of Concurrent Checkers by Observation Point Insertion in the Circuit Under Check (VAV, LBM), p. 762.
HPCAHPCA-2000-RajwarKG #throughput
Improving the Throughput of Synchronization by Insertion of Delays (RR, AK, JRG), pp. 168–179.
OSDIOSDI-2000-BrownM #memory management #physics #using
Taming the Memory Hogs: Using Compiler-Inserted Releases to Manage Physical Memory Intelligently (ADB, TCM), pp. 31–44.
ICTSSTestCom-2000-AmyotL
Structural Coverage For LOTOS — a Probe Insertion Technique (DA, LL), pp. 19–34.
DACDAC-1999-AlpertDQ
Buffer Insertion with Accurate Gate and Interconnect Delay Computation (CJA, AD, STQ), pp. 479–484.
DACDAC-1999-ChenM #using
Noise-Aware Repeater Insertion and Wire-Sizing for On-Chip Interconnect Using Hierarchical Moment-Matching (CPC, NM), pp. 502–506.
DACDAC-1999-IsmailF
Effects of Inductance on the Propagation Delay and Repeater Insertion in VLSI Circuits (YII, EGF), pp. 721–724.
DACDAC-1999-ZhouWLA #strict
Simultaneous Routing and Buffer Insertion with Restrictions on Buffer Locations (HZ, DFW, IML, AA), pp. 96–99.
DATEDATE-1999-NicoliciA #hardware #performance
Efficient BIST Hardware Insertion with Low Test Application Time for Synthesized Data Paths (NN, BMAH), p. 289–?.
VLDBVLDB-1999-JermaineDO #novel
A Novel Index Supporting High Volume Data Warehouse Insertion (CJ, AD, EO), pp. 235–246.
SACSAC-1999-Julstrom #heuristic #permutation
Coding TSP Tours as Permutations via an Insertion Heuristic (BAJ), pp. 297–301.
ICSEICSE-1999-DevanbuCGMM #maintenance #named #re-engineering
CHIME: Customizable Hyperlink Insertion and Maintenance Engine for Software Engineering Environments (PTD, YFC, ERG, HAM, JM), pp. 473–482.
DACDAC-1998-AlpertDQ #optimisation
Buffer Insertion for Noise and Delay Optimization (CJA, AD, STQ), pp. 362–367.
DACDAC-1998-CuletuAM
A Practical Repeater Insertion Method in High Speed VLSI Circuits (JC, CA, JM), pp. 392–395.
DACDAC-1997-AlpertD
Wire Segmenting for Improved Buffer Insertion (CJA, AD), pp. 588–593.
DACDAC-1997-LillisC #multi #optimisation
Timing Optimization for Multi-Source Nets: Characterization and Optimal Repeater Insertion (JL, CKC), pp. 214–219.
DATEEDTC-1997-NouraniP #analysis #behaviour #using
Structural BIST insertion using behavioral test analysis (MN, CAP), pp. 64–68.
DACDAC-1996-LinMCL #logic
Test Point Insertion: Scan Paths through Combinational Logic (CCL, MMS, KTC, MTCL), pp. 268–273.
WPCWPC-1996-Wong #comprehension #on the #process
On Inserting Program Understanding Technology into the Software Change Process (KW), pp. 90–99.
OOPSLAOOPSLA-1996-DickyDHL #automation #on the
On Automatic Class Insertion with Overloading (HD, CD, MH, TL), pp. 251–267.
DACDAC-1995-XiD #power management #process
Buffer Insertion and Sizing Under Process Variations for Low Power Clock Distribution (JGX, WWMD), pp. 491–496.
ICALPICALP-1995-Henzinger #approximate
Approximating Minimum Cuts under Insertions (MRH), pp. 280–291.
FSEFSE-1994-CeceFI #communication #fault
Duplication, Insertion and Lossiness Errors in Unreliable Communication Channels (GC, AF, SPI), pp. 35–43.
PODSPODS-1989-JohnsonS
Utilization of B-trees with Inserts, Deletes and Modifies (TJ, DS), pp. 235–246.
DACDAC-1988-KimTH #automation #hardware #using
Automatic Insertion of BIST Hardware Using VHDL (KK, JGT, DSH), pp. 9–15.
DACDAC-1987-Krishnamurthy #approach #problem #programming
A Dynamic Programming Approach to the Test Point Insertion Problem (BK), pp. 695–705.
SIGMODSIGMOD-1986-Willard #algorithm #worst-case
Good Worst-Case Algorithms for Inserting and Deleting Records in Dense Sequential Files (DEW), pp. 251–260.
ICALPICALP-1986-VarmanD #algorithm #parallel
A Parallel Vertex Insertion Algorithm For Minimum Spanning Trees (PJV, KD), pp. 424–433.
DACDAC-1980-Northcutt #design #fault #implementation
The design and implementation of fault insertion capabilities for ISPS (JDN), pp. 197–209.
POPLPOPL-1977-FischerMQ #ll #parsing #performance
An Efficient Insertion-Only Error-Corrector for LL(1) Parsers (CNF, DRM, SBQ), pp. 97–103.

Bibliography of Software Language Engineering in Generated Hypertext (BibSLEIGH) is created and maintained by Dr. Vadim Zaytsev.
Hosted as a part of SLEBOK on GitHub.