BibSLEIGH
BibSLEIGH corpus
BibSLEIGH tags
BibSLEIGH bundles
BibSLEIGH people
EDIT!
CC-BY
Open Knowledge
XHTML 1.0 W3C Rec
CSS 2.1 W3C CanRec
email twitter
verification
Google verification

Tag #verification

2955 papers:

POPLPOPL-2020-AbdullaAR
Parameterized verification under TSO is PSPACE-complete (PAA, MFA, RR), p. 29.
POPLPOPL-2020-BartheBGHLPT #c #compilation
Formal verification of a constant-time preserving C compiler (GB, SB, BG, RH, VL, DP, AT), p. 30.
POPLPOPL-2020-ClochardMP #deduction #monitoring
Deductive verification with ghost monitors (MC, CM, AP), p. 26.
POPLPOPL-2020-HarkKGK #bound #induction #probability
Aiming low is harder: induction for lower bounds in probabilistic program verification (MH, BLK, JG, JPK), p. 28.
POPLPOPL-2020-LiuRSGCKY #abstraction #timeline
Virtual timeline: a formal abstraction for verifying preemptive schedulers with temporal isolation (ML0, LR, ZS, RG, DC, JEK, MKY), p. 31.
POPLPOPL-2020-SmolkaFHKKS #algebra #linear #source code #testing
Guarded Kleene algebra with tests: verification of uninterpreted programs in nearly linear time (SS, NF, JH, TK, DK, AS0), p. 28.
POPLPOPL-2020-SongCKKKH #composition #lightweight #named
CompCertM: CompCert with C-assembly linking and lightweight modular verification (YS, MC, DK, YK, JK, CKH), p. 31.
POPLPOPL-2020-SozeauBFTW #coq #exclamation #type checking
Coq Coq correct! verification of type checking and erasure for Coq, in Coq (MS, SB, YF0, NT, TW), p. 28.
POPLPOPL-2020-VilhenaPJ #game studies
Spy game: verifying a local generic solver in Iris (PEdV, FP, JHJ), p. 28.
CGOCGO-2020-JoshiFM #approximate #named #reliability #source code
Aloe: verifying reliability of approximate programs in the presence of recovery mechanisms (KJ, VF, SM), pp. 56–67.
CSLCSL-2020-Cortier #protocol #security
Verification of Security Protocols (Invited Talk) (VC), p. 2.
ICSAICSA-2019-GerkingS #architecture #component #cyber-physical #data flow #policy #refinement #security
Component-Based Refinement and Verification of Information-Flow Security Policies for Cyber-Physical Microservice Architectures (CG, DS), pp. 61–70.
FMFM-2019-BeringerA #abstraction #c #composition #source code
Abstraction and Subsumption in Modular Verification of C Programs (LB, AWA), pp. 573–590.
FMFM-2019-BodeveixBCF #liveness #protocol
Mechanically Verifying the Fundamental Liveness Property of the Chord Protocol (JPB, JB, DC, MF), pp. 45–63.
FMFM-2019-DerrickDDSW #concurrent #correctness #data type #persistent
Verifying Correctness of Persistent Concurrent Data Structures (JD, SD, BD, GS, HW), pp. 179–195.
FMFM-2019-Evangelidis0
Quantitative Verification of Numerical Stability for Kalman Filters (AE, DP0), pp. 425–441.
FMFM-2019-Lang0M #bisimulation #composition #concurrent
Compositional Verification of Concurrent Systems by Combining Bisimulations (FL, RM0, FM), pp. 196–213.
FMFM-2019-LunelMBT #composition #difference #logic #parallel
Parallel Composition and Modular Verification of Computer Controlled Systems in Differential Dynamic Logic (SL, SM, BB, JPT), pp. 354–370.
IFM-2019-ChalupaS #evaluation #slicing
Evaluation of Program Slicing in Software Verification (MC, JS), pp. 101–119.
IFM-2019-HsiehM #automaton #named #protocol
Dione: A Protocol Verification System Built with Dafny for I/O Automata (CH, SM), pp. 227–245.
IFM-2019-LuckcuckFDD0 #specification #summary
A Summary of Formal Specification and Verification of Autonomous Robotic Systems (ML, MF, LAD, CD, MF0), pp. 538–541.
IFM-2019-OortwijnH #abstraction #automation #concurrent #message passing
Practical Abstractions for Automated Verification of Message Passing Concurrency (WO, MH), pp. 399–417.
IFM-2019-OortwijnH19a #industrial #safety
Formal Verification of an Industrial Safety-Critical Traffic Tunnel Control System (WO, MH), pp. 418–436.
SEFMSEFM-2019-BeckertBGHLU #relational #slicing #using
Using Relational Verification for Program Slicing (BB, TB, SG, MH, DL, MU), pp. 353–372.
SEFMSEFM-2019-ElderhalliVHKT #fault
Formal Verification of Rewriting Rules for Dynamic Fault Trees (YE, MV0, OH, JPK, ST), pp. 513–531.
SEFMSEFM-2019-FarrellBFDDYM #analysis #case study #using
Using Threat Analysis Techniques to Guide Formal Verification: A Case Study of Cooperative Awareness Messages (MF, MB, MF0, LAD, CD, HY, CM), pp. 471–490.
SEFMSEFM-2019-OliveiraCO #kernel #linux #performance
Efficient Formal Verification for the Linux Kernel (DBdO, TC, RSdO), pp. 315–332.
SEFMSEFM-2019-RingL
Let's Prove It Later - Verification at Different Points in Time (MR, CL), pp. 454–468.
SEFMSEFM-2019-RouxT #bound
Partially Bounded Context-Aware Verification (LLR, CT), pp. 532–548.
SEFMSEFM-2019-WijsW #code generation #composition #concurrent #multi #thread
Modular Indirect Push-Button Formal Verification of Multi-threaded Code Generators (AW, MW), pp. 410–429.
SEFMSEFM-2019-ZhaoOLRFHFPF #health #towards
Towards Integrating Formal Verification of Autonomous Robots with Battery Prognostics and Health Management (XZ, MO, JL, VR, DF, XH0, MF0, FP, AF), pp. 105–124.
HaskellHaskell-2019-ChristiansenDB #coq #haskell #source code
Verifying effectful Haskell programs in Coq (JC, SD, NB), pp. 125–138.
HaskellHaskell-2019-Devriese #approach #case study #composition #haskell #morphism #polymorphism #taxonomy
Modular effects in Haskell through effect polymorphism and explicit dictionary applications: a new approach and the μVeriFast verifier as a case study (DD), pp. 1–14.
HaskellHaskell-2019-MokhovLL #case study #experience #source code
Formal verification of spacecraft control programs (experience report) (AM, GL, JL), pp. 139–145.
ICFP-2019-TimanyB #concurrent #continuation #relational #source code
Mechanized relational verification of concurrent programs with continuations (AT, LB), p. 28.
ECIRECIR-p1-2019-PothaS
Dynamic Ensemble Selection for Author Verification (NP, ES), pp. 102–115.
ECIRECIR-p2-2019-ElsayedNBHSMA #automation #exclamation #identification
CheckThat! at CLEF 2019: Automatic Identification and Verification of Claims (TE, PN, ABC, MH, RS, GDSM, PA), pp. 309–315.
ICMLICML-2019-WengCNSBOD #approach #named #network #probability #robust
PROVEN: Verifying Robustness of Neural Networks with a Probabilistic Approach (LW, PYC, LMN, MSS, AB, IVO, LD), pp. 6727–6736.
MoDELSMoDELS-2019-BesnardTJ0D19a #approach #automaton #modelling #monitoring #uml
Verifying and Monitoring UML Models with Observer Automata: A Transformation-Free Approach (VB, CT, FJ, MB0, PD), pp. 161–171.
OOPSLAOOPSLA-2019-Astrauskas0PS #composition #rust #specification
Leveraging rust types for modular specification and verification (VA, PM0, FP, AJS), p. 30.
OOPSLAOOPSLA-2019-Bastani0S #probability
Probabilistic verification of fairness properties via concentration (OB, XZ0, ASL), p. 27.
OOPSLAOOPSLA-2019-ChenWFBD #learning #relational #using
Relational verification using reinforcement learning (JC, JW, YF, OB, ID), p. 30.
OOPSLAOOPSLA-2019-FernandoJM #approximate #canonical #parallel #safety #source code
Verifying safety and accuracy of approximate parallel programs via canonical sequentialization (VF, KJ, SM), p. 29.
OOPSLAOOPSLA-2019-HamzaVK
System FR: formalized foundations for the stainless verifier (JH, NV, VK), p. 30.
OOPSLAOOPSLA-2019-Huang0CG #composition #probability #source code #termination
Modular verification for almost-sure termination of probabilistic programs (MH, HF0, KC, AKG), p. 29.
OOPSLAOOPSLA-2019-PanchekhaETK #composition #layout #web
Modular verification of web page layout (PP, MDE, ZT, SK), p. 26.
OOPSLAOOPSLA-2019-Ter-GabrielyanS #composition #logic #reachability
Modular verification of heap reachability properties in separation logic (ATG, AJS, PM0), p. 28.
PEPMPEPM-2019-WatanabeTO0 #higher-order #reduction #source code
Reduction from branching-time property verification of higher-order programs to HFL validity checking (KW, TT, HO, NK0), pp. 22–34.
PLDIPLDI-2019-AbdullaAAK #semantics #source code
Verification of programs under the release-acquire semantics (PAA, JA0, MFA, SNK), pp. 1117–1132.
PLDIPLDI-2019-ChajedTKZ #named #refinement
Argosy: verifying layered storage systems with recovery refinement (TC, JT, MFK, NZ), pp. 1054–1068.
PLDIPLDI-2019-ScalasYB #behaviour #message passing #source code
Verifying message-passing programs with dependent behavioural types (AS, NY, EB), pp. 502–516.
PLDIPLDI-2019-SmolkaKKFHK0 #network #probability #scalability
Scalable verification of probabilistic networks (SS, PK0, DMK, NF, JH, DK, AS0), pp. 190–203.
POPLPOPL-2019-GleissenthallKB #distributed #source code
Pretend synchrony: synchronous verification of asynchronous distributed programs (KvG, RGK, AB, DS, RJ), p. 30.
POPLPOPL-2019-MathurMV #decidability #source code
Decidable verification of uninterpreted programs (UM, PM, MV0), p. 29.
POPLPOPL-2019-RaadDRLV #concurrent #consistency #correctness #declarative #library #memory management #modelling #on the #specification
On library correctness under weak memory consistency: specifying and verifying concurrent libraries under declarative consistency models (AR, MD, LR, OL, VV), p. 31.
POPLPOPL-2019-SatoABGGH #approximate #convergence #higher-order #optimisation #probability #reasoning #source code
Formal verification of higher-order probabilistic programs: reasoning about approximation, convergence, Bayesian inference, and optimization (TS, AA0, GB, MG, DG0, JH), p. 30.
PPDPPPDP-2019-0002BMMZ #non-functional
Type-Driven Verification of Non-functional Properties (CB0, ADB, YM, CM, OZ), p. 15.
SASSAS-2019-0001NIU #first-order #fixpoint #logic #source code
Temporal Verification of Programs via First-Order Fixpoint Logic (NK0, TN, AI, HU0), pp. 413–436.
SASSAS-2019-LiLYCHZ #network #performance #precise #towards
Analyzing Deep Neural Networks with Symbolic Propagation: Towards Higher Precision and Faster Verification (JL, JL, PY, LC, XH0, LZ0), pp. 296–319.
SASSAS-2019-RanzatoZ #robust
Robustness Verification of Support Vector Machines (FR, MZ), pp. 271–295.
SASSAS-2019-YanCSZZX #adaptation #analysis #safety
Per-Dereference Verification of Temporal Heap Safety via Adaptive Context-Sensitive Analysis (HY, SC0, YS, YZ, CZ, JX), pp. 48–72.
SASSAS-2019-YinCL0C #source code #testing
Verifying Numerical Programs via Iterative Abstract Testing (BY, LC, JL, JW0, PC), pp. 247–267.
ASEASE-2019-AfzalACCDDKV #abstraction #generative #testing
VeriAbs : Verification by Abstraction and Test Generation (MA, AA, AC, BC, PD, AD, SK, RV), pp. 1138–1141.
ASEASE-2019-ChittimalliAPMP #framework #named
BuRRiTo: A Framework to Extract, Specify, Verify and Analyze Business Rules (PKC, KA, SP, SM, CP, RS, RN), pp. 1190–1193.
ASEASE-2019-LiuSTWY #c #encryption #source code
Verifying Arithmetic in Cryptographic C Programs (JL, XS, MHT, BYW, BYY), pp. 552–564.
ASEASE-2019-Mudduluru #source code
Verifying Determinism in Sequential Programs (RM), pp. 1271–1273.
ASEASE-2019-Reich #automation #requirements
Inference of Properties from Requirements and Automation of Their Formal Verification (MR), pp. 1222–1225.
ICSE-2019-CabralMSM #evolution #fault #latency #predict
Class imbalance evolution and verification latency in just-in-time software defect prediction (GGC, LLM, ES, SM), pp. 666–676.
ICSE-2019-PanCP0L #modelling #predict
Easy modelling and verification of unpredictable and preemptive interrupt-driven systems (MP, SC, YP0, TZ0, XL), pp. 212–222.
ICSE-2019-Yin0L0 #concurrent #parallel #refinement #thread
Parallel refinement for multi-threaded program verification (LY, WD0, WL, JW0), pp. 643–653.
CASECASE-2019-WasserfallAH #3d
Optical In-Situ Verification of 3D-Printed Electronic Circuits (FW, DA, NH), pp. 1302–1307.
FASEFASE-2019-HuangK #constraints #safety #security
Formal Verification of Safety & Security Related Timing Constraints for a Cooperative Automotive System (LH, EYK0), pp. 210–227.
FASEFASE-2019-QianZWO #c #modelling #named #source code
KupC: A Formal Tool for Modeling and Verifying Dynamic Updating of C Programs (JQ, MZ0, YW, KO0), pp. 299–305.
CADECADE-2019-LiT #automation #protocol #proving #security #theorem proving
Combining ProVerif and Automated Theorem Provers for Security Protocol Verification (DLL, AT), pp. 354–365.
CAVCAV-2019-CoenenFST
Verifying Hyperliveness (NC, BF, CS, LT), pp. 121–139.
CAVCAV-2019-FarzanV #automation
Automated Hypersafety Verification (AF, AV), pp. 200–218.
CAVCAV-2019-FengCZF0 #bound #difference #equation
Taming Delays in Dynamical Systems - Unbounded Verification of Delay Differential Equations (SF, MC, NZ, MF, BX0), pp. 650–669.
CAVCAV-2019-HongLMR #bisimulation #probability #protocol
Probabilistic Bisimulation for Parameterized Systems - (with Applications to Verifying Anonymous Protocols) (CDH, AWL, RM, PR), pp. 455–474.
CAVCAV-2019-KatzHIJLLSTWZDK #analysis #framework #network
The Marabou Framework for Verification and Analysis of Deep Neural Networks (GK, DAH, DI, KJ, CL, RL, PS, ST, HW0, AZ, DLD, MJK, CWB), pp. 443–452.
CAVCAV-2019-LangeY #automaton #communication #interactive
Verifying Asynchronous Interactions via Communicating Session Automata (JL, NY), pp. 97–117.
CAVCAV-2019-BerkovitsLLPS #algorithm #composition #decidability #distributed #logic
Verification of Threshold-Based Distributed Algorithms by Decomposition to Decidable Logics (IB, ML, GL, OP, SS), pp. 245–266.
CAVCAV-2019-GiannarakisBMW #fault tolerance #network #performance #refinement
Efficient Verification of Network Fault Tolerance via Counterexample-Guided Refinement (NG, RB, RM, DW), pp. 305–323.
CAVCAV-2019-LeeHL #named #optimisation
AliveInLean: A Verified LLVM Peephole Optimization Verifier (JL, CKH, NPL), pp. 445–455.
CAVCAV-2019-LiuWL #source code #using
Verifying Asynchronous Event-Driven Programs Using Partial Abstract Transformers (PL, TW, AL), pp. 386–404.
CAVCAV-2019-LiuZWYLLYZ #algorithm #hoare #logic #quantum #using
Formal Verification of Quantum Algorithms Using Quantum Hoare Logic (JL, BZ, SW, SY, TL, YL, MY, NZ), pp. 187–207.
CAVCAV-2019-NagarJ #automation
Automated Parameterized Verification of CRDTs (KN, SJ), pp. 459–477.
ICSTICST-2019-AlthomaliKM #automation #layout #visual notation #web
Automatic Visual Verification of Layout Failures in Responsively Designed Web Pages (IA, GMK, PM), pp. 183–193.
ICSTICST-2019-LegunsenZHRM #runtime
Techniques for Evolution-Aware Runtime Verification (OL, YZ, MHT, GR, DM), pp. 300–311.
TAPTAP-2019-0002JPW #approximate #hardware #question
When Are Software Verification Results Valid for Approximate Hardware? (TI0, MCJ, FP, HW), pp. 3–20.
VMCAIVMCAI-2019-AndreFMS #abstraction #algorithm #industrial #model checking #parametricity #using
Verification of an Industrial Asynchronous Leader Election Algorithm Using Abstractions and Parametric Model Checking (ÉA, LF, JMM, RS), pp. 409–424.
VMCAIVMCAI-2019-BouillaguetBSY #analysis #deduction #in memory #memory management #modelling #pointer
Exploiting Pointer Analysis in Memory Models for Deductive Verification (QB, FB, MS, BY), pp. 160–182.
VMCAIVMCAI-2019-KarlSBM #fault #robust #source code
Small Faults Grow Up - Verification of Error Masking Robustness in Arithmetically Encoded Programs (AFK, RS, RB, SM), pp. 183–204.
VMCAIVMCAI-2019-NguyenTC #automation #program repair #using
Automatic Program Repair Using Formal Verification and Expression Templates (TTN, QTT, WNC), pp. 70–91.
ICSMEICSME-2018-ChenDZGH #automation #implementation #named #testing
DRLgencert: Deep Learning-Based Automated Testing of Certificate Verification in SSL/TLS Implementations (CC, WD, YZ, SG, CH), pp. 48–58.
ICSMEICSME-2018-SaidQK18a #modelling #state machine
Reflexion Models for State Machine Extraction and Verification (WS, JQ, RK), pp. 149–159.
DLTDLT-2018-0001JJ #automaton #complexity #finite #problem #self
Computational Complexity of Decision Problems on Self-verifying Finite Automata (MH0, SJ, JJJ), pp. 404–415.
FMFM-2018-AlbertGRS0 #modelling #named #source code
SDN-Actors: Modeling and Verification of SDN Programs (EA, MGZ, AR, MS, AS0), pp. 550–567.
FMFM-2018-BergerKAWR #c #case study #experience
Verifying Auto-generated C Code from Simulink - An Experience Report in the Automotive Domain (PB, JPK, , MTBW, TR), pp. 312–328.
FMFM-2018-BeyeneR #integration #tool support
Evidential and Continuous Integration of Software Verification Tools (TAB, HR), pp. 679–685.
FMFM-2018-CimattiST #architecture #specification
Formal Specification and Verification of Dynamic Parametrized Architectures (AC, IS, ST), pp. 625–644.
FMFM-2018-ColvinS #memory management #modelling #source code
A Wide-Spectrum Language for Verification of Programs on Weak Memory Models (RJC, GS), pp. 240–257.
FMFM-2018-KhannaSRP #source code
Dynamic Symbolic Verification of MPI Programs (DK, SS0, CR, RP), pp. 466–484.
FMFM-2018-LetanRCH #composition #coq #source code
Modular Verification of Programs with Effects and Effect Handlers in Coq (TL, YRG, PC, GH), pp. 338–354.
FMFM-2018-NellenRWAK #challenge #empirical #evaluation #modelling #recommendation
Formal Verification of Automotive Simulink Controller Models: Empirical Technical Challenges, Evaluation and Recommendations (JN, TR, MTBW, , JPK), pp. 382–398.
FMFM-2018-Wang0JQX #towards
Towards 'Verifying' a Water Treatment System (JW, JS0, YJ, SQ, ZX), pp. 73–92.
FSCDFSCD-2018-Rosu #design #implementation
Formal Design, Implementation and Verification of Blockchain Languages (Invited Talk) (GR), p. 6.
IFM-2018-BohlenderK #design #industrial
Design and Verification of Restart-Robust Industrial Control Software (DB, SK), pp. 47–68.
IFM-2018-CavalcantiMSL0T #modelling
Modelling and Verification for Swarm Robotics (AC, AM, AS, WL, PR0, JT), pp. 1–19.
IFM-2018-KangMH #constraints #probability #using
Probabilistic Verification of Timing Constraints in Automotive Systems Using UPPAAL-SMC (EYK0, DM, LH), pp. 236–254.
SEFMSEFM-2018-BabaeeGF #framework #learning #predict #runtime #statistics #using
Prevent : A Predictive Run-Time Verification Framework Using Statistical Learning (RB, AG, SF), pp. 205–220.
SEFMSEFM-2018-DinSC #exception #using
Program Verification for Exception Handling on Active Objects Using Futures (CCD, RS, TCC), pp. 73–88.
SEFMSEFM-2018-RashidSH
Formal Verification of Platoon Control Strategies (AR, US, OH), pp. 223–238.
ICFP-2018-BreitnerSLRWW #case study #exclamation #experience #haskell #set
Ready, set, verify! applying hs-to-coq to real-world Haskell code (experience report) (JB, ASZ, YL0, CR, JW, SW), p. 16.
ECIRECIR-2018-HalvaniGV
Authorship Verification in the Absence of Explicit Features and Thresholds (OH, LG, IV), pp. 454–465.
ICPRICPR-2018-MingCLVB #detection #interactive #liveness #named #network
FaceLiveNet: End-to-End Networks Combining Face Verification with Interactive Facial Expression-Based Liveness Detection (ZM, JC, MML, MV, JCB), pp. 3507–3512.
ICPRICPR-2018-ShahinJA #approach
One-Class SVMs Based Pronunciation Verification Approach (MAS, JXJ, BA), pp. 2881–2886.
ECMFAECMFA-2018-BaduelCBO #challenge #industrial #modelling #validation
SysML Models Verification and Validation in an Industrial Context: Challenges and Experimentation (RB, MC, JMB, IO), pp. 132–146.
MoDELSMoDELS-2018-BesnardBJTD #embedded #execution #ltl #modelling #uml
Unified LTL Verification and Embedded Execution of UML Models (VB, MB, FJ, CT, PD), pp. 112–122.
OOPSLAOOPSLA-2018-BostonGC #execution #fault tolerance #hardware #modelling #named #programmable
Leto: verifying application-specific hardware fault tolerance with programmable execution models (BB, ZG, MC), p. 30.
OOPSLAOOPSLA-2018-KakiESJ #bound #concurrent #replication
Safe replication through bounded concurrency verification (GK, KE, KCS, SJ), p. 27.
AdaEuropeAdaEurope-2018-JaradatP #contract #design #runtime #safety #using
Using Safety Contracts to Verify Design Assumptions During Runtime (OJ, SP), pp. 3–18.
PEPMPEPM-2018-ImanishiSI #approach
A guess-and-assume approach to loop fusion for program verification (AI, KS, AI), pp. 2–14.
PLDIPLDI-2018-PanchekhaGETK #layout #web
Verifying that web pages have accessible layout (PP, ATG, MDE, ZT, SK), pp. 1–14.
PLDIPLDI-2018-TaubeLMPSSWW #composition #decidability #deduction #distributed
Modularity for decidability of deductive verification with applications to distributed systems (MT, GL, KLM, OP, MS, SS, JRW, DW), pp. 662–677.
POPLPOPL-2018-0001DLC #equivalence
Verifying equivalence of database-driven applications (YW0, ID, SKL, WRC), p. 29.
POPLPOPL-2018-0001ST #higher-order #nondeterminism #refinement #source code #type system
Relatively complete refinement type system for verification of higher-order non-deterministic programs (HU0, YS, TT), p. 29.
POPLPOPL-2018-BurnOR #higher-order #horn clause
Higher-order constrained horn clauses for verification (TCB, CHLO, SJR), p. 28.
POPLPOPL-2018-NguyenGTH #contract #higher-order #source code
Soft contract verification for higher-order stateful programs (PCN, TG, STH, DVH), p. 30.
POPLPOPL-2018-SantosMNWG #javascript #named
JaVerT: JavaScript verification toolchain (JFS, PM, DN, TW0, PG), p. 33.
POPLPOPL-2018-VazouTCSNWJ #refinement #smt
Refinement reflection: complete verification with SMT (NV, AT, VC, RGS, RRN, PW, RJ), p. 31.
PPDPPPDP-2018-Gardner #framework #javascript #named #testing
JaVerT: JavaScript Verification and Testing Framework: Invited Talk (PG), p. 4.
PPDPPPDP-2018-Hanus #declarative #source code
Verifying Fail-Free Declarative Programs (MH), p. 13.
PPDPPPDP-2018-Terao #abstraction #higher-order #lazy evaluation
Lazy Abstraction for Higher-Order Program Verification (TT), p. 13.
SASSAS-2018-HuckelheimLNSH #source code
Verifying Properties of Differentiable Programs (JH, ZL, SHKN, SFS, PDH), pp. 205–222.
SASSAS-2018-MastroeniP #bound
Verifying Bounded Subset-Closed Hyperproperties (IM, MP), pp. 263–283.
SASSAS-2018-McMillanP #decidability #deduction
Deductive Verification in Decidable Fragments with Ivy (KLM, OP), pp. 43–55.
SASSAS-2018-Piskac #synthesis
New Applications of Software Synthesis: Verification of Configuration Files and Firewall Repair (RP), pp. 71–76.
SASSAS-2018-RothenbergDH #abstraction #incremental #using
Incremental Verification Using Trace Abstraction (BCR, DD, MH), pp. 364–382.
SASSAS-2018-Shoham #decidability #distributed #interactive #logic #protocol #using
Interactive Verification of Distributed Protocols Using Decidable Logic (SS), pp. 77–85.
ASEASE-2018-CaoLP #automation #named
L-CMP: an automatic learning-based parameterized verification tool (JC, YL, JP0), pp. 892–895.
ASEASE-2018-ChenH #control flow #smt
Control flow-guided SMT solving for program verification (JC, FH), pp. 351–361.
ESEC-FSEESEC-FSE-2018-0001ZSDR #bytecode #virtual machine
A formal verification tool for Ethereum VM bytecode (DP0, YZ, MS, PD, GR), pp. 912–915.
ESEC-FSEESEC-FSE-2018-LlerenaBBSR #behaviour #modelling #nondeterminism #probability
Verifying the long-run behavior of probabilistic system models in the presence of uncertainty (YRSL, MB, MB, GS, DSR), pp. 587–597.
ESEC-FSEESEC-FSE-2018-YiH #concurrent
Concurrency verification with maximal path causality (QY, JH0), pp. 366–376.
ICSE-2018-BeyerJLW
Reducer-based construction of conditional verifiers (DB0, MCJ, TL0, HW), pp. 1182–1193.
ICSE-2018-LangeNTY #behaviour #framework #message passing #using
A static verification framework for message passing in Go using behavioural types (JL, NN, BT, NY), pp. 1137–1148.
ICSE-2018-YuCWS0
Symbolic verification of regular properties (HY, ZC, JW0, ZS, WD0), pp. 871–881.
GPCEGPCE-2018-Al-SibahiJDW #induction #refinement
Verification of high-level transformations with inductive refinement types (ASAS, TPJ, ASD, AW), pp. 147–160.
ASPLOSASPLOS-2018-TaassoriSB #named #performance
VAULT: Reducing Paging Overheads in SGX with Efficient Integrity Verification Structures (MT, AS, RB), pp. 665–678.
ESOPESOP-2018-0001TW #higher-order #model checking
Higher-Order Program Verification via HFL Model Checking (NK0, TT, KW), pp. 711–738.
ESOPESOP-2018-DoddsBG #compilation #composition #memory management #optimisation
Compositional Verification of Compiler Optimisations on Relaxed Memory (MD, MB, AG), pp. 1027–1055.
ESOPESOP-2018-GueneauCP #complexity #deduction #formal method
A Fistful of Dollars: Formalizing Asymptotic Complexity Claims via Deductive Program Verification (AG, AC, FP), pp. 533–560.
ESOPESOP-2018-MoorePR #induction
Program Verification by Coinduction (BMM, LP, GR), pp. 589–618.
FASEFASE-2018-Marmsoler #design pattern #specification
Hierarchical Specification and Verification of Architectural Design Patterns (DM), pp. 149–168.
CAVCAV-2018-CordeiroKKST #bound #bytecode #java #model checking #named
JBMC: A Bounded Model Checking Tool for Verifying Java Bytecode (LCC, PK, DK, PS, MT), pp. 183–190.
CAVCAV-2018-Eilers0 #named #python
Nagini: A Static Verifier for Python (ME, PM0), pp. 596–603.
CAVCAV-2018-PickFG #relational #symmetry
Exploiting Synchrony and Symmetry in Relational Verification (LP, GF, AG), pp. 164–182.
CAVCAV-2018-ArndtJKMN #exclamation #graph #java #pointer #source code
Let this Graph Be Your Witness! - An Attestor for Verifying Java Pointer Programs (HA, CJ, JPK, CM, TN0), pp. 3–11.
CAVCAV-2018-BouajjaniEJQ #bound #message passing #on the #source code
On the Completeness of Verifying Message Passing Programs Under Bounded Asynchrony (AB, CE, KJ, SQ), pp. 372–391.
CAVCAV-2018-ChudnovCCDHMMMM
Continuous Formal Verification of Amazon s2n (AC, NC, BC, JD, BH, CM, SM, EM, EM, ST, AT, EW), pp. 430–446.
CAVCAV-2018-CousotGR #perspective #program analysis
Program Analysis Is Harder Than Verification: A Computability Perspective (PC, RG, FR), pp. 75–95.
CAVCAV-2018-TullsenPCT
Formal Verification of a Vehicle-to-Vehicle (V2V) Messaging System (MT, LP, NC, AT), pp. 413–429.
CAVCAV-2018-YangVSGM #composition #lazy evaluation #security #self
Lazy Self-composition for Security Verification (WY, YV, PS, AG, SM), pp. 136–156.
CAVCAV-2018-ZhangGSW #named
SCInfer: Refinement-Based Verification of Software Countermeasures Against Side-Channel Attacks (JZ, PG, FS, CW0), pp. 157–177.
ICSTICST-2018-PrauseGG #automation #tool support
Evaluating Automated Software Verification Tools (CP, RG, RG), pp. 343–353.
IJCARIJCAR-2018-ZhanH #complexity #imperative #source code
Verifying Asymptotic Time Complexity of Imperative Programs in Isabelle (BZ, MPLH), pp. 532–548.
TAPTAP-2018-0001DLT #testing #validation
Tests from Witnesses - Execution-Based Validation of Verification Results (DB0, MD, TL0, MT), pp. 3–23.
TAPTAP-2018-BlatterKGPP #c #relational #self
Static and Dynamic Verification of Relational Properties on Self-composed C Code (LB, NK, PLG, VP, GP), pp. 44–62.
TAPTAP-2018-HerdaTB #data flow #dependence #graph #testing #using
Using Dependence Graphs to Assist Verification and Testing of Information-Flow Properties (MH, SST, BB), pp. 83–102.
TAPTAP-2018-Keller #bound #higher-order #testing
Tactic Program-Based Testing and Bounded Verification in Isabelle/HOL (CK), pp. 103–119.
TAPTAP-2018-LeCSW #proving
Verification Coverage for Combining Test and Proof (VHL, LC, JS, VW), pp. 120–138.
TAPTAP-2018-VorobyovKS #c #case study #detection #experience #runtime #security #using
Detection of Security Vulnerabilities in C Code Using Runtime Verification: An Experience Report (KV, NK, JS), pp. 139–156.
VMCAIVMCAI-2018-BaderAT
Gradual Program Verification (JB, JA, ÉT), pp. 25–46.
VMCAIVMCAI-2018-BaumannDMHV #abstraction #automation #source code
Automatic Verification of RMA Programs via Abstraction Extrapolation (CB, AMD, YM, TH, MTV), pp. 47–70.
VMCAIVMCAI-2018-DahiyaB #automation
Automatic Verification of Intermittent Systems (MD, SB), pp. 161–182.
VMCAIVMCAI-2018-Najafzadeh0E #co-evolution #design #file system
Co-Design and Verification of an Available File System (MN, MS0, PE), pp. 358–381.
VMCAIVMCAI-2018-PrabawaALC #composition #data flow #logic
A Logical System for Modular Information Flow Verification (AP, MFAA, BL, WNC), pp. 430–451.
ECSAECSA-2017-CamaraGS #product line #synthesis #trade-off
Synthesis and Quantitative Verification of Tradeoff Spaces for Families of Software Systems (JC, DG, BRS), pp. 3–21.
AFLAFL-2017-MaraisZ #automaton #complexity #difference #self #symmetry
Descriptional Complexity of Non-Unary Self-Verifying Symmetric Difference Automata (LM, LvZ), pp. 157–169.
FSCDFSCD-2017-Gaboardi #higher-order #relational #source code #type system
Type Systems for the Relational Verification of Higher Order Programs (Invited Talk) (MG), p. 1.
IFM-2017-0002MLCT #modelling
Modelling and Verification of Timed Robotic Controllers (PR0, AM, WL, AC, JT), pp. 18–33.
IFM-2017-AronisFS #model checking #testing #using
Testing and Verifying Chain Repair Methods for Corfu Using Stateless Model Checking (SA, SLF, KS), pp. 227–242.
IFM-2017-BeckertBGHLU #automation #named #relational #slicing
SemSlice: Exploiting Relational Verification for Automatic Program Slicing (BB, TB, SG, MH, DL, MU), pp. 312–319.
IFM-2017-BlomDHO #concurrent #parallel #set
The VerCors Tool Set: Verification of Parallel and Concurrent Software (SB, SD, MH, WO), pp. 102–110.
IFM-2017-BruschiPGLP #case study #model checking #protocol #smt
Formal Verification of ARP (Address Resolution Protocol) Through SMT-Based Model Checking - A Case Study - (DB, ADP, SG, AL, EP), pp. 391–406.
IFM-2017-ChenF #first-order #proving
Triggerless Happy - Intermediate Verification with a First-Order Prover (YC, CAF), pp. 295–311.
IFM-2017-KrishnaPS #automation #named #process
VBPMN: Automated Verification of BPMN Processes (Tool Paper) (AK0, PP, GS), pp. 323–331.
IFM-2017-MoranW #evaluation
Verification of STAR-Vote and Evaluation of FDR and ProVerif (MM, DSW), pp. 422–436.
IFM-2017-PfahlerEBSR #composition
Modular Verification of Order-Preserving Write-Back Caches (JP, GE, SB, GS, WR), pp. 375–390.
IFM-2017-RahmanB #health #recommendation
Formal Verification of CNL Health Recommendations (FR, JKFB), pp. 357–371.
SEFMSEFM-2017-FantechiHM #composition #scalability
Compositional Verification of Interlocking Systems for Large Stations (AF, AEH, HDM), pp. 236–252.
SEFMSEFM-2017-GreinerMB #component #composition #data flow #security
Modular Verification of Information Flow Security in Component-Based Systems (SG, MM, BB), pp. 300–315.
SEFMSEFM-2017-LeildeRTD #framework
A Diagnosis Framework for Critical Systems Verification (Short Paper) (VL, VR, CT, PD), pp. 394–400.
SEFMSEFM-2017-LutebergetCJS #framework #representation
Participatory Verification of Railway Infrastructure by Representing Regulations in RailCNL (BL, JJC, CJ, GS), pp. 87–103.
SEFMSEFM-2017-WiikB #automation #data flow #network #specification
Specification and Automated Verification of Dynamic Dataflow Networks (JW, PB), pp. 136–151.
SEFMSEFM-2017-ZhangRHMC #certification #compilation #industrial
Focused Certification of an Industrial Compilation and Static Verification Toolchain (ZZ, R, JH, YM, PC), pp. 17–34.
HaskellHaskell-2017-VazouLP #coq #haskell #proving #string
A tale of two provers: verifying monoidal string matching in liquid Haskell and Coq (NV, LL, JP), pp. 63–74.
ICFP-2017-ChoiVSCA #composition #framework #hardware #named #parametricity #platform #specification
Kami: a platform for high-level parametric hardware specification and its modular verification (JC, MV, BS, AC, A), p. 30.
ICFP-2017-EbnerURAM #framework #metaprogramming
A metaprogramming framework for formal verification (GE, SU0, JR, JA, LdM), p. 29.
ICFP-2017-OwensNKMT #performance
Verifying efficient function calls in CakeML (SO, MN, RK, MOM, YKT), p. 27.
ICFP-2017-WeitzLHTET #library #named #tool support
SpaceSearch: a library for building and verifying solver-aided tools (KW, SL, SH, ET, MDE, ZT), p. 28.
CIKMCIKM-2017-ZhiSLZ0 #database #named #realtime #using #web
ClaimVerif: A Real-time Claim Verification System Using the Web and Fact Databases (SZ, YS, JL, CZ0, JH0), pp. 2555–2558.
ECMFAECMFA-2017-GogollaHNW #diagrams #independence #uml
Formulating Model Verification Tasks Prover-Independently as UML Diagrams (MG, FH, PN, RW), pp. 232–247.
MoDELSMoDELS-2017-AravantinosK #tool support
Tool Support for Live Formal Verification (VA, SK), pp. 145–155.
MoDELSMoDELS-2017-RamadanS0JG #modelling #process #security
From Secure Business Process Modeling to Design-Level Security Verification (QR, MS, DS, JJ, PG), pp. 123–133.
OOPSLAOOPSLA-2017-AlbarghouthiDDN #named #probability
FairSquare: probabilistic verification of program fairness (AA, LD, SD, AVN), p. 30.
OOPSLAOOPSLA-2017-BakstGKJ #canonical #distributed #source code
Verifying distributed programs via canonical sequentialization (AB, KvG, RGK, RJ), p. 27.
OOPSLAOOPSLA-2017-GomesKMB #consistency #distributed
Verifying strong eventual consistency in distributed systems (VBFG, MK, DPM, ARB), p. 28.
OOPSLAOOPSLA-2017-OrchardCDR #array
Verifying spatial properties of array computations (DAO, MC, MD, ACR), p. 30.
OOPSLAOOPSLA-2017-Swasey0D #composition #robust
Robust and compositional verification of object capability patterns (DS, DG0, DD), p. 26.
LOPSTRLOPSTR-2017-AngelisFPP #abstraction #relational
Predicate Pairing with Abstraction for Relational Verification (EDA, FF, AP, MP), pp. 289–305.
PEPMPEPM-2017-SuwaT0I #code generation #higher-order #model checking
Verification of code generators via higher-order model checking (TS, TT, NK0, AI), pp. 59–70.
POPLPOPL-2017-BouajjaniEGH #consistency #on the
On verifying causal consistency (AB, CE, RG, JH), pp. 626–638.
POPLPOPL-2017-HoenickeMP #composition #concurrent #thread
Thread modularity at many levels: a pearl in compositional verification (JH, RM, AP), pp. 473–485.
POPLPOPL-2017-KonnovLVW #algorithm #distributed #fault tolerance #liveness #safety
A short counterexample property for safety and liveness verification of fault-tolerant distributed algorithms (IVK0, ML, HV, JW), pp. 719–734.
POPLPOPL-2017-MadhavanKK #contract #higher-order
Contract-based resource verification for higher-order functions with memoization (RM, SK, VK), pp. 330–343.
POPLPOPL-2017-SrikanthSH #complexity #theorem #using
Complexity verification using guided theorem enumeration (AS, BS, WRH), pp. 639–652.
PPDPPPDP-2017-Huisman #parallel #source code
A verification technique for deterministic parallel programs (MH), p. 3.
SASSAS-2017-Albarghouthi #horn clause #probability
Probabilistic Horn Clause Verification (AA), pp. 1–22.
SASSAS-2017-ChakrabortyGU #array #source code
Verifying Array Manipulating Programs by Tiling (SC, AG, DU), pp. 428–449.
SASSAS-2017-GurfinkelN #c #c++ #memory management #source code
A Context-Sensitive Memory Model for Verification of C/C++ Programs (AG, JAN), pp. 148–168.
SASSAS-2017-MastroeniP #framework #semantics
Hyperhierarchy of Semantics - A Formal Framework for Hyperproperties Verification (IM, MP), pp. 232–252.
ASEASE-2017-CelikPG #named #proving #scalability
iCoq: regression proof selection for large-scale verification projects (, KP, MG), pp. 171–182.
ASEASE-2017-CorradiniFP0TV #framework #modelling #named #process
BProVe: a formal verification framework for business process models (FC, FF, AP, BR0, FT, AV), pp. 217–228.
ASEASE-2017-CorradiniFP0TV17a #named #process #tool support
BProVe: tool support for business process verification (FC, FF, AP, BR0, FT, AV), pp. 937–942.
ASEASE-2017-GhassabaniGWHW #metric
Proof-based coverage metrics for formal verification (EG, AG, MWW, MPEH, LGW), pp. 194–199.
ASEASE-2017-MetzlerSBS #concurrent #scheduling #source code
Quick verification of concurrent programs by iteratively relaxed scheduling (PM, HS, PB, NS), pp. 776–781.
ASEASE-2017-SungKW #composition
Modular verification of interrupt-driven software (CS, MK, CW0), pp. 206–216.
ESEC-FSEESEC-FSE-2017-0001RS #compilation
A compiler and verifier for page access oblivious computation (RS0, SKR, SAS), pp. 649–660.
ESEC-FSEESEC-FSE-2017-Easterbrook #how #modelling
Verifying the forecast: how climate models are developed and tested (invited talk) (SE), p. 2.
ESEC-FSEESEC-FSE-2017-TsigkanosKG #cyber-physical #evolution #modelling
Modeling and verification of evolving cyber-physical spaces (CT, TK, CG), pp. 38–48.
ESEC-FSEESEC-FSE-2017-Yu
Practical symbolic verification of regular properties (HY), pp. 1053–1055.
ICSE-2017-BocicB #web
Symbolic model extraction for web application verification (IB, TB), pp. 724–734.
ASPLOSASPLOS-2017-FerraiuoloXZMS #analysis #architecture #data flow #hardware #security
Verification of a Practical Hardware Security Architecture Through Static Information Flow Analysis (AF, RX, DZ, ACM, GES), pp. 555–568.
ASPLOSASPLOS-2017-TrippelMLPM #hardware #memory management #named
TriCheck: Memory Model Verification at the Trisection of Software, Hardware, and ISA (CT, YAM, DL, MP, MM), pp. 119–133.
ASPLOSASPLOS-2017-ZhangSGCS #identification #security
Identifying Security Critical Properties for the Dynamic Verification of a Processor (RZ, NS, CG, AC, CS), pp. 541–554.
CASECASE-2017-ZitaMF
Application of formal verification to the lane change module of an autonomous vehicle (AZ, SM, MF), pp. 932–937.
ESOPESOP-2017-BouajjaniEEOT #concurrent #robust #source code
Verifying Robustness of Event-Driven Asynchronous Programs Against Concurrency (AB, ME, CE, BKO, ST), pp. 170–200.
ESOPESOP-2017-Dinsdale-YoungP #automation #concurrent #fine-grained
Caper - Automatic Verification for Fine-Grained Concurrency (TDY, PdRP, KJA, LB), pp. 420–447.
ESOPESOP-2017-Sato0 #composition #functional #higher-order #source code
Modular Verification of Higher-Order Functional Programs (RS, NK0), pp. 831–854.
ESOPESOP-2017-WoodDLE #composition #equivalence #memory management
Modular Verification of Procedure Equivalence in the Presence of Memory Allocation (TW0, SD, SKL, SE), pp. 937–963.
FASEFASE-2017-0002MRSP #component #contract #hybrid
Change and Delay Contracts for Hybrid System Component Verification (AM0, SM, WR, WS, AP), pp. 134–151.
CADECADE-2017-Cruz-FilipeHHKS #performance
Efficient Certified RAT Verification (LCF, MJHH, WAHJ, MK, PSK), pp. 220–236.
CADECADE-2017-PassmoreI #algorithm
Formal Verification of Financial Algorithms (GOP, DI), pp. 26–41.
CADECADE-2017-SantosGMN #javascript #source code #towards
Towards Logic-Based Verification of JavaScript Programs (JFS, PG, PM, DN), pp. 8–25.
CADECADE-2017-TellezB #automation #pointer #proving #source code
Automatically Verifying Temporal Properties of Pointer Programs with Cyclic Proof (GT, JB), pp. 491–508.
CAVCAV-2017-BasinKZ #data type #runtime
Runtime Verification of Temporal Properties over Out-of-Order Data Streams (DAB, FK, EZ), pp. 356–376.
CAVCAV-2017-FanQM0 #composition #data-driven #named #reasoning
DryVR: Data-Driven Verification and Compositional Reasoning for Automotive Systems (CF, BQ, SM, MV0), pp. 441–461.
CAVCAV-2017-HuangKWW #network #safety
Safety Verification of Deep Neural Networks (XH0, MK, SW, MW), pp. 3–29.
CAVCAV-2017-KatzBDJK #named #network #performance #smt
Reluplex: An Efficient SMT Solver for Verifying Deep Neural Networks (GK, CWB, DLD, KJ, MJK), pp. 97–117.
CAVCAV-2017-Vafeiadis #consistency #logic #memory management #using
Program Verification Under Weak Memory Consistency Using Separation Logic (VV), pp. 30–46.
CAVCAV-2017-WindsorDSP #concurrent #lightweight #named
Starling: Lightweight Concurrency Verification with Views (MW, MD, BS, MJP), pp. 544–569.
CAVCAV-2017-BeameL #integer #towards
Towards Verifying Nonlinear Integer Arithmetic (PB, VL), pp. 238–258.
CAVCAV-2017-GrossmanCIRS #equivalence #source code
Verifying Equivalence of Spark Programs (SG, SC, SI, NR, MS), pp. 282–300.
ICSTICST-2017-ChengT #deduction #incremental #model transformation #relational
Incremental Deductive Verification for Relational Model Transformations (ZC, MT), pp. 379–389.
ICSTICST-2017-DiasFFLSSV #concurrent #contract #source code #using
Verifying Concurrent Programs Using Contracts (RJD, CF0, JF, JML, AS, DGS, TV), pp. 196–206.
ICSTICST-2017-SunRJB #android #named #runtime #using #weaving
ADRENALIN-RV: Android Runtime Verification Using Load-Time Weaving (HS, AR, OJ, WB), pp. 532–539.
ICSTICST-2017-TangCZGXHBM #android #automation #detection #named
NIVAnalyzer: A Tool for Automatically Detecting and Verifying Next-Intent Vulnerabilities in Android Apps (JT, XC, ZZ0, SG, XSX, CH, TB, BM), pp. 492–499.
ICTSSICTSS-2017-GerettiBCGV #automation
Ongoing Work on Automated Verification of Noisy Nonlinear Systems with Ariadne (LG, DB, PC, SZG, TV), pp. 313–319.
ICTSSICTSS-2017-HusterSRKR #robust #testing #using
Using Robustness Testing to Handle Incomplete Verification Results When Combining Verification and Testing Techniques (SH, JS, JR, TK, WR), pp. 54–70.
VMCAIVMCAI-2017-BrideKP #reduction #workflow
Reduction of Workflow Nets for Generalised Soundness Verification (HB, OK, FP), pp. 91–111.
ECSAECSA-2016-Mesli-KesraouiK #architecture #diagrams
Formal Verification of Software-Intensive Systems Architectures Described with Piping and Instrumentation Diagrams (SMK, DK, FO, AB, AT, PB), pp. 210–226.
CSEETCSEET-2016-OkuboNTU #design #development #independence #process #validation
Applying an Instructional Design Process to Development of an Independent Verification and Validation Training Program (NO, KN, ST, YU), pp. 237–240.
ICSMEICSME-2016-LeLLG #automation #deduction #program repair
Enhancing Automated Program Repair with Deductive Verification (XBDL, QLL, DL0, CLG), pp. 428–432.
SCAMSCAM-2016-BlanchardKLL #c #composition #named #parallel #plugin #source code
Conc2Seq: A Frama-C Plugin for Verification of Parallel Compositions of C Programs (AB, NK, ML, FL), pp. 67–72.
FMFM-2016-AbdullaAD
Counter-Example Guided Program Verification (PAA, MFA, BPD), pp. 25–42.
FMFM-2016-ChandLS #distributed #multi
Formal Verification of Multi-Paxos for Distributed Consensus (SC, YAL, SDS), pp. 119–136.
FMFM-2016-ChenFLMZ #difference
Validated Simulation-Based Verification of Delayed Differential Dynamics (MC, MF, YL, PNM, NZ), pp. 137–154.
FMFM-2016-ChenP0 #cyber-physical #invariant #learning #towards
Towards Learning and Verifying Invariants of Cyber-Physical Systems by Code Mutation (YC0, CMP, JS0), pp. 155–163.
FMFM-2016-GrovLT
Mechanised Verification Patterns for Dafny (GG, YL, VT), pp. 326–343.
FMFM-2016-LetanCHNM #named #security #specification
SpecCert: Specifying and Verifying Hardware-Based Security Enforcement (TL, PC, GH, PN, BM), pp. 496–512.
FMFM-2016-LiSD #automation #protocol #security
Automated Verification of Timed Security Protocols with Clock Drift (LL0, JS0, JSD), pp. 513–530.
FMFM-2016-LiuJZGS #industrial #multi
Taming Interrupts for Verifying Industrial Multifunction Vehicle Bus Controllers (HL0, YJ0, HZ, MG0, JS), pp. 764–771.
FMFM-2016-LutebergetJFS #design #incremental #rule-based #tool support
Rule-Based Incremental Verification Tools Applied to Railway Designs and Regulations (BL, CJ, CF, MS), pp. 772–778.
FMFM-2016-StrichmanV #recursion
Regression Verification for Unbalanced Recursive Functions (OS, MV), pp. 645–658.
FSCDFSCD-2016-Ahmed #compilation #composition #multi
Compositional Compiler Verification for a Multi-Language World (AA), p. 1.
IFM-2016-AmeriF #why
Why Just Boogie? - Translating Between Intermediate Verification Languages (MA, CAF), pp. 79–95.
IFM-2016-ChenAGSM #behaviour #classification #on the #robust
On Robust Malware Classifiers by Verifying Unwanted Behaviours (WC, DA0, ADG, CAS, IM), pp. 326–341.
IFM-2016-DarvasMV #safety
Formal Verification of Safety PLC Based Control Software (DD, IM, EBV), pp. 508–522.
IFM-2016-FreitasBCW #modelling #runtime
Modelling and Verifying a Priority Scheduler for an SCJ Runtime Environment (LF, JB, AC, AJW), pp. 63–78.
IFM-2016-KumarBLDUB #using
CloudSDV Enabling Static Driver Verifier Using Microsoft Azure (RK, TB, JL, ND, AU, CB), pp. 523–536.
IFM-2016-MullerMRSP #approach #component #hybrid #safety
A Component-Based Approach to Hybrid Systems Safety Verification (AM0, SM, WR, WS, AP), pp. 441–456.
IFM-2016-Preoteasa #invariant #logic #pointer #programming #source code #using
Verifying Pointer Programs Using Separation Logic and Invariant Based Programming in Isabelle (VP), pp. 457–473.
SEFMSEFM-2016-JahnigGG #communication
Refinement-Based Verification of Communicating Unstructured Code (NJ, TG, SG), pp. 61–75.
SEFMSEFM-2016-ZhangQ #coq #framework #implementation #object-oriented
Coq Implementation of OO Verification Framework VeriJ (KZ, ZQ), pp. 270–276.
ICFP-2016-OConnorCRALMNSK #cost analysis #refinement
Refinement through restraint: bringing down the cost of verification (LO, ZC, CR, SA, JL, TCM, YN, TS, GK), pp. 89–102.
CIKMCIKM-2016-LiuLNFTAKVPWMDV #detection #realtime #scalability #twitter
Reuters Tracer: A Large Scale System of Detecting & Verifying Real-Time News Events from Twitter (XL, QL, AN, RF, MT, KA, RK, MV, SP, RW, RM, JD, AV, WK, SS), pp. 207–216.
ICPRICPR-2016-0001PL #correlation #independence
Compact correlated features for writer independent signature verification (AD0, UP0, JL0), pp. 3422–3427.
ICPRICPR-2016-AginakoMSSL #mobile
Local descriptors fusion for mobile iris verification (NA, JMMO, BS, MCS, JLN), pp. 165–169.
ICPRICPR-2016-AhujaIBD #case study
A preliminary study of CNNs for iris and periocular verification in the visible spectrum (KA, RI, FAB, KD), pp. 181–186.
ICPRICPR-2016-HafemannSO #using
Analyzing features learned for Offline Signature Verification using Deep CNNs (LGH, RS, LSO), pp. 2989–2994.
ICPRICPR-2016-HsuCH #representation #using
Object verification in two views using Sparse representation (SCH, ICC, CLH), pp. 504–509.
ICPRICPR-2016-JhuangLT #3d #network #using
Face verification with three-dimensional point cloud by using deep belief networks (DHJ, DTL, CHT), pp. 1430–1435.
ICPRICPR-2016-LuCC #adaptation #metric
Regularized metric adaptation for unconstrained face verification (BL, JCC, RC), pp. 4112–4117.
ICPRICPR-2016-MatsuzakiUSS #2d #3d #constraints #geometry #retrieval #using
Geometric verification using semi-2D constraints for 3D object retrieval (KM, YU, SS, SS), pp. 2338–2343.
ICPRICPR-2016-XuZAC
Template regularized sparse coding for face verification (HX, JZ, AA, RC), pp. 1448–1454.
ICPRICPR-2016-ZhengCBPC
VLAD encoded Deep Convolutional features for unconstrained face verification (JZ, JCC, NB, VMP, RC), pp. 4101–4106.
MoDELSMoDELS-2016-BarnesCVP #communication #industrial #protocol #simulation #towards
Towards the verification of industrial communication protocols through a simulation environment based on QEMU and systemC (CB, JMC, FV, AP), pp. 207–214.
OOPSLAOOPSLA-2016-StefanescuPYLR #semantics
Semantics-based program verifiers for all languages (AS, DP0, SY, YL, GR), pp. 74–91.
OOPSLAOOPSLA-2016-WeitzWTEKT #protocol #scalability #smt
Scalable verification of border gateway protocol configurations with an SMT solver (KW, DW, ET, MDE, AK, ZT), pp. 765–780.
LOPSTRLOPSTR-2016-AngelisFMPP #horn clause #process #using
Verification of Time-Aware Business Processes Using Constrained Horn Clauses (EDA, FF, MCM, AP, MP), pp. 38–55.
PLDIPLDI-2016-0001CLLRSV #design
A design and verification methodology for secure isolated regions (RS0, MC, AL, NPL, SKR, SAS, KV), pp. 665–681.
PLDIPLDI-2016-ChenWSLG #composition #kernel #towards
Toward compositional verification of interruptible OS kernels and device drivers (HC0, X(W, ZS, JL, RG), pp. 431–447.
PLDIPLDI-2016-CostanzoSG #assembly #c #data flow #security #source code
End-to-end verification of information-flow security for C and assembly programs (DC, ZS, RG), pp. 648–664.
PLDIPLDI-2016-GleissenthallBR #quantifier
Cardinalities and universal quantifiers for verifying parameterized systems (KvG, NB, AR), pp. 599–613.
PLDIPLDI-2016-LeeSA #float
Verifying bit-manipulations of floating-point (WL0, RS0, AA), pp. 70–84.
PLDIPLDI-2016-PadonMPSS #interactive #named #safety
Ivy: safety verification by interactive generalization (OP, KLM, AP, MS, SS), pp. 614–630.
PLDIPLDI-2016-ShambaughWG #named
Rehearsal: a configuration verification tool for puppet (RS, AW, AG), pp. 416–430.
PLDIPLDI-2016-SousaD #hoare #logic
Cartesian hoare logic for verifying k-safety properties (MS, ID), pp. 57–69.
POPLPOPL-2016-BaoKPRS #named #source code
PolyCheck: dynamic verification of iteration space transformations on affine programs (WB, SK, LNP, FR, PS), pp. 539–554.
POPLPOPL-2016-KangKHDV #compilation #lightweight
Lightweight verification of separate compilation (JK, YK, CKH, DD, VV), pp. 178–190.
POPLPOPL-2016-MuraseT0SU #functional #higher-order #source code
Temporal verification of higher-order functional programs (AM, TT, NK0, RS, HU0), pp. 57–68.
POPLPOPL-2016-PlotkinBLRV #network #scalability #symmetry #using
Scaling network verification using symmetry and surgery (GDP, NB, NPL, AR, GV), pp. 69–83.
SASSAS-2016-AbdullaJT #automation #policy
Automated Verification of Linearization Policies (PAA, BJ, CQT), pp. 61–83.
SASSAS-2016-AngelisFPP #horn clause #relational
Relational Verification Through Horn Clause Transformation (EDA, FF, AP, MP), pp. 147–169.
SASSAS-2016-MenendezNG #automation #float #named #optimisation
Alive-FP: Automated Verification of Floating Point Based Peephole Optimizations in LLVM (DM, SN, AG), pp. 317–337.
ASEASE-2016-HentschelHB #empirical #evaluation #interactive #user interface
An empirical evaluation of two user interfaces of an interactive program verifier (MH, RH, RB), pp. 403–413.
ASEASE-2016-HentschelHB16a #comprehension #debugging #effectiveness #interactive #proving
The interactive verification debugger: effective understanding of interactive proof attempts (MH, RH, RB), pp. 846–851.
ASEASE-2016-PavlinovicLS
Inferring annotations for device drivers from verification histories (ZP, AL, RS), pp. 450–460.
ASEASE-2016-YangJGS #approach #automaton
Verifying simulink stateflow model: timed automata approach (YY, YJ, MG, JGS), pp. 852–857.
FSEFSE-2016-BeyerDDH #correctness
Correctness witnesses: exchanging verification results between verifiers (DB, MD, DD, MH), pp. 326–337.
FSEFSE-2016-GurfinkelSM #smt
SMT-based verification of parameterized systems (AG, SS, YM), pp. 338–348.
FSEFSE-2016-JacksonV
Correct or usable? the limits of traditional verification (impact paper award) (DJ0, MV), p. 11.
ICSE-2016-ChenHLLTWW #synthesis
PAC learning-based verification and model synthesis (YFC, CH, OL, TJL, MHT, BYW, FW), pp. 714–724.
ASPLOSASPLOS-2016-AmaniHCRCOBNLST #file system #implementation #named
CoGENT: Verifying High-Assurance File System Implementations (SA, AH, ZC, CR, PC, LO, JB, YN, JL, TS, JT, GK, TCM, GK, GH), pp. 175–188.
ASPLOSASPLOS-2016-LustigSMB #interface #memory management #named
COATCheck: Verifying Memory Ordering at the Hardware-OS Interface (DL, GS, MM, AB), pp. 233–247.
CASECASE-2016-HamanaAX #approach #information management #petri net
A timed Petri net approach for verification of Territorial Healthcare Information Systems (SH, VA, XX), pp. 658–663.
ESOPESOP-2016-LourencoFP #adaptation #approach #formal method
Formalizing Single-Assignment Program Verification: An Adaptation-Complete Approach (CBL, MJF, JSP), pp. 41–67.
ESOPESOP-2016-PintoDGS #composition #concurrent #termination
Modular Termination Verification for Non-blocking Concurrency (PdRP, TDY, PG, JS), pp. 176–201.
ESOPESOP-2016-Summers0 #composition #message passing #source code
Actor Services - Modular Verification of Message Passing Programs (AJS, PM0), pp. 699–726.
FASEFASE-2016-HenrioKLM #component #distributed #ide
Integrated Environment for Verifying and Running Distributed Components (LH, OK, SL, EM), pp. 66–83.
FASEFASE-2016-HuY #api #generative #hybrid
Hybrid Session Verification Through Endpoint API Generation (RH, NY), pp. 401–418.
FASEFASE-2016-LechenetKG #branch #debugging #slicing
Cut Branches Before Looking for Bugs: Sound Verification on Relaxed Slices (JCL, NK, PLG), pp. 179–196.
FASEFASE-2016-PutterW #automaton #correctness #lts
Verifying a Verifier: On the Formal Correctness of an LTS Transformation Verification Technique (SdP, AW), pp. 383–400.
CAVCAV-2016-Duggirala0 #linear #simulation
Parsimonious, Simulation Based Verification of Linear Systems (PSD, MV0), pp. 477–494.
CAVCAV-2016-HeMW
Learning-Based Assume-Guarantee Regression Verification (FH0, SM, BYW), pp. 310–328.
CAVCAV-2016-KafleGM #abstract interpretation #automaton #finite #horn clause #named #using
Rahft: A Tool for Verifying Horn Clauses Using Abstract Interpretation and Finite Tree Automata (BK, JPG, JFM), pp. 261–268.
CAVCAV-2016-KahsaiRSS #framework #java #named #source code
JayHorn: A Framework for Verifying Java programs (TK, PR, HS, MS), pp. 352–358.
CAVCAV-2016-LeinoP
Trigger Selection Strategies to Stabilize Program Verifiers (KRML, CPC), pp. 361–381.
CAVCAV-2016-MuellerSS #automation #execution #symbolic computation #using
Automatic Verification of Iterated Separating Conjunctions Using Symbolic Execution (PM, MS, AJS), pp. 405–425.
CAVCAV-2016-NgoLJ #modelling #named #probability #runtime
PSCV: A Runtime Verification Tool for Probabilistic SystemC Models (VCN, AL, VJ), pp. 84–91.
CAVCAV-2016-ReidCDGHKPSVZ
End-to-End Verification of Processors with ISA-Formal (AR, RC, AD, DG, DH, WK, AP, OS, PV, AZ), pp. 42–58.
CAVCAV-2016-XuFFZZL #framework #kernel
A Practical Verification Framework for Preemptive OS Kernels (FX, MF, XF, XZ, HZ, ZL), pp. 59–79.
ICSTICST-2016-Gustavsson
Verification Methodology for Fully Autonomous Heavy Vehicles (JG), pp. 381–382.
IJCARIJCAR-2016-AthanasiouLW #bound #equation #thread #using
Unbounded-Thread Program Verification using Thread-State Equations (KA, PL, TW), pp. 516–531.
TAPTAP-2016-GabmeyerS #graph transformation #hardware #lightweight #model checking #off the shelf
Lightweight Symbolic Verification of Graph Transformation Systems with Off-the-Shelf Hardware Model Checkers (SG, MS), pp. 94–111.
TAPTAP-2016-Liu #specification #testing #theorem
Testing-Based Formal Verification for Theorems and Its Application in Software Specification Verification (SL), pp. 112–129.
TAPTAP-2016-ReichlFT #formal method #using #validation
Using Formal Methods for Verification and Validation in Railway (KR, TF, PT), pp. 3–13.
VMCAIVMCAI-2016-0001SS #framework #named #reasoning
Viper: A Verification Infrastructure for Permission-Based Reasoning (PM0, MS, AJS), pp. 41–62.
VMCAIVMCAI-2016-Holzmann #concurrent
Cloud-Based Verification of Concurrent Software (GJH), pp. 311–327.
ICSMEICSME-2015-MedicherlaKN #specification #using
Program specialization and verification using file format specifications (RKM, RK, SN), pp. 191–200.
LATALATA-2015-FarzanHHKP #automation
Automated Program Verification (AF, MH, JH, ZK, AP), pp. 25–46.
FMFM-2015-AhrendtCPS #runtime #specification
A Specification Language for Static and Runtime Verification of Data and Control Properties (WA, JMC, GJP, GS), pp. 108–125.
FMFM-2015-AlTurkiA #distributed #framework #towards #using #𝕂
Towards Formal Verification of Orchestration Computations Using the 𝕂 Framework (MAA, OA), pp. 40–56.
FMFM-2015-BagheriKMJ #android #bound #design #detection #protocol
Detection of Design Flaws in the Android Permission Protocol Through Bounded Verification (HB, EK, SM, DJ), pp. 73–89.
FMFM-2015-BratBDGHK #safety
Verifying the Safety of a Flight-Critical System (GB, DHB, MD, DG, FH, TK), pp. 308–324.
FMFM-2015-Damm #analysis #automation #lessons learnt #named
AVACS: Automatic Verification and Analysis of Complex Systems Highlights and Lessons Learned (WD), pp. 18–19.
FMFM-2015-DerrickDSTW #transaction
Verifying Opacity of a Transactional Mutex Lock (JD, BD, GS, OT, HW), pp. 161–177.
FMFM-2015-FernandezAKK #automation
Automated Verification of RPC Stub Code (MF, JA, GK, IK), pp. 273–290.
FMFM-2015-Lecomte #modelling
Formal Virtual Modelling and Data Verification for Supervision Systems (TL), pp. 597–600.
FMFM-2015-LiSLD #protocol #security
Verifying Parameterized Timed Security Protocols (LL, JS, YL, JSD), pp. 342–359.
FMFM-2015-MirandaMR #automation #design #generative #testing #using
Using Simulink Design Verifier for Automatic Generation of Requirements-Based Tests (BM, HM, RR), pp. 601–604.
FMFM-2015-SogokonJ #hybrid #liveness
Direct Formal Verification of Liveness Properties in Continuous and Hybrid Dynamical Systems (AS, PBJ), pp. 514–531.
SEFMSEFM-2015-AmighiDBH #source code #specification
Specification and Verification of Atomic Operations in GPGPU Programs (AA, SD, SB, MH), pp. 69–83.
SEFMSEFM-2015-BlomHZ #behaviour #concurrent #functional #source code
History-Based Verification of Functional Behaviour of Concurrent Programs (SB, MH, MZS), pp. 84–98.
SEFMSEFM-2015-ClarisoGC #bound #ocl #refinement #towards #uml
Towards Domain Refinement for UML/OCL Bounded Verification (RC, CAG, JC), pp. 108–114.
SEFMSEFM-2015-ColomboDF #runtime
Investigating Instrumentation Techniques for ESB Runtime Verification (CC, GD, AF), pp. 99–107.
SEFMSEFM-2015-Muhlberg0DLP #learning #source code
Learning Assertions to Verify Linked-List Programs (JTM, DHW, MD, GL, FP), pp. 37–52.
SEFMSEFM-2015-Vanspauwen0 #encryption #implementation #library #protocol #specification
Verifying Protocol Implementations by Augmenting Existing Cryptographic Libraries with Specifications (GV, BJ), pp. 53–68.
GaMGaM-2015-HeussnerPCM #concurrent #graph #object-oriented #towards
Towards Practical Graph-Based Verification for an Object-Oriented Concurrency Model (AH, CMP, CC, BM), pp. 32–47.
ICGTICGT-2015-KwantesGKR #modelling #process #towards
Towards Compliance Verification Between Global and Local Process Models (PMK, PVG, JK, AR), pp. 221–236.
ICGTICGT-2015-Stuckrath #analysis #graph transformation #named #using
Uncover: Using Coverability Analysis for Verifying Graph Transformation Systems (JS), pp. 266–274.
HCIDUXU-UI-2015-HwangLJ #difference #gender #smarttech #user interface #women
Verification of Stereotype on Women Observing Gender Difference on UX of Wearable Device (HJH, JML, DYJ), pp. 214–223.
CAiSECAiSE-2015-DelmasP #policy #requirements
Need-to-Share and Non-diffusion Requirements Verification in Exchange Policies (RD, TP), pp. 151–165.
CAiSECAiSE-2015-EstanolST #modelling #process #uml #validation
Verification and Validation of UML Artifact-Centric Business Process Models (ME, MRS, ET), pp. 434–449.
ICEISICEIS-v3-2015-PassosJ #process #workflow
Relaxed Soundness Verification for Interorganizational Workflow Processes (LMSP, SJ), pp. 221–228.
SEKESEKE-2015-LiuH #petri net #pipes and filters
PIPE+Verifier — A Tool for Analyzing High Level Petri Nets (SL, XH), pp. 575–580.
SEKESEKE-2015-XiangQB #flexibility #java #runtime
Flexible and Extensible Runtime Verification for Java (CX, ZQ, WB), pp. 595–600.
ECMFAECMFA-J-2012-BaresiBKMMPRR15 #approach #embedded #uml #validation
Formal verification and validation of embedded systems: the UML-based MADES approach (LB, GB, DSK, NDM, AM, RFP, AR, MR), pp. 343–363.
AMTAMT-2015-DyckGLSG #automation #behaviour #model transformation #towards
Towards the Automatic Verification of Behavior Preservation at the Transformation Level for Operational Model Transformations (JD, HG, LL, SS, SG), pp. 36–45.
AMTAMT-2015-SelimCDLO #case study #debugging #experience #model transformation
Finding and Fixing Bugs in Model Transformations with Formal Verification: An Experience Report (GMKS, JRC, JD, LL, BJO), pp. 26–35.
ICMTICMT-2015-HilkenNGW #concept #modelling #ocl #uml #validation
From UML/OCL to Base Models: Transformation Concepts for Generic Validation and Verification (FH, PN, MG, RW), pp. 149–165.
MoDELSMoDELS-2015-OakesTLW #atl #contract #declarative
Fully verifying transformation contracts for declarative ATL (BJO, JT, LL, MW), pp. 256–265.
ECOOPECOOP-2015-BostromM #composition #finite #source code
Modular Verification of Finite Blocking in Non-terminating Programs (PB, PM), pp. 639–663.
ECOOPECOOP-2015-JacobsBK #composition #termination
Modular Termination Verification (BJ, DB, RK), pp. 664–688.
ECOOPECOOP-2015-SchwerhoffS #automation #lightweight
Lightweight Support for Magic Wands in an Automatic Verifier (MS, AJS), pp. 614–638.
ECOOPECOOP-2015-Summers #stack
Software Verification “Across the Stack” (AJS), p. 3.
ECOOPECOOP-2015-VekrisCJ #trust #type system
Trust, but Verify: Two-Phase Typing for Dynamic Languages (PV, BC, RJ), pp. 52–75.
OOPSLAOOPSLA-2015-BastaniAA #android #data flow
Interactively verifying absence of explicit information flows in Android apps (OB, SA, AA), pp. 299–315.
OOPSLAOOPSLA-2015-LopezMMNSVY #message passing #parallel #source code
Protocol-based verification of message-passing parallel programs (HAL, ERBM, FM, NN, CS, VTV, NY), pp. 280–298.
PLATEAUPLATEAU-2015-Pearce #usability
Some usability hypotheses for verification (DJP), pp. 57–60.
LOPSTRLOPSTR-2015-MontenegroPS #generative #representation
A Generic Intermediate Representation for Verification Condition Generation (MM, RP, JSH), pp. 227–243.
PEPMPEPM-2015-AsadaS0 #first-order #functional #refinement #relational #source code
Verifying Relational Properties of Functional Programs by First-Order Refinement (KA, RS, NK), pp. 61–72.
PEPMPEPM-2015-KafleG #constraints #horn clause
Constraint Specialisation in Horn Clause Verification (BK, JPG), pp. 85–90.
PEPMPEPM-2015-LeCT #concurrent #thread
Threads as Resource for Concurrency Verification (DKL, WNC, YMT), pp. 73–84.
PLDIPLDI-2015-Appel #encryption
Verification of a cryptographic primitive: SHA-256 (AWA), p. 153.
PLDIPLDI-2015-SergeyNB #concurrent #fine-grained #source code
Mechanized verification of fine-grained concurrent programs (IS, AN, AB), pp. 77–87.
PLDIPLDI-2015-SharmaBA #gpu #source code
Verification of producer-consumer synchronization in GPU programs (RS, MB, AA), pp. 88–98.
PLDIPLDI-2015-TassarottiDV #logic #memory management
Verifying read-copy-update in a logic for weak memory (JT, DD, VV), pp. 110–120.
PLDIPLDI-2015-WilcoxWPTWEA #distributed #framework #implementation #named
Verdi: a framework for implementing and formally verifying distributed systems (JRW, DW, PP, ZT, XW, MDE, TEA), pp. 357–368.
POPLPOPL-2015-Agten0P #c #composition
Sound Modular Verification of C Code Executing in an Unverified Context (PA, BJ, FP), pp. 581–594.
POPLPOPL-2015-Chlipala15a #case study #composition #interface #network #parallel #thread #web
From Network Interface to Multithreaded Web Applications: A Case Study in Modular Program Verification (AC), pp. 609–622.
PPDPPPDP-2015-Al-HumaimeedyF #multi #specification
Enhancing the specification and verification techniques of multiparty sessions in SOC (ASAH, MF), pp. 19–30.
PPDPPPDP-2015-AngelisFPP #generative #semantics
Semantics-based generation of verification conditions by program specialization (EDA, FF, AP, MP), pp. 91–102.
PPDPPPDP-2015-ChenLJZL #automation #declarative #network #safety #source code
Automated verification of safety properties of declarative networking programs (CC, LKL, LJ, WZ, BTL), pp. 79–90.
PPDPPPDP-2015-Cousot #abstract interpretation #induction
Verification by abstract interpretation, soundness and abstract induction (PC), pp. 1–4.
SASSAS-2015-Brain0KS #invariant #safety
Safety Verification and Refutation by k-Invariants and k-Induction (MB, SJ, DK, PS), pp. 145–161.
SASSAS-2015-Terauchi #effectiveness #heuristic #refinement
Explaining the Effectiveness of Small Refinement Heuristics in Program Verification with CEGAR (TT), pp. 128–144.
ASEASE-2015-BocicB #logic #performance
Efficient Data Model Verification with Many-Sorted Logic (T) (IB, TB), pp. 42–52.
ASEASE-2015-GroceAJM #how
How Verified is My Code? Falsification-Driven Verification (T) (AG, IA, CJ, PEM), pp. 737–748.
ASEASE-2015-LinSNLD #composition
Interpolation Guided Compositional Verification (T) (SWL, JS, TKN, YL, JSD), pp. 65–74.
ASEASE-2015-TomanPT #bound #named #rust
Crust: A Bounded Verifier for Rust (N) (JT, SP, ET), pp. 75–80.
ASEASE-2015-ZhengRLDS #named #parallel #source code
CIVL: Formal Verification of Parallel Programs (MZ, MSR, ZL, MBD, SFS), pp. 830–835.
ESEC-FSEESEC-FSE-2015-0001DDHS #validation
Witness validation and stepwise testification across software verifiers (DB, MD, DD, MH, AS), pp. 721–733.
ICSEICSE-v1-2015-BaresiKR #ltl #performance #scalability #specification
Efficient Scalable Verification of LTL Specifications (LB, MMPK, MR), pp. 711–721.
ICSEICSE-v1-2015-BocicB #performance
Coexecutability for Efficient Verification of Data Model Updates (IB, TB), pp. 744–754.
ICSEICSE-v1-2015-MatichukMAJKS #empirical #formal method #towards
Empirical Study Towards a Leading Indicator for Cost of Formal Software Verification (DM, TCM, JA, DRJ, GK, MS), pp. 722–732.
ICSEICSE-v2-2015-Kallehbasti #modelling #scalability #uml
Scalable Formal Verification of UML Models (MMPK), pp. 847–850.
ICSEICSE-v2-2015-Merwe #android
Verification of Android Applications (HvdM), pp. 931–934.
SACSAC-2015-DiazCMR #architecture #model checking #web #web service
Model-checking verification of publish-subscribe architectures in web service contexts (GD, MEC, HM, VVR), pp. 1688–1695.
SACSAC-2015-KhelladiBBLG #consistency #framework #process
A framework to formally verify conformance of a software process to a software method (DEK, RB, SB, YL, MPG), pp. 1518–1525.
SACSAC-2015-LeTN #requirements #using
Verifying eventuality properties of imprecise system requirements using event-B (HAL, NTT, SN), pp. 1651–1653.
ASPLOSASPLOS-2015-FletcherRKDD #ram #recursion
Freecursive ORAM: [Nearly] Free Recursion and Integrity Verification for Position-based Oblivious RAM (CWF, LR, AK, MvD, SD), pp. 103–116.
CASECASE-2015-AicherRV #abstraction #automation #simulation #towards
Towards finding the appropriate level of abstraction to model and verify automated production systems in discrete event simulation (TA, SR, BVH), pp. 1048–1053.
CASECASE-2015-JiangDZZ #formal method #mobile #modelling
Formal modeling and verification of secure mobile agent systems (MJ, ZD, MZ, YZ), pp. 545–550.
CASECASE-2015-Malik #composition
Advanced selfloop removal in compositional nonblocking verification of discrete event systems (RM), pp. 819–824.
CCCC-2015-DemangePS #coq #optimisation #performance
Verifying Fast and Sparse SSA-Based Optimizations in Coq (DD, DP, LS), pp. 233–252.
DACDAC-2015-AsadJ #programming #using
Verifying inevitability of phase-locking in a charge pump phase lock loop using sum of squares programming (HuA, KDJ), p. 6.
DACDAC-2015-CiesielskiYBLR
Verification of gate-level arithmetic circuits by function extraction (MJC, CY, WB, DL, AR), p. 6.
DACDAC-2015-EspinosaHAAR #analysis #correlation #robust #set
Analysis and RTL correlation of instruction set simulators for automotive microcontroller robustness verification (JE, CH, JA, DdA, JCR), p. 6.
DACDAC-2015-Foster #functional #industrial #roadmap
Trends in functional verification: a 2014 industry study (HDF), p. 6.
DACDAC-2015-GuoDJFM #formal method #perspective #security #validation
Pre-silicon security verification and validation: a formal perspective (XG, RGD, YJ, FF, PM), p. 6.
DACDAC-2015-HerdtLD #simulation #using
Verifying SystemC using stateful symbolic simulation (VH, HML, RD), p. 6.
DACDAC-2015-KleebergerRC #design
Design & verification of automotive SoC firmware (VBK, SR, RC), p. 6.
DACDAC-2015-TodmanSL #configuration management #design #monitoring #runtime
In-circuit temporal monitors for runtime verification of reconfigurable designs (TT, SS, WL), p. 6.
DACDAC-2015-XiaoGWYTW #layout #optimisation #self
Layout optimization and template pattern verification for directed self-assembly (DSA) (ZX, DG, MDFW, HY, MCT, HSPW), p. 6.
DACDAC-2015-ZhengLDGZS #design #security
Design and verification for transportation system security (BZ, WL, PD, LG, QZ, NS), p. 6.
DATEDATE-2015-BergmanBKKMNOPR #experience #industrial
Designer-level verification: an industrial experience story (SB, GB, WK, SK, SM, ZN, AO, VP, WR, GS, VV), pp. 410–411.
DATEDATE-2015-BombieriFPS #abstraction
RTL property abstraction for TLM assertion-based verification (NB, RF, GP, FS), pp. 85–90.
DATEDATE-2015-BurnsSY #modelling #synthesis
GALS synthesis and verification for xMAS models (FPB, DS, AY), pp. 1419–1424.
DATEDATE-2015-KimFPSL #framework #implementation #modelling #platform
Platform-specific timing verification framework in model-based implementation (BK, LF, LTXP, OS, IL), pp. 235–240.
DATEDATE-2015-KonstantinouKM #encryption #functional #privacy
Privacy-preserving functional IP verification utilizing fully homomorphic encryption (CK, AK, MM), pp. 333–338.
DATEDATE-2015-KroeningLMST #bytecode #effectiveness #low level
Effective verification of low-level software with nested interrupts (DK, LL, TM, PS, MT), pp. 229–234.
DATEDATE-2015-KumarLSSH #adaptation
Timing verification for adaptive integrated circuits (RK, BL, YS, US, JH), pp. 1587–1590.
DATEDATE-2015-MadhukarSWKM #abstraction #lazy evaluation #using
Verifying synchronous reactive systems using lazy abstraction (KM, MS, BW, DK, RM), pp. 1571–1574.
DATEDATE-2015-ShonikerCHP #design #process #simulation
Minimizing the number of process corner simulations during design verification (MS, BFC, JH, WP), pp. 289–292.
DATEDATE-2015-SunKPE #algebra #geometry #using
Formal verification of sequential Galois field arithmetic circuits using algebraic geometry (XS, PK, TP, FE), pp. 1623–1628.
LCTESLCTES-2015-LinM #distributed #framework #named #programming #simulation #towards
StarL: Towards a Unified Framework for Programming, Simulating and Verifying Distributed Robotic Systems (YL, SM), p. 10.
LCTESLCTES-2015-ProcterHGBA #design #hardware #implementation #semantics
Semantics Driven Hardware Design, Implementation, and Verification with ReWire (AMP, WLH, IG, MB, GA), p. 10.
PDPPDP-2015-GuthmullerQC #detection #distributed #legacy #similarity
System-Level State Equality Detection for the Formal Dynamic Verification of Legacy Distributed Applications (MG, MQ, GC), pp. 451–458.
PDPPDP-2015-ManciniMMMT #as a service #named
SyLVaaS: System Level Formal Verification as a Service (TM, FM, AM, IM, ET), pp. 476–483.
PPoPPPPoPP-2015-CogumbreiroHMY #concurrent
Dynamic deadlock verification for general barrier synchronisation (TC, RH, FM, NY), pp. 150–160.
ESOPESOP-2015-Penninckx0P #behaviour #composition #source code
Sound, Modular and Compositional Verification of the Input/Output Behavior of Programs (WP, BJ, FP), pp. 158–182.
ESOPESOP-2015-SergeyNB #algorithm #concurrent #specification
Specifying and Verifying Concurrent Algorithms with Histories and Subjectivity (IS, AN, AB), pp. 333–358.
FASEFASE-2015-BlomDH
Verification of Loop Parallelisations (SB, SD, MH), pp. 202–217.
FASEFASE-2015-CzechJW #exclamation #what
Just Test What You Cannot Verify! (MC, MCJ, HW), pp. 100–114.
TACASTACAS-2015-AledoE #contest #embedded #framework
FramewORk for Embedded System verification — (Competition Contribution) (PGdA, PSE), pp. 429–431.
TACASTACAS-2015-ArmandoBCCMMM #framework #mobile #named #platform #security #static analysis
SAM: The Static Analysis Module of the MAVERIC Mobile App Security Verification Platform (AA, GB, GC, GC, GDM, RM, AM), pp. 225–230.
TACASTACAS-2015-Beyer
Software Verification and Verifiable Witnesses — (Report on SV-COMP 2015) (DB), pp. 401–416.
TACASTACAS-2015-ChenHTWW #contest #named #program transformation #recursion #source code #text-to-text
CPArec: Verifying Recursive Programs via Source-to-Source Program Transformation — (Competition Contribution) (YFC, CH, MHT, BYW, FW), pp. 426–428.
TACASTACAS-2015-CiniF #ltl #proving #runtime
An LTL Proof System for Runtime Verification (CC, AF), pp. 581–595.
TACASTACAS-2015-DuggiralaMVP #modelling #named
C2E2: A Verification Tool for Stateflow Models (PSD, SM, MV, MP), pp. 68–82.
TACASTACAS-2015-GurfinkelKN #c #contest #framework #named #source code
SeaHorn: A Framework for Verifying C Programs (Competition Contribution) (AG, TK, JAN), pp. 447–450.
TACASTACAS-2015-HaranCELQR #composition #contest
SMACK+Corral: A Modular Verifier — (Competition Contribution) (AH, MC, ME, AL, SQ, ZR), pp. 451–454.
TACASTACAS-2015-KriouileS #formal method #using
Using a Formal Model to Improve Verification of a Cache-Coherent System-on-Chip (AK, WS), pp. 708–722.
TACASTACAS-2015-TomascoI0TP15a #concurrent #memory management #source code
Verifying Concurrent Programs by Memory Unwinding (ET, OI, BF, SLT, GP), pp. 551–565.
TACASTACAS-2015-TschannenFNP #functional #named #object-oriented #source code
AutoProof: Auto-Active Functional Verification of Object-Oriented Programs (JT, CAF, MN, NP), pp. 566–580.
CADECADE-2015-DinBH #concurrent #deduction #modelling #named
KeY-ABS: A Deductive Verification Tool for the Concurrent Modelling Language ABS (CCD, RB, RH), pp. 517–526.
CAVCAV-2015-ChatterjeeIP #algorithm #constant #graph #performance
Faster Algorithms for Quantitative Verification in Constant Treewidth Graphs (KC, RIJ, AP), pp. 140–157.
CAVCAV-2015-CookKP #automation #infinity #on the
On Automation of CTL* Verification for Infinite-State Systems (BC, HK, NP), pp. 13–29.
CAVCAV-2015-DasLLL #precise
Angelic Verification: Precise Verification Modulo Unknowns (AD, SKL, AL, YL), pp. 324–342.
CAVCAV-2015-DemyanovaPVZ #benchmark #empirical #metric #tool support
Empirical Software Metrics for Benchmarking of Verification Tools (YD, TP, HV, FZ), pp. 561–579.
CAVCAV-2015-DuggiralaFM0 #challenge
Meeting a Powertrain Verification Challenge (PSD, CF, SM, MV), pp. 536–543.
CAVCAV-2015-GleissenthallKR
Symbolic Polytopes for Quantitative Interpolation and Verification (KvG, BK, AR), pp. 178–194.
CAVCAV-2015-GurfinkelKKN #framework
The SeaHorn Verification Framework (AG, TK, AK, JAN), pp. 343–361.
CAVCAV-2015-LeinoW #fine-grained
Fine-Grained Caching of Verification Results (KRML, VW), pp. 380–397.
CAVCAV-2015-Leslie-HurdCF
Verifying Linearizability of Intel® Software Guard Extensions (RLH, DC, MF), pp. 144–160.
CAVCAV-2015-VijayaraghavanC #composition #deduction #design #hardware #multi
Modular Deductive Verification of Multiprocessor Hardware Designs (MV, AC, A, ND), pp. 109–127.
CAVCAV-2015-ZouFZM #automation #difference #equation #safety
Automatic Verification of Stability and Safety for Delay Differential Equations (LZ, MF, NZ, PNM), pp. 338–355.
ICSTICST-2015-KobashiYWFYOK #design pattern #named #security #testing
TESEM: A Tool for Verifying Security Design Pattern Applications by Model Testing (TK, MY, HW, YF, NY, TO, HK), pp. 1–8.
ICSTICST-2015-ZhangAC #exclamation #model checking
Yes! You Can Use Your Model Checker to Verify OSEK/VDX Applications (HZ, TA, YC), pp. 1–10.
ISSTAISSTA-2015-DimjasevicG #analysis #assurance #generative #runtime #testing
Test-case generation for runtime analysis and vice versa: verification of aircraft separation assurance (MD, DG), pp. 282–292.
TAPTAP-2015-GenestierGP #array #deduction #generative
Sequential Generation of Structured Arrays and Its Deductive Verification (RG, AG, GP), pp. 109–128.
TAPTAP-2015-MoreiraHDMNM #case study #code generation #testing #tool support #using
Verifying Code Generation Tools for the B-Method Using Tests: A Case Study (AMM, CH, DD, ECBdM, JBSN, VdMJ), pp. 76–91.
VMCAIVMCAI-2015-CortesiFPT #mobile #policy #privacy #semantics
Datacentric Semantics for Verification of Privacy Policy Compliance by Mobile Applications (AC, PF, MP, OT), pp. 61–79.
VMCAIVMCAI-2015-DanMVY #abstraction #effectiveness #memory management #modelling
Effective Abstractions for Verification under Relaxed Memory Models (AMD, YM, MTV, EY), pp. 449–466.
VMCAIVMCAI-2015-GjomemoNPVZ #optimisation
From Verification to Optimizations (RG, KSN, PHP, VNV, LDZ), pp. 300–317.
VMCAIVMCAI-2015-KafleG #horn clause #refinement
Tree Automata-Based Refinement with Application to Horn Clause Verification (BK, JPG), pp. 209–226.
QoSAQoSA-2014-JohnsenLPH #dependence #graph #modelling #slicing
Regression verification of AADL models through slicing of system dependence graphs (AJ, KL, PP, KH), pp. 103–112.
QoSAQoSA-2014-Meyer #question #trust
Trust or verify? (BM), pp. 1–2.
DRRDRR-2014-LiPLD #analysis #online
On-line signature verification method by Laplacian spectral analysis and dynamic time warping (CL, LP, CL, XD), p. ?–10.
SANERCSMR-WCRE-2014-MihanceaM #named #security #web
JMODEX: Model extraction for verifying security properties of web applications (PFM, MM), pp. 450–453.
SCAMSCAM-2014-TliliFBDH #scalability #security
Scalable Security Verification of Software at Compile Time (ST, JMF, AB, BD, SH), pp. 115–124.
DLTDLT-2014-YakaryilmazSD #quantum
Debates with Small Transparent Quantum Verifiers (AY, ACCS, HGD), pp. 327–338.
ICALPICALP-v1-2014-Kuncak #recursion
Verifying and Synthesizing Software with Recursive Functions — (Invited Contribution) (VK), pp. 11–25.
LATALATA-2014-GantyR #order
Ordered Counter-Abstraction — Refinable Subword Relations for Parameterized Verification (PG, AR), pp. 396–408.
FMFM-2014-ArenisWDMA #consistency #industrial #standard
The Wireless Fire Alarm System: Ensuring Conformance to Industrial Standards through Formal Verification (SFA, BW, DD, MM, ASA), pp. 658–672.
FMFM-2014-ArmstrongGS #algebra #concurrent #tool support
Algebraic Principles for Rely-Guarantee Style Concurrency Verification Tools (AA, VBFG, GS), pp. 78–93.
FMFM-2014-BlomH #concurrent #source code
The VerCors Tool for Verification of Concurrent Programs (SB, MH), pp. 127–131.
FMFM-2014-ChristakisLS #formal method
Formalizing and Verifying a Modern Build Language (MC, KRML, WS), pp. 643–657.
FMFM-2014-DerrickDSTTW #consistency
Quiescent Consistency: Defining and Verifying Relaxed Linearizability (JD, BD, GS, BT, OT, HW), pp. 200–214.
FMFM-2014-GuptaKG #experience
Formally Verifying Graphics FPU — An Intel® Experience (AG, VMAK, RG), pp. 673–687.
FMFM-2014-LeinoM #automation #induction #proving
Co-induction Simply — Automatic Co-inductive Proofs in a Program Verifier (KRML, MM), pp. 382–398.
FMFM-2014-LiuXZS
Formal Verification of Operational Transformation (YL, YX, SJZ, CS), pp. 432–448.
FMFM-2014-MaricS #hardware #memory management #transaction
Verification of a Transactional Memory Manager under Hardware Failures and Restarts (OM, CS), pp. 449–464.
FMFM-2014-ShanWFZZWQC #using
Formal Verification of Lunar Rover Control Software Using UPPAAL (LS, YW, NF, XZ, LZ, LW, LQ, JC), pp. 718–732.
FMFM-2014-ZhaoYZGZC
Formal Verification of a Descent Guidance Control Program of a Lunar Lander (HZ, MY, NZ, BG, LZ, YC), pp. 733–748.
IFMIFM-2014-BrideKP #constraints #specification #theorem proving #using #workflow
Verifying Modal Workflow Specifications Using Constraint Solving (HB, OK, FP), pp. 171–186.
IFMIFM-2014-DerrickSD #architecture
Verifying Linearizability on TSO Architectures (JD, GS, BD), pp. 341–356.
IFMIFM-2014-HentschelKHB #ide #interactive
An Interactive Verification Tool Meets an IDE (MH, SK, RH, RB), pp. 55–70.
IFMIFM-2014-JakobsPWW #hardware
Integrating Software and Hardware Verification (MCJ, MP, HW, TW), pp. 307–322.
IFMIFM-2014-MellerGY #behaviour #uml
Verifying Behavioral UML Systems via CEGAR (YM, OG, KY), pp. 139–154.
SEFMSEFM-2014-ArmstrongGS #higher-order #lightweight #tool support
Lightweight Program Construction and Verification Tools in Isabelle/HOL (AA, VBFG, GS), pp. 5–19.
SEFMSEFM-2014-DiagneMF
A Tool for Verifying Dynamic Properties in B (FD, AM, MF), pp. 290–295.
SEFMSEFM-2014-HauzarK #named #php #web
WeVerca: Web Applications Verification for PHP (DH, JK), pp. 296–301.
SEFMSEFM-2014-LaibinisTGMK #behaviour #formal method #modelling
Formal Modelling and Verification of Cooperative Ant Behaviour in Event-B (LL, ET, ZG, FM, AHK), pp. 363–377.
SEFMSEFM-2014-Leroy #code generation #proving #tool support
Formal Proofs of Code Generation and Verification Tools (XL), pp. 1–4.
SEFMSEFM-2014-ReicherdtG #matlab #modelling #using
Formal Verification of Discrete-Time MATLAB/Simulink Models Using Boogie (RR, SG), pp. 190–204.
SEFMSEFM-2014-RodriguezFHM #erlang #execution #state machine #uml
Execution and Verification of UML State Machines with Erlang (RJR, LÅF, ÁHN, JM), pp. 284–289.
SEFMSEFM-2014-TatsutaC #induction #logic
Completeness of Separation Logic with Inductive Definitions for Program Verification (MT, WNC), pp. 20–34.
SFMSFM-2014-AmighiBDHMZ #concurrent
Verification of Concurrent Systems with VerCors (AA, SB, SD, MH, WM, MZS), pp. 172–216.
ICFPICFP-2014-NguyenTH #contract
Soft contract verification (PCN, STH, DVH), pp. 139–152.
FDGFDG-2014-LogasWMVSSMCOSL #design #game studies
Software verification games: Designing Xylem, The Code of Plants (HL, JW, MM, RV, LS, DGS, JTM, KC, JCO, OS, ZL, HS, MS, DC, SC, CL0).
GT-VMTGT-VMT-2014-WangBL #alloy #graph #model transformation #using
Verification of Graph-based Model Transformations Using Alloy (XW, FB, YL).
ICGTICGT-2014-Delzanno #distributed #model checking #protocol
Parameterized Verification and Model Checking for Distributed Broadcast Protocols (GD), pp. 1–16.
ICGTICGT-2014-PoskittP #graph #higher-order #monad #source code
Verifying Monadic Second-Order Properties of Graph Programs (CMP, DP), pp. 33–48.
ICGTICGT-2014-SelimLCDO #graph #model transformation #specification
Specification and Verification of Graph-Based Model Transformation Properties (GMKS, LL, JRC, JD, BJO), pp. 113–129.
CHICHI-2014-BurgbacherH #gesture #type system
An implicit author verification system for text messages based on gesture typing biometrics (UB, KHH), pp. 2951–2954.
HCIHIMI-DE-2014-TanikawaSKF #design #problem #process #usability
Problems in Usability Improvement Activity by Software Engineers — Consideration through Verification Experiments for Human- Centered Design Process Support Environment (YT, HS, HK, SF), pp. 641–651.
CAiSECAiSE-2014-LaurentBBG #formal method #process
Formalization of fUML: An Application to Process Verification (YL, RB, SB, MPG), pp. 347–363.
ICEISICEIS-v2-2014-AntonioRF #embedded #modelling #process #validation
Verification and Validation Activities for Embedded Systems — A Feasibility Study on a Reading Technique for SysML Models (EAA, RR, SCPFF), pp. 233–240.
CIKMCIKM-2014-YangQ #image #mobile #retrieval #scalability
Spatial Verification for Scalable Mobile Image Retrieval (XY, XQ), pp. 1903–1906.
ICPRICPR-2014-PinheiroRCJS #fuzzy #independence #robust
Type-2 Fuzzy GMMs for Robust Text-Independent Speaker Verification in Noisy Environments (HNBP, TIR, GDCC, IJT, JS), pp. 4531–4536.
ICPRICPR-2014-ZhangWGZZ #analysis #using
Low Computation Face Verification Using Class Center Analysis (XZ, JW, YG, SZ, SZ), pp. 4543–4547.
KRKR-2014-LomuscioM #abstraction #atl #multi #specification
An Abstraction Technique for the Verification of Multi-Agent Systems Against ATL Specifications (AL, JM).
SEKESEKE-2014-GuimaraesSALC #alloy #consistency #using
A Method for Verifying the Consistency of Business Rules Using Alloy (DG, EAS, AJA, PL, ALC), pp. 381–386.
SEKESEKE-2014-GuptaAWD #empirical #modelling #using
Evaluating the Use of Model-Based Requirement Verification Method: An Empirical Study (MG, DA, GSW, HD), pp. 397–401.
SEKESEKE-2014-NguyenC #case study #coordination #requirements
Formal Verification of Coordination Systems’ Requirements — A Case Study on the European Train Control System (HNN, ARC), pp. 393–396.
SIGIRSIGIR-2014-DamH #scalability #topic
Large-scale author verification: temporal and topical influences (MvD, CH), pp. 1039–1042.
ECMFAECMFA-2014-LaurentBBG #alloy #framework #process
Alloy4SPV : A Formal Framework for Software Process Verification (YL, RB, SB, MPG), pp. 83–100.
MODELSMoDELS-2014-ChakiE #compilation #distributed #modelling
Model-Driven Verifying Compilation of Synchronous Distributed Applications (SC, JRE), pp. 201–217.
SPLCSPLC-2014-MennickeLSW #automation #feature model #petri net #process #workflow
Automated verification of feature model configuration processes based on workflow Petri nets (SM, ML, JS, TW), pp. 62–71.
SPLCSPLC-2014-SimidchievaO #composition #generative #product line
Generation, composition, and verification of families of human-intensive systems (BIS, LJO), pp. 207–216.
OnwardOnward-2014-VisserWTNVPK #design #implementation
A Language Designer’s Workbench: A One-Stop-Shop for Implementation and Verification of Language Designs (EV, GW, APT, PN, VAV, AP, GK), pp. 95–111.
OOPSLAOOPSLA-2014-WangCC #abstraction #compilation
Compiler verification meets cross-language linking via data abstraction (PW, SC, AC), pp. 675–690.
PEPMPEPM-J-2013-AngelisFPP14
Program verification via iterated specialization (EDA, FF, AP, MP), pp. 149–175.
AdaEuropeAdaEurope-2014-PedroPPP #ada #framework #programming language #runtime #towards
Towards a Runtime Verification Framework for the Ada Programming Language (AdMP, DP, LMP, JSP), pp. 58–73.
HILTHILT-2014-Ball #compilation #correctness #logic #research
Correctness via compilation to logic: a decade of verification at microsoft research (TB), pp. 69–70.
LOPSTRLOPSTR-2014-TahatE #hybrid #protocol #self #synthesis
A Hybrid Method for the Verification and Synthesis of Parameterized Self-Stabilizing Protocols (AT, AE), pp. 201–218.
PEPMPEPM-2014-CosteaSD #named
HIPimm: verifying granular immutability guarantees (AC, AS, CD), pp. 189–194.
PLDIPLDI-2014-BallBGIKSSV #named #network #source code #towards
VeriCon: towards verifying controller programs in software-defined networks (TB, NB, AG, SI, AK, MS, MS, AV), p. 31.
PLDIPLDI-2014-Carbonneaux0RS #bound #c #source code
End-to-end verification of stack-space bounds for C programs (QC, JH, TR, ZS), p. 30.
PLDIPLDI-2014-GreenawayLAK #c
Don’t sweat the small stuff: formal verification of C code without the pain (DG, JL, JA, GK), p. 45.
PLDIPLDI-2014-LogozzoLFB #towards
Verification modulo versions: towards usable verification (FL, SKL, MF, SB), p. 32.
PLDIPLDI-2014-SampsonPMMGC #probability
Expressing and verifying probabilistic assertions (AS, PP, TM, KSM, DG, LC), p. 14.
POPLPOPL-2014-BartheFGSSB #encryption #implementation #probability #relational
Probabilistic relational verification for cryptographic implementations (GB, CF, BG, PYS, NS, SZB), pp. 193–206.
POPLPOPL-2014-BouajjaniEH #consistency #replication
Verifying eventual consistency of optimistic replication systems (AB, CE, JH), pp. 285–296.
POPLPOPL-2014-BurckhardtGYZ #data type #specification
Replicated data types: specification, verification, optimality (SB, AG, HY, MZ), pp. 271–284.
SASSAS-2014-AbdullaHH #exclamation
Block Me If You Can! — Context-Sensitive Parameterized Verification (PAA, FH, LH), pp. 1–17.
SASSAS-2014-ChenHTWW #recursion #source code #using
Verifying Recursive Programs Using Intraprocedural Analyzers (YFC, CH, MHT, BYW, FW), pp. 118–133.
RERE-2014-BadgerTC #analysis #design #named #requirements
VARED: Verification and analysis of requirements and early designs (JMB, DT, CC), pp. 325–326.
RERE-2014-LetychevskyiW #requirements
Symbolic verification of requirements in VRS system (OL, TW), pp. 331–332.
RERE-2014-WittFSH #process #validation
Business Application Modeler: A process model Validation and Verification tool (SW, SF, AS, CH), pp. 333–334.
ASEASE-2014-BasuB #automation #bound #interactive
Automatic verification of interactions in asynchronous systems with unbounded buffers (SB, TB), pp. 743–754.
ASEASE-2014-FelsingGKRU #automation
Automating regression verification (DF, SG, VK, PR, MU), pp. 349–360.
ASEASE-2014-Lopez-FernandezGL #metamodelling #validation
Meta-Model validation and verification with MetaBest (JJLF, EG, JdL), pp. 831–834.
ASEASE-2014-MolotnikovVR #automation #c
Automated domain-specific C verification with mbeddr (ZM, MV, DR), pp. 539–550.
ASEASE-2014-UbayashiALLHK #compilation
Abstraction-aware verifying compiler for yet another MDD (NU, DA, PL, YNL, SH, YK), pp. 557–562.
ASEASE-2014-YangXLCML #adaptation #nondeterminism #self
Verifying self-adaptive applications suffering uncertainty (WY, CX, YL, CC, XM, JL), pp. 199–210.
FSEFSE-2014-Kan #model checking #safety #traceability
Traceability and model checking to support safety requirement verification (SK), pp. 783–786.
FSEFSE-2014-LalQ #using
Powering the static driver verifier using corral (AL, SQ), pp. 202–212.
FSEFSE-2014-Llerena #nondeterminism
Dealing with uncertainty in verification of nondeterministic systems (YRSL), pp. 787–790.
FSEFSE-2014-VakiliD #infinity #modelling #smt #using
Verifying CTL-live properties of infinite state models using an SMT solver (AV, NAD), pp. 213–223.
ICSEICSE-2014-BocicB #induction #invariant #web
Inductive verification of data model invariants for web applications (IB, TB), pp. 620–631.
ICSEICSE-2014-LeP #control flow #graph #interprocedural #multi
Patch verification via multiversion interprocedural control flow graphs (WL, SDP), pp. 1047–1058.
ICSEICSE-2014-MaozRR #component #modelling
Verifying component and connector models against crosscutting structural views (SM, JOR, BR), pp. 95–105.
DACDAC-2014-AdirGHHHHKKLMNPSOTTZ #memory management #transaction
Verification of Transactional Memory in POWER8 (AA, DG, DH, OH, BGH, KH, WK, AK, JML, CM, AN, RRP, MS, BSO, BWT, ET, AZ), p. 6.
DACDAC-2014-ChenWLZAMWH #functional #multi #prototype #standard
A SystemC Virtual Prototyping based Methodology for Multi-Standard SoC Functional Verification (ZC, YW, LL, YZ, AA, JHM, RW, SH), p. 6.
DACDAC-2014-KoestersG
Verification of Non-Mainline Functions in Todays Processor Chips (JK, AG), p. 3.
DACDAC-2014-KrautzPAKPB #automation #float
Automatic Verification of Floating Point Units (UK, VP, AA, SK, SP, TB), p. 6.
DACDAC-2014-LinL #analysis #parallel #reachability
Parallel Hierarchical Reachability Analysis for Analog Verification (HL, PL), p. 6.
DACDAC-2014-PrussKE #abstraction #equivalence #scalability #using
Equivalence Verification of Large Galois Field Arithmetic Circuits using Word-Level Abstraction via Gröbner Bases (TP, PK, FE), p. 6.
DACDAC-2014-Rodriguez-NavasSHNLL #approach #automation #functional #safety #specification
Automated Specification and Verification of Functional Safety in Heavy-Vehicles: the VeriSpec Approach (GRN, CCS, HH, MN, OL, HL), p. 4.
DACDAC-2014-XiaoDTWYWZ #self
Directed Self-Assembly (DSA) Template Pattern Verification (ZX, YD, HT, MDFW, HY, HSPW, HZ), p. 6.
DATEDATE-2014-GuarnieriPSVBFMP #embedded #monitoring
A cross-level verification methodology for digital IPs augmented with embedded timing monitors (VG, MP, AS, SV, NB, FF, EM, MP), pp. 1–6.
DATEDATE-2014-JoostenS #communication #liveness #scalability
Scalable liveness verification for communication fabrics (SJCJ, JS), pp. 1–6.
DATEDATE-2014-KauerSGCA #distributed #embedded #fault tolerance #synthesis
Fault-tolerant control synthesis and verification of distributed embedded systems (MK, DS, DG, SC, AMA), pp. 1–6.
DATEDATE-2014-LeD #design #towards
Towards verifying determinism of SystemC designs (HML, RD), pp. 1–4.
DATEDATE-2014-SubramanyanA #design #security
Formal verification of taint-propagation security properties in a commercial SoC design (PS, DA), pp. 1–2.
DATEDATE-2014-WelpK #invariant #refinement
Property directed invariant refinement for program verification (TW, AK), pp. 1–6.
HPCAHPCA-2014-ZhangBES #design #named #protocol #scalability
PVCoherence: Designing flat coherence protocols for scalable verification (MZ, JDB, JE, DJS), pp. 392–403.
OSDIOSDI-2014-HawblitzelHLNPZZ #automation #security
Ironclad Apps: End-to-End Security via Automated Full-System Verification (CH, JH, JRL, AN, BP, DZ, BZ), pp. 165–181.
PDPPDP-2014-ManciniMMMT #distributed #hardware #manycore #simulation
System Level Formal Verification via Distributed Multi-core Hardware in the Loop Simulation (TM, FM, AM, IM, ET), pp. 734–742.
ESOPESOP-2014-KuwaharaTU0 #automation #functional #higher-order #source code #termination
Automatic Termination Verification for Higher-Order Functional Programs (TK, TT, HU, NK), pp. 392–411.
ESOPESOP-2014-PercontiA #compilation #multi #semantics #using
Verifying an Open Compiler Using Multi-language Semantics (JTP, AA), pp. 128–148.
FASEFASE-2014-MasciZJCT #user interface #using
Formal Verification of Medical Device User Interfaces Using PVS (PM, YZ, PLJ, PC, HWT), pp. 200–214.
FASEFASE-2014-Zaharieva-StojanovskiH #concurrent #invariant #source code
Verifying Class Invariants in Concurrent Programs (MZS, MH), pp. 230–245.
TACASTACAS-2014-AngelisFPP #named #source code
VeriMAP: A Tool for Verifying Programs through Transformations (EDA, FF, AP, MP), pp. 568–574.
TACASTACAS-2014-Ardeshir-LarijaniGN #concurrent #equivalence #protocol #quantum
Verification of Concurrent Quantum Protocols by Equivalence Checking (EAL, SJG, RN), pp. 500–514.
TACASTACAS-2014-Beyer #contest #summary
Status Report on Software Verification — (Competition Summary SV-COMP 2014) (DB0), pp. 373–388.
TACASTACAS-2014-EldibWS #smt
SMT-Based Verification of Software Countermeasures against Side-Channel Attacks (HE, CW, PS), pp. 62–77.
TACASTACAS-2014-GurfinkelB #contest #named
FrankenBit: Bit-Precise Verification with Many Bits — (Competition Contribution) (AG, AB), pp. 408–411.
TACASTACAS-2014-HartmannsH #ide #modelling #tool support
The Modest Toolset: An Integrated Environment for Quantitative Modelling and Verification (AH, HH), pp. 593–598.
TACASTACAS-2014-PiskacWZ #named #specification
GRASShopper — Complete Heap Verification with Mixed Specifications (RP, TW, DZ), pp. 124–139.
TACASTACAS-2014-Siirtola #bound #composition #multi #named
Bounds2: A Tool for Compositional Multi-parametrised Verification (AS), pp. 599–604.
WRLAWRLA-2014-BartolettiMSZ #maude #modelling
Modelling and Verifying Contract-Oriented Systems in Maude (MB, MM, AS, RZ), pp. 130–146.
CAVCAV-2014-AbdullaACHRRS #constraints #string
String Constraints for Verification (PAA, MFA, YFC, LH, AR, PR, JS), pp. 150–166.
CAVCAV-2014-BardsleyBCCDDKLQ #gpu #kernel
Engineering a Static Verification Tool for GPU Kernels (EB, AB, NC, PC, PD, AFD, JK, DL, SQ), pp. 226–242.
CAVCAV-2014-BeyerDW
Software Verification in the Google App-Engine Cloud (DB, GD, PW), pp. 327–333.
CAVCAV-2014-BinghamL #bound #fault #simulation #using
Verifying Relative Error Bounds Using Symbolic Simulation (JB, JLH), pp. 277–292.
CAVCAV-2014-CermakLMM #logic #model checking #named #specification
MCMAS-SLK: A Model Checker for the Verification of Strategy Logic Specifications (PC, AL, FM, AM), pp. 525–532.
CAVCAV-2014-CimattiGMT #hybrid #ltl
Verifying LTL Properties of Hybrid Systems with K-Liveness (AC, AG, SM, ST), pp. 424–440.
CAVCAV-2014-FerraraMNP #data access #named #policy
Vac — Verifier of Administrative Role-Based Access Control Policies (ALF, PM, TLN, GP), pp. 184–191.
CAVCAV-2014-HuangFMMK #automaton #hybrid #invariant #network
Invariant Verification of Nonlinear Hybrid Automata Networks of Cardiac Cells (ZH, CF, AM, SM, MZK), pp. 373–390.
CAVCAV-2014-LeeS #abstraction #approximate #bound #reachability #scalability
Unbounded Scalable Verification Based on Approximate Property-Directed Reachability and Datapath Abstraction (SL, KAS), pp. 849–865.
CAVCAV-2014-LesaniMP #automation #concurrent #data type
Automatic Atomicity Verification for Clients of Concurrent Data Structures (ML, TDM, JP), pp. 550–567.
CAVCAV-2014-RakamaricE #implementation #named
SMACK: Decoupling Source Language Details from Verifier Implementations (ZR, ME), pp. 106–113.
CAVCAV-2014-SanchezS #concurrent #data type #named
LEAP: A Tool for the Parametrized Verification of Concurrent Datatypes (AS, CS), pp. 620–627.
IJCARIJCAR-2014-Zhang #encoding
QBF Encoding of Temporal Properties and QBF-Based Verification (WZ), pp. 224–239.
ISSTAISSTA-2014-ShachamYGABSV #independence
Verifying atomicity via data independence (OS, EY, GGG, AA, NGB, MS, MTV), pp. 26–36.
LICSLICS-CSL-2014-LiangFS #composition #concurrent #refinement #source code
Compositional verification of termination-preserving refinement of concurrent programs (HL, XF, ZS), p. 10.
SMTSMT-2014-Melquiond #algorithm #automation #float
Automating the Verification of Floating-Point Algorithms (GM), p. 63.
TAPTAP-2014-DiepenbeckKSD #behaviour #development #testing
Behaviour Driven Development for Tests and Verification (MD, UK, MS, RD), pp. 61–77.
TAPTAP-2014-HilkenNGW #behaviour #comparison #modelling #ocl #uml
Filmstripping and Unrolling: A Comparison of Verification Approaches for UML and OCL Behavioral Models (FH, PN, MG, RW), pp. 99–116.
TAPTAP-2014-KampmannGZ #bound #execution #named #performance
JTACO: Test Execution for Faster Bounded Verification (AK, JPG, AZ), pp. 134–141.
TAPTAP-2014-KanigCCGMR
Explicit Assumptions — A Prenup for Marrying Static and Dynamic Program Verification (JK, RC, CC, JG, YM, ER), pp. 142–157.
TAPTAP-2014-KosmatovLA #case study #proving #testing
A Case Study on Verification of a Cloud Hypervisor by Proof and Structural Testing (NK, ML, CA), pp. 158–164.
TAPTAP-2014-PetiotKGJ #deduction #generative #how #specification #testing
How Test Generation Helps Software Specification and Deductive Verification in Frama-C (GP, NK, AG, JJ), pp. 204–211.
VMCAIVMCAI-2014-AngelisFPP #array #source code
Verifying Array Programs by Transforming Verification Conditions (EDA, FF, AP, MP), pp. 182–202.
VMCAIVMCAI-2014-DragoiHVWZ #algorithm #framework
A Logic-Based Framework for Verifying Consensus Algorithms (CD, TAH, HV, JW, DZ), pp. 161–181.
VMCAIVMCAI-2014-JezequelE #algorithm #distributed #message passing #protocol
Message-Passing Algorithms for the Verification of Distributed Protocols (LJ, JE), pp. 222–241.
CBSECBSE-2013-BarnatBCP #component #named
DCCL: verification of component systems with ensembles (JB, NB, IC, ZP), pp. 43–52.
CBSECBSE-2013-JohnsonCK #component #framework #incremental
An incremental verification framework for component-based software systems (KJ, RC, SK), pp. 33–42.
DRRDRR-2013-RicquebourgCG #evaluation #recognition #robust #word
Evaluation of lexicon size variations on a verification and rejection system based on SVM, for accurate and robust recognition of handwritten words (YR, BC, LG).
DRRDRR-2013-SrihariKTB #using
Combining evidence using likelihood ratios in writer verification (SNS, DK, YT, GRB).
ICDARICDAR-2013-HuC #classification #pseudo #using
Offline Signature Verification Using Real Adaboost Classifier Combination of Pseudo-dynamic Features (JH, YC), pp. 1345–1349.
ICDARICDAR-2013-JainD #detection #documentation #image #named
VisualDiff: Document Image Verification and Change Detection (RJ, DSD), pp. 40–44.
ICDARICDAR-2013-KamihiraOWK
Improvement of Japanese Signature Verification by Segmentation-Verification (YK, WO, TW, FK), pp. 379–382.
ICDARICDAR-2013-KhanKS #identification #problem #question
Can Signature Biometrics Address Both Identification and Verification Problems? (SHK, ZK, FS), pp. 981–985.
ICDARICDAR-2013-KhayyatLS #classification #word
Verification of Hierarchical Classifier Results for Handwritten Arabic Word Spotting (MK, LL, CYS), pp. 572–576.
ICDARICDAR-2013-LiPXW #analysis #consistency #online #order
A Stroke Order Verification Method for On-Line Handwritten Chinese Characters Based on Tempo-spatial Consistency Analysis (RL, LP, EX, NW), pp. 999–1003.
ICDARICDAR-2013-MalikALD #forensics #realtime
FREAK for Real Time Forensic Signature Verification (MIM, SA, ML, AD), pp. 971–975.
ICDARICDAR-2013-MalikLAOBF #contest #identification
ICDAR 2013 Competitions on Signature Verification and Writer Identification for On- and Offline Skilled Forgeries (SigWiComp 2013) (MIM, ML, LA, WO, MB, BF), pp. 1477–1483.
ICDARICDAR-2013-MalikLD #automation #comparison #forensics
Part-Based Automatic System in Comparison to Human Experts for Forensic Signature Verification (MIM, ML, AD), pp. 872–876.
PODSPODS-2013-AbouziedAPHS #learning #quantifier #query
Learning and verifying quantified boolean queries by example (AA, DA, CHP, JMH, AS), pp. 49–60.
PODSPODS-2013-BojanczykST
Verification of database-driven systems via amalgamation (MB, LS, ST), pp. 63–74.
PODSPODS-2013-HaririCGDM #relational
Verification of relational data-centric dynamic systems with external services (BBH, DC, GDG, AD, MM), pp. 163–174.
ICALPICALP-v2-2013-DemriDS #complexity #on the
On the Complexity of Verifying Regular Properties on Flat Counter Systems, (SD, AKD, AS), pp. 162–173.
LATALATA-2013-DelzannoT #complexity #decidability #network
Decidability and Complexity Results for Verification of Asynchronous Broadcast Networks (GD, RT), pp. 238–249.
LATALATA-2013-Etessami #algorithm #infinity #probability #recursion
Algorithms for Analyzing and Verifying Infinite-State Recursive Probabilistic Systems (KE), p. 12.
IFMIFM-2013-GavaFG #algorithm #deduction
Deductive Verification of State-Space Algorithms (FG, JF, MG), pp. 124–138.
IFMIFM-2013-IshiiMN #automaton #calculus #hybrid #induction
Inductive Verification of Hybrid Automata with Strongest Postcondition Calculus (DI, GM, SN), pp. 139–153.
IFMIFM-2013-MeryP #formal method #modelling #protocol
Formal Modelling and Verification of Population Protocols (DM, MP), pp. 208–222.
IFMIFM-2013-MilloRKN #composition #product line
Compositional Verification of Software Product Lines (JVM, SR, SNK, GKN), pp. 109–123.
IFMIFM-2013-MoranHS #automation
Automated Anonymity Verification of the ThreeBallot Voting System (MM, JH, SS), pp. 94–108.
IFMIFM-2013-SavaryFL #bytecode #detection #modelling #testing #using
Detecting Vulnerabilities in Java-Card Bytecode Verifiers Using Model-Based Testing (AS, MF, JLL), pp. 223–237.
IFMIFM-2013-VekrisLDM #specification #using
Verification of EB3 Specifications Using CADP (DV, FL, CD, RM), pp. 61–76.
SEFMSEFM-2013-BoerGW #runtime
Run-Time Verification of Coboxes (FSdB, SdG, PYHW), pp. 259–273.
SEFMSEFM-2013-GesellMS
Lifting Verification Results for Preemption Statements (MG, AM, KS), pp. 91–105.
SEFMSEFM-2013-Klimek #logic #modelling #requirements #specification
From Extraction of Logical Specifications to Deduction-Based Formal Verification of Requirements Models (RK), pp. 61–75.
SEFMSEFM-2013-PerceboisST #graph transformation #invariant #transitive
Rule-Level Verification of Graph Transformations for Invariants Based on Edges’ Transitive Closure (CP, MS, HNT), pp. 106–121.
SEFMSEFM-2013-SuryadevaraSMP #behaviour #using
Verifying MARTE/CCSL Mode Behaviors Using UPPAAL (JS, CCS, FM, PP), pp. 1–15.
ICFPICFP-2013-BroadbentCHS #approach #higher-order #named
C-SHORe: a collapsible approach to higher-order verification (CHB, AC, MH, OS), pp. 13–24.
ICFPICFP-2013-Chlipala #generative #hoare #logic #metaprogramming
The bedrock structured programming system: combining generative metaprogramming and hoare logic in an extensible program verifier (AC), pp. 391–402.
ICFPICFP-2013-LorenzenE #automation #composition
Modular and automated type-soundness verification for language extensions (FL, SE), pp. 331–342.
IFLIFL-2013-GijzelN #framework #implementation #modelling #towards
Towards a framework for the implementation and verification of translations between argumentation models (BvG, HN), p. 93.
GCMGCM-J-2012-PoskittP #correctness #graph #source code
Verifying Total Correctness of Graph Programs (CMP, DP).
CHICHI-2013-Egelman #exclamation #facebook #privacy #trade-off
My profile is my password, verify me!: the privacy/convenience tradeoff of facebook connect (SE), pp. 2369–2378.
HCIDHM-HB-2013-XieKD #modelling
Anatomy-Based Variational Modeling of Digital Hand and Its Verification (YX, SK, HD), pp. 384–392.
HCIHIMI-HSM-2013-KimKL #design #standard
Designing and Verifying Application Schema by Applying Standard Element for Managing Ocean Observation Data (STK, LKK, TYL), pp. 110–115.
EDOCEDOC-2013-TranZ #analysis #approach #architecture
Event Actors Based Approach for Supporting Analysis and Verification of Event-Driven Architectures (HT, UZ), pp. 217–226.
KDIRKDIR-KMIS-2013-AlwazaePK #classification
Verifying the Usefulness of a Classification System of Best Practices (MMSA, EP, HK), pp. 405–412.
MODELSMoDELS-2013-PiresPWD #behaviour #embedded #source code
Behavioural Verification in Embedded Software, from Model to Source Code (AFP, TP, VW, SD), pp. 320–335.
MODELSMoDELS-2013-SelimBCDW #automation #industrial #model transformation
Automated Verification of Model Transformations in the Automotive Industry (GMKS, FB, JRC, JD, SW), pp. 690–706.
MODELSMoDELS-2013-ZalilaCP #approach #domain-specific language #integration
Formal Verification Integration Approach for DSML (FZ, XC, MP), pp. 336–351.
ECOOPECOOP-2013-HeuleKMS #abstraction #generative #logic
Verification Condition Generation for Permission Logics with Abstract Predicates and Abstraction Functions (SH, ITK, PM, AJS), pp. 451–476.
OOPSLAOOPSLA-2013-CarbinMR #hardware #reliability #source code
Verifying quantitative reliability for programs that execute on unreliable hardware (MC, SM, MCR), pp. 33–52.
HILTHILT-2013-Alagic #automation #interactive
Automatic versus interactive program verification (SA), pp. 87–88.
HILTHILT-2013-EfstathopoulosH #optimisation
Optimizing verification effort with SPARK 2014 (PE, AH), pp. 19–20.
HILTHILT-2013-Logozzo #contract #specification
Practical specification and verification with code contracts (FL), pp. 7–8.
HILTHILT-2013-MurugesanWRH #composition
Compositional verification of a medical device system (AM, MWW, SR, MPEH), pp. 51–64.
LOPSTRLOPSTR-2013-Vidal #erlang #term rewriting #towards
Towards Erlang Verification by Term Rewriting (GV), pp. 109–126.
PEPMPEPM-2013-AngelisFPP #source code
Verifying programs via iterated specialization (EDA, FF, AP, MP), pp. 43–52.
PLDIPLDI-2013-LiangF #composition
Modular verification of linearizability with non-fixed linearization points (HL, XF), pp. 459–470.
PLDIPLDI-2013-SwamyWSCL #higher-order #monad #source code
Verifying higher-order programs with the dijkstra monad (NS, JW, CS, JC, BL), pp. 387–398.
PLDIPLDI-2013-ZhaoNMZ #optimisation
Formal verification of SSA-based optimizations for LLVM (JZ, SN, MMKM, SZ), pp. 175–186.
POPLPOPL-2013-UnnoTK #automation #functional #higher-order #source code
Automating relatively complete verification of higher-order functional programs (HU, TT, NK), pp. 75–86.
SASSAS-2013-0001GHAN #concept #geometry #learning
Verification as Learning Geometric Concepts (RS, SG, BH, AA, AVN), pp. 388–411.
SASSAS-2013-BlazyLMP #abstract interpretation #analysis #c
Formal Verification of a C Value Analysis Based on Abstract Interpretation (SB, VL, AM, DP), pp. 324–344.
SASSAS-2013-BrainDGHK #float #source code
Interpolation-Based Verification of Floating-Point Programs with Abstract CDCL (MB, VD, AG, LH, DK), pp. 412–432.
SASSAS-2013-DOsualdoKO #automation #concurrent
Automatic Verification of Erlang-Style Concurrency (ED, JK, CHLO), pp. 454–476.
SASSAS-2013-DudkaPV #low level
Byte-Precise Verification of Low-Level List Manipulation (KD, PP, TV), pp. 215–237.
SASSAS-2013-MajumdarMW #message passing #source code
Static Provenance Verification for Message Passing Programs (RM, RM, ZW), pp. 366–387.
RERE-2013-GhezziMSS #on the #requirements
On requirements verification for model refinements (CG, CM, AMS, PS), pp. 62–71.
ASEASE-2013-0002IP #c #concurrent #named #preprocessor #tool support
CSeq: A concurrency pre-processor for sequential C verification tools (BF, OI, GP), pp. 710–713.
ASEASE-2013-CalinescuJR #self
Developing self-verifying service-based systems (RC, KJ, YR), pp. 734–737.
ASEASE-2013-DhunganaTWW #automation #interactive #rule-based
Automated verification of interactive rule-based configuration systems (DD, CHT, CW, PW), pp. 551–561.
ASEASE-2013-Frank #automation #challenge #validation
The challenges of verification and validation of automated planning systems (JF), p. 2.
ASEASE-2013-MaezawaWTH #ajax #automation #interactive #invariant
Automated verification of pattern-based interaction invariants in Ajax applications (YM, HW, YT, SH), pp. 158–168.
ASEASE-2013-MeredithR #parametricity #performance #runtime #string
Efficient parametric runtime verification with deterministic string rewriting (POM, GR), pp. 70–80.
ESEC-FSEESEC-FSE-2013-BeyerLNSW #performance #precise #reuse
Precision reuse for efficient regression verification (DB, SL, EN, AS, PW), pp. 389–399.
ESEC-FSEESEC-FSE-2013-NavabpourJWBMBF #c #named #runtime #source code
RiTHM: a tool for enabling time-triggered runtime verification for C programs (SN, YJ, CWWW, SB, RM, BB, SF), pp. 603–606.
ESEC-FSEESEC-FSE-2013-ZervoudakisREF #model checking
Cascading verification: an integrated method for domain-specific model checking (FZ, DSR, SGE, AF), pp. 400–410.
ICSEICSE-2013-ApelRWGB #case study #product line
Strategies for product-line verification: case studies and experiments (SA, AvR, PW, AG, DB), pp. 482–491.
ICSEICSE-2013-BohmeOR
Partition-based regression verification (MB, BCdSO, AR), pp. 302–311.
ICSEICSE-2013-HatcliffRCB #execution #framework #symbolic computation
Explicating symbolic execution (xSymExe): an evidence-based verification framework (JH, R, PC, JB), pp. 222–231.
SACSAC-2013-CogniniFPPR #collaboration #modelling #named #process
HawkEye: a tool for collaborative business process modelling and verification (RC, DF, AP, AP, BR), pp. 785–786.
SACSAC-2013-MartinaP #induction #multi #protocol #security #using
Verifying multicast-based security protocols using the inductive method (JEM, LCP), pp. 1824–1829.
SLESLE-2013-PearceG #framework #named #platform #research
Whiley: A Platform for Research in Software Verification (DJP, LG), pp. 238–248.
ASPLOSASPLOS-2013-CuiHWY #execution #symbolic computation #using
Verifying systems rules using rule-directed symbolic execution (HC, GH, JW, JY), pp. 329–342.
ASPLOSASPLOS-2013-MaiPXKM #invariant #security
Verifying security invariants in ExpressOS (HM, EP, HX, STK, PM), pp. 293–304.
CASECASE-2013-MohajeraniMF #automaton #composition #finite #using
Compositional nonblocking verification for extended finite-state automata using partial unfolding (SM, RM, MF), pp. 930–935.
DACDAC-2013-ChenWBA #random #reuse #simulation
Simulation knowledge extraction and reuse in constrained random processor verification (WC, LCW, JB, MSA), p. 6.
DACDAC-2013-Feng #grid #power management #scalability
Scalable vectorless power grid current integrity verification (ZF), p. 8.
DACDAC-2013-GoswamiLKSMCR #development #modelling
Model-based development and verification of control software for electric vehicles (DG, ML, MK, SS, AM, SC, SR), p. 9.
DACDAC-2013-LeGHD #simulation #using
Verifying SystemC using an intermediate verification language and symbolic simulation (HML, DG, VH, RD), p. 6.
DACDAC-2013-LinLM #analysis #hybrid #kernel #reachability
Verification of digitally-intensive analog circuits via kernel ridge regression and hybrid reachability analysis (HL, PL, CJM), p. 6.
DACDAC-2013-WuH #constraints #framework #multi #random #robust #set #theorem proving
A robust constraint solving framework for multiple constraint sets in constrained random verification (BHW, CY(H), p. 7.
DACDAC-2013-XiongW #abstraction #constraints #grid #power management
Constraint abstraction for vectorless power grid verification (XX, JW), p. 6.
DACDAC-2013-ZhangYWSX #hardware #named #trust
VeriTrust: verification for hardware trust (JZ, FY, LW, ZS, QX), p. 8.
DATEDATE-2013-AbdullaDRSZ #hybrid #liveness #memory management #safety #transaction
Verifying safety and liveness for the FlexTM hybrid transactional memory (PAA, SD, AR, AS, YZ), pp. 785–790.
DATEDATE-2013-AhmadyanKV #algorithm #incremental #runtime #using
Runtime verification of nonlinear analog circuits using incremental time-augmented RRT algorithm (SNA, JAK, SV), pp. 21–26.
DATEDATE-2013-FreitasRS #concurrent #consistency #memory management #on the fly
On-the-fly verification of memory consistency with concurrent relaxed scoreboards (LSF, EAR, LCVdS), pp. 631–636.
DATEDATE-2013-MillerB #parametricity #satisfiability
Formal verification of analog circuit parameters across variation utilizing SAT (MM, FB), pp. 1442–1447.
DATEDATE-2013-SeiterWSD #ocl #specification #uml
Determining relevant model elements for the verification of UML/OCL specifications (JS, RW, MS, RD), pp. 1189–1192.
DATEDATE-2013-WilleGSKD #modelling #towards
Towards a generic verification methodology for system models (RW, MG, MS, MK, RD), pp. 1193–1196.
HPCAHPCA-2013-BeuPHC #performance
High-speed formal verification of heterogeneous coherence hierarchies (JGB, JAP, ERH, TMC), pp. 566–577.
PDPPDP-2013-DrumlMSWGBH #behaviour #design #functional #performance
Emulation-Based Test and Verification of a Design’s Functional, Performance, Power, and Supply Voltage Behavior (ND, MM, CS, RW, AG, HB, JH), pp. 328–335.
PLOSPLOS-2013-KellerMAOCRKH #exclamation #file system
File systems deserve verification too! (GK, TCM, SA, LO, ZC, LR, GK, GH), p. 7.
PPoPPPPoPP-2013-BartheCKGM #relational #synthesis
From relational verification to SIMD loop synthesis (GB, JMC, SG, CK, MM), pp. 123–134.
SOSPSOSP-2013-BraunFRSBW
Verifying computations with state (BB, AJF, ZR, STVS, AJB, MW), pp. 341–357.
ESOPESOP-2013-AlglaveKNT #memory management #program transformation
Software Verification for Weak Memory via Program Transformation (JA, DK, VN, MT), pp. 512–532.
ESOPESOP-2013-BouajjaniEEH #concurrent #source code #specification
Verifying Concurrent Programs against Sequential Specifications (AB, ME, CE, JH), pp. 290–309.
ESOPESOP-2013-CollingbourneDKQ #analysis #gpu #kernel #semantics
Interleaving and Lock-Step Semantics for Analysis and Verification of GPU Kernels (PC, AFD, JK, SQ), pp. 270–289.
ESOPESOP-2013-GotsmanRY #algorithm #concurrent #memory management
Verifying Concurrent Memory Reclamation Algorithms with Grace (AG, NR, HY), pp. 249–269.
ESOPESOP-2013-KassiosK
A Discipline for Program Verification Based on Backpointers and Its Use in Observational Disjointness (ITK, EK), pp. 149–168.
FASEFASE-2013-GudemannPSD #framework #named
VerChor: A Framework for Verifying Choreographies (MG, PP, GS, AD), pp. 226–230.
FASEFASE-2013-NordioCF #javascript #named
Javanni: A Verifier for JavaScript (MN, CC, CAF), pp. 231–234.
FoSSaCSFoSSaCS-2013-MioS #composition #concurrent #probability #process #proving
A Proof System for Compositional Verification of Probabilistic Concurrent Processes (MM, AS), pp. 161–176.
TACASTACAS-2013-AbdullaHHJR #concurrent #data type #specification
An Integrated Specification and Verification Technique for Highly Concurrent Data Structures (PAA, FH, LH, BJ, AR), pp. 324–338.
TACASTACAS-2013-AlbarghouthiGLCC #abstract interpretation #contest #named
UFO: Verification with Interpolants and Abstract Interpretation — (Competition Contribution) (AA, AG, YL, SC, MC), pp. 637–640.
TACASTACAS-2013-Beyer #contest #summary
Second Competition on Software Verification — (Summary of SV-COMP 2013) (DB0), pp. 594–609.
TACASTACAS-2013-DudkaMPV #contest #low level #named
Predator: A Tool for Verification of Low-Level List Manipulation — (Competition Contribution) (KD, PM, PP, TV), pp. 627–629.
TACASTACAS-2013-GrigoreDPT #automaton #runtime
Runtime Verification Based on Register Automata (RG, DD, RLP, NT), pp. 260–276.
TACASTACAS-2013-PopeeaR #concurrent #contest #multi #named #source code #thread
Threader: A Verifier for Multi-threaded Programs — (Competition Contribution) (CP, AR), pp. 633–636.
CADECADE-2013-HahnleSB #reuse
Reuse in Software Verification by Abstract Method Calls (RH, IS, RB), pp. 300–314.
CADECADE-2013-HeuleHW
Verifying Refutations with Extended Resolution (MH, WAHJ, NW), pp. 345–359.
CADECADE-2013-Sofronie-Stokkermans #generative #hybrid #parametricity #reasoning
Hierarchical Reasoning and Model Generation for the Verification of Parametric Hybrid Systems (VSS), pp. 360–376.
CAVCAV-2013-BraibantC #hardware #synthesis
Formal Verification of Hardware Synthesis (TB, AC), pp. 213–228.
CAVCAV-2013-EsparzaGM
Parameterized Verification of Asynchronous Shared-Memory Systems (JE, PG, RM), pp. 124–140.
CAVCAV-2013-KongHSHG #generative #hybrid #safety
Exponential-Condition-Based Barrier Certificate Generation for Safety Verification of Hybrid Systems (HK, FH, XS, WNNH, MG), pp. 242–257.
CAVCAV-2013-ManciniMMMMT #model checking #simulation
System Level Formal Verification via Model Checking Driven Simulation (TM, FM, AM, IM, FM, ET), pp. 296–312.
CAVCAV-2013-PuggelliLSS #nondeterminism #polynomial
Polynomial-Time Verification of PCTL Properties of MDPs with Convex Uncertainties (AP, WL, ALSV, SAS), pp. 527–542.
CAVCAV-2013-RummerHK
Disjunctive Interpolants for Horn-Clause Verification (PR, HH, VK), pp. 347–363.
ICLPICLP-J-2013-GiordanoMSD #constraints #process #programming #set
Business process verification with constraint temporal answer set programming (LG, AM, MS, DTD), pp. 641–655.
ICSTICST-2013-KangKHKNSC #formal method #modelling
Formal Modeling and Verification of SDN-OpenFlow (MK, EYEK, DYH, BJK, KHN, MKS, JYC), pp. 481–482.
ISSTAISSTA-2013-Thum #contract #feature model #product line
Product-line verification with feature-oriented contracts (TT), pp. 374–377.
TAPTAP-2013-ArthoBS #modelling #testing
Model-Based Testing for Verification Back-Ends (CA, AB, MS), pp. 39–55.
TAPTAP-2013-BeckertB0 #metric #testing
A Metric for Testing Program Verification Systems (BB, TB, MW), pp. 56–75.
DRRDRR-2012-CoetzerSS #collaboration #human-computer #performance
Efficient cost-sensitive human-machine collaboration for offline signature verification (JC, JPS, RS).
DRRDRR-2012-NagyT #named #performance
VeriClick: an efficient tool for table format verification (GN, MT).
VLDBVLDB-2012-GoodrichNOPTTL #authentication #crawling #performance #web
Efficient Verification of Web-Content Searching Through Authenticated Web Crawlers (MTG, DN, OO, CP, RT, NT, CVL), pp. 920–931.
CSEETCSEET-2012-Virseda #algebra #data type #implementation #specification #testing
A Software Testing Tool for the Verification of Abstract Data Type Implementations from Formal Algebraic Specifications (RdVV), pp. 100–104.
CSMRCSMR-2012-CastrejonLV #architecture #maintenance #named #web
Web2MexADL: Discovery and Maintainability Verification of Software Systems Architecture (JCC, RL, GVS), pp. 531–534.
MSRMSR-2012-SouzaC #debugging #ide #open source
Characterizing verification of bug fixes in two open source IDEs (RS, CC), pp. 70–73.
SCAMSCAM-2012-Vidal #execution #symbolic computation #termination
Closed Symbolic Execution for Verifying Program Termination (GV), pp. 34–43.
FMFM-2012-ChristakisMW #collaboration #testing
Collaborative Verification and Testing with Explicit Assumptions (MC, PM, VW), pp. 132–146.
FMFM-2012-HojjatKGIKR #tool support
A Verification Toolkit for Numerical Transition Systems — Tool Paper (HH, FK, FG, RI, VK, PR), pp. 247–251.
FMFM-2012-JohnsonGMDE #case study #hybrid
Satellite Rendezvous and Conjunction Avoidance: Case Studies in Verification of Nonlinear Hybrid Systems (TTJ, JG, SM, RD, RSE), pp. 252–266.
FMFM-2012-LinLSDA #automation #composition
Automatic Compositional Verification of Timed Systems (SWL, YL, JS, JSD, ÉA), pp. 272–276.
IFMIFM-2012-BlackmoreHBER #automation #generative #simulation
Analysing and Closing Simulation Coverage by Automatic Generation and Verification of Formal Properties from Coverage Reports (TB, DH, PB, KE, NR), pp. 84–98.
IFMIFM-2012-CalderS #algebra #case study #network #process #runtime
Process Algebra for Event-Driven Runtime Verification: A Case Study of Wireless Network Management (MC, MS), pp. 21–23.
IFMIFM-2012-NgoTGGB #compilation #equation
Formal Verification of Compiler Transformations on Polychronous Equations (VCN, JPT, TG, PLG, LB), pp. 113–127.
IFMIFM-2012-RochaCMS #execution #interactive
A Formal Interactive Verification Environment for the Plan Execution Interchange Language (CR, HC, CAM, RS), pp. 343–357.
IFMIFM-2012-TarasyukTL #formal method #modelling #probability
Formal Modelling and Verification of Service-Oriented Systems in Probabilistic Event-B (AT, ET, LL), pp. 237–252.
RTARTA-2012-Lisitsa #automaton #finite #modelling #safety
Finite Models vs Tree Automata in Safety Verification (AL), pp. 225–239.
SEFMSEFM-2012-BicknellRBCS #approach #using
A Practical Approach for Closed Systems Formal Verification Using Event-B (BB, JR, MJB, JC, CFS), pp. 323–332.
SEFMSEFM-2012-ColomboFMP #bound #configuration management #monitoring #named #resource management #runtime
polyLarva: Runtime Verification with Configurable Resource-Aware Monitoring Boundaries (CC, AF, RM, GJP), pp. 218–232.
SEFMSEFM-2012-PaulSS #assembly #automation
Completing the Automated Verification of a Small Hypervisor — Assembler Code Verification (WJP, SS, AS), pp. 188–202.
SEFMSEFM-2012-ZhangKJ #composition
Verification of Aspectual Composition in Feature-Modeling (QZ, RK, JJ), pp. 109–125.
SEFMSEFM-2012-ZhuXMQQ #approach #concurrent #source code
The Rely/Guarantee Approach to Verifying Concurrent BPEL Programs (HZ, QX, CM, SQ, ZQ), pp. 172–187.
FLOPSFLOPS-2012-Terauchi #automation #functional #higher-order #source code
Automated Verification of Higher-Order Functional Programs (TT), p. 2.
ICFPICFP-2012-Huffman #monad
Formal verification of monad transformers (BH), pp. 15–16.
ICGTICGT-2012-GieseL #automation #behaviour #invariant #model transformation #towards
Towards Automatic Verification of Behavior Preservation for Model Transformation via Invariant Checking (HG, LL), pp. 249–263.
ICGTICGT-2012-Poskitt #graph #source code
Verification of Graph Programs (CMP), pp. 420–422.
ICGTICGT-2012-Vandin #specification
Specification and Verification of Modal Properties for Structured Systems (AV), pp. 423–425.
CAiSECAiSE-2012-Razo-ZapataLGA #fuzzy #network
Fuzzy Verification of Service Value Networks (ISRZ, PDL, JG, HA), pp. 95–110.
ICEISICEIS-v2-2012-CapelM #approach #automation #composition #correctness #model checking #safety
A Formal Compositional Verification Approach for Safety-Critical Systems Correctness — Model-Checking based Methodological Approach to Automatically Verify Safety Critical Systems Software (MIC, LEMM), pp. 105–112.
ICPRICPR-2012-KennardBS #2d #approach #detection #geometry #using
Offline signature verification and forgery detection using a 2-D geometric warping approach (DJK, WAB, TWS), pp. 3733–3736.
ICPRICPR-2012-MaoYLZ #classification #invariant
Age-invariant face verification based on Local Classifier Ensemble (XJM, YBY, NL, YZ), pp. 2408–2411.
ICPRICPR-2012-NgC #using
Face verification using temporal affective cues (ESN, AYSC), pp. 1249–1252.
ICPRICPR-2012-StrucZP #normalisation #parametricity
Non-parametric score normalization for biometric verification systems (VS, JZG, NP), pp. 2395–2399.
ICPRICPR-2012-XiaSF #towards #using #visual notation
Toward kinship verification using visual attributes (SX, MS, YF), pp. 549–552.
KRKR-2012-BelardinelliLP #abstraction
An Abstraction Technique for the Verification of Artifact-Centric Systems (FB, AL, FP).
KRKR-2012-GiacomoLP #bound #calculus #decidability
Bounded Situation Calculus Action Theories and Decidable Verification (GDG, YL, FP).
KRKR-2012-HaufeT #automation #game studies
Automated Verification of Epistemic Properties for General Game Playing (SH, MT).
SEKESEKE-2012-BouchenebB #parametricity #workflow
Parametric Verification of TimeWorkflow Nets (HB, KB), pp. 375–380.
SEKESEKE-2012-CuiWLBZL #aspect-oriented #diagrams #petri net #process
Verifying Aspect-Oriented Activity Diagrams Against Crosscutting Properties with Petri Net Analyzer (ZC, LW, XL, LB, JZ, XL), pp. 369–374.
SEKESEKE-2012-DuttaUA #analysis #approach #automation #semantics
Requirement Analysis and Automated Verification: A Semantic Approach (AD, PDU, SA), pp. 51–54.
SEKESEKE-2012-LiLCZJZ #adaptation #continuation #self
A HybridUML and QdL Based Verification Method for CPS Self-Adaptability (JL, BL, QC, MZ, SJ, XZ), pp. 239–242.
SEKESEKE-2012-ShenHTGZ #feature model #logic #modelling
Feature modeling and Verification based on Description Logics (GS, ZH, CT, QG, WZ), pp. 422–425.
SEKESEKE-2012-ZhaiLZLCJ #algebra #cyber-physical #logic
Verification of Cyber-Physical Systems Based on Differential-Algebraic Temporal Dynamic Logic (XZ, BL, MZ, JL, QC, SJ), pp. 231–234.
SEKESEKE-2012-ZhuLLCZJ #continuation #difference #logic #using
HybridUML Based Verification of CPS Using Differential Dynamic Logic (MZ, BL, JL, QC, XZ, SJ), pp. 235–238.
ECMFAECMFA-2012-GeP #framework #realtime #safety #uml
Time Properties Verification Framework for UML-MARTE Safety Critical Real-Time Systems (NG, MP), pp. 352–367.
ECMFAECMFA-2012-RadjenovicMPRMBK #automation #embedded #modelling #named #uml
MADES: A Tool Chain for Automated Verification of UML Models of Embedded Systems (AR, NDM, RFP, MR, AM, LB, DSK), pp. 340–351.
MODELSMoDELS-2012-ButtnerEC #atl #off the shelf #on the #smt #using
On Verifying ATL Transformations Using “off-the-shelf” SMT Solvers (FB, ME, JC), pp. 432–448.
SPLCSPLC-2012-CordySHL #behaviour #modelling #product line #realtime
Behavioural modelling and verification of real-time software product lines (MC, PYS, PH, AL), pp. 66–75.
ECOOPECOOP-2012-DiasDSL #java #memory management #source code #transaction
Verification of Snapshot Isolation in Transactional Memory Java Programs (RJD, DD, JCS, JL), pp. 640–664.
OOPSLAOOPSLA-2012-BettsCDQT #gpu #kernel #named
GPUVerify: a verifier for GPU kernels (AB, NC, AFD, SQ, PT), pp. 113–132.
TOOLSTOOLS-EUROPE-2012-MehnertA #type system #using
Verification of Snapshotable Trees Using Access Permissions and Typestate (HM, JA), pp. 187–201.
AdaEuropeAdaEurope-2012-FairbairnB #implementation
Implementing and Verifying EDF Preemption-Level Resource Control (MLF, AB), pp. 193–206.
HILTHILT-2012-BeltCHR #ada #automation #contract #using
Leading-edge Ada verification technologies: highly automated Ada contract checking using bakar kiasan (JB, PC, JH, R), pp. 3–4.
HILTHILT-2012-Kanig #ada #testing
Leading-edge ada verification technologies: combining testing and verification with GNATTest and GNATProve — the hi-lite project (JK), pp. 5–6.
HILTHILT-2012-KanigSD #compilation #convergence #named
Hi-Lite: the convergence of compiler technology and program verification (JK, ES, CD), pp. 27–34.
HILTHILT-2012-Leino12a #proving #using #why
Program proving using intermediate verification languages (IVLs) like boogie and why3 (KRML), pp. 25–26.
LOPSTRLOPSTR-2012-SeghirB #array #program transformation #quantifier
Simplifying the Verification of Quantified Array Assertions via Code Transformation (MNS, MB), pp. 194–212.
PEPMPEPM-2012-Berger #metaprogramming #specification
Specification and verification of meta-programs (MB), pp. 3–4.
PLDIPLDI-2012-GrebenshchikovLPR #proving
Synthesizing software verifiers from proof rules (SG, NPL, CP, AR), pp. 405–416.
PLDIPLDI-2012-LeungGAGJL #gpu #kernel
Verifying GPU kernels by test amplification (AL, MG, YA, RG, RJ, SL), pp. 383–394.
POPLPOPL-2012-FarzanK #composition #concurrent #reasoning #source code
Verification of parameterized concurrent programs by modular reasoning about data and control (AF, ZK), pp. 297–308.
POPLPOPL-2012-LiangFF #concurrent #program transformation #simulation
A rely-guarantee-based simulation for verifying concurrent program transformations (HL, XF, MF), pp. 455–468.
PPDPPPDP-2012-OlartePRC #approach #automation #concurrent #constraints #linear
A linear concurrent constraint approach for the automatic verification of access permissions (CO, EP, CR, NC), pp. 207–216.
QAPLQAPL-2012-BelardinelliGL #automation #protocol #quantum #using
Automated Verification of Quantum Protocols using MCMAS (FB, PG, AL), pp. 48–62.
ASEASE-2012-BiallasBK #framework #logic #platform #programmable
Arcade.PLC: a verification platform for programmable logic controllers (SB, JB, SK), pp. 338–341.
ASEASE-2012-Gabmeyer #model transformation
Formal verification techniques for model transformations specified by-demonstration (SG), pp. 390–393.
ASEASE-2012-NijjarB #bound #smt #using
Unbounded data model verification using SMT solvers (JN, TB), pp. 210–219.
FSEFSE-2012-BeyerHKW #model checking
Conditional model checking: a technique to pass information between verifiers (DB, TAH, MEK, PW), p. 57.
FSEFSE-2012-NearJ #bound #named #web
Rubicon: bounded verification of web applications (JPN, DJ), p. 60.
FSEFSE-2012-ShaikhW #diagrams #ocl #performance #slicing #uml
UMLtoCSP (UOST): a tool for efficient verification of UML/OCL class diagrams through model slicing (AS, UKW), p. 37.
ICSEICSE-2012-AlkhalafBG #analysis #string #using #validation
Verifying client-side input validation functions using string analysis (MA, TB, JLG), pp. 947–957.
ICSEICSE-2012-AndronickJKKSZZ #perspective #process #scalability
Large-scale formal verification in practice: A process perspective (JA, DRJ, GK, RK, MS, HZ, LZ), pp. 1002–1011.
ICSEICSE-2012-CookHSS #compilation #composition #specification #using
Specification engineering and modular verification using a web-integrated verifying compiler (CTC, HKH, HS, MS), pp. 1379–1382.
ICSEICSE-2012-LutzLLKHMS #programmable #requirements #self
Engineering and verifying requirements for programmable self-assembling nanomachines (RRL, JHL, JIL, TK, EH, DM, DAS), pp. 1361–1364.
ICSEICSE-2012-Motta #modelling #multi #towards #uml
Towards the verification of multi-diagram UML models (AM), pp. 1531–1534.
SACSAC-2012-AtifMO #detection
Formal verification of Unreliable Failure Detectors in Partially Synchronous Systems (MA, MRM, AO), pp. 478–485.
SACSAC-2012-ChebaroKGJ #dynamic analysis #slicing
Program slicing enhances a verification technique combining static and dynamic analysis (OC, NK, AG, JJ), pp. 1284–1291.
SACSAC-2012-CruzFP #source code
Verification conditions for single-assignment programs (DCdC, MJF, JSP), pp. 1264–1270.
SACSAC-2012-LassaigneP #approximate #markov #process #scalability
Approximate planning and verification for large markov decision processes (RL, SP), pp. 1314–1319.
SACSAC-2012-SalaunEPBC #distributed #in the cloud #protocol #self
Verification of a self-configuration protocol for distributed applications in the cloud (GS, XE, NDP, FB, TC), pp. 1278–1283.
GPCEGPCE-2012-ThumSHA #deduction #product line
Family-based deductive verification of software product lines (TT, IS, MH, SA), pp. 11–20.
CASECASE-2012-KlotzSSFTS #on the
On the formal verification of routing in material handling systems (TK, NS, BS, EF, KT, JS), pp. 8–13.
CASECASE-2012-WimbockRC #coordination
Derivation and verification of synergy coordinates for the DLR hand arm system (TW, JR, MC), pp. 454–460.
DACDAC-2012-AbhishekN #grid #incremental #power management
Incremental power grid verification (A, FNN), pp. 151–156.
DACDAC-2012-KumarGCALT #approach #cyber-physical #hybrid
A hybrid approach to cyber-physical systems verification (PK, DG, SC, AA, KL, LT), pp. 688–696.
DACDAC-2012-LiSJ #crowdsourcing #named #towards
CrowdMine: towards crowdsourced human-assisted verification (WL, SAS, SJ), pp. 1254–1255.
DACDAC-2012-Seshia #deduction #induction #named #synthesis
Sciduction: combining induction, deduction, and structure for verification and synthesis (SAS), pp. 356–365.
DACDAC-2012-UrdahlSWK #abstraction #composition #concurrent
System verification of concurrent RTL modules by compositional path predicate abstraction (JU, DS, MW, WK), pp. 334–343.
DATEDATE-2012-Al-HashimiM #framework #hardware #platform #question
Accelerators and emulators: Can they become the platform of choice for hardware verification? (BMAH, RM), p. 430.
DATEDATE-2012-BeckerDFMPV #embedded #evolution #modelling #named #scalability
MOUSSE: Scaling modelling and verification to complex Heterogeneous Embedded Systems evolution (MB, GBD, FF, WM, GP, SV), pp. 296–299.
DATEDATE-2012-BombieriFG #fault #framework #functional #named #simulation
FAST-GP: An RTL functional verification framework based on fault simulation on GP-GPUs (NB, FF, VG), pp. 562–565.
DATEDATE-2012-DenizSH #embedded #manycore
Verification coverage of embedded multicore applications (ED, AS, JH), pp. 252–255.
DATEDATE-2012-GuglielmoGFP #design #embedded #modelling
Enabling dynamic assertion-based verification of embedded software through model-driven design (GDG, LDG, FF, GP), pp. 212–217.
DATEDATE-2012-HaedickeGD #metric
A guiding coverage metric for formal verification (FH, DG, RD), pp. 617–622.
DATEDATE-2012-HammamiLB #named #network
NOCEVE: Network on chip emulation and verification environment (OH, XL, JMB), pp. 163–164.
DATEDATE-2012-JongheMGMTS #modelling #roadmap #testing
Advances in variation-aware modeling, verification, and testing of analog ICs (DdJ, EM, GGEG, TM, BT, HGDS), pp. 1615–1620.
DATEDATE-2012-LvKE #multi #performance #reduction
Efficient Gröbner basis reductions for formal verification of galois field multipliers (JL, PK, FE), pp. 899–904.
DATEDATE-2012-MarinMLB #design #incremental #using
Verification of partial designs using incremental QBF solving (PM, CM, MDTL, BB), pp. 623–628.
DATEDATE-2012-NarayananDZT #design #using
Verifying jitter in an analog and mixed signal design using dynamic time warping (RN, AD, MHZ, ST), pp. 1413–1416.
DATEDATE-2012-RajeevMR #architecture #constraints #distributed #embedded
Verifying timing synchronization constraints in distributed embedded architectures (ACR, SM, SR), pp. 200–205.
DATEDATE-2012-RamboHS #consistency #memory management #multi #on the
On ESL verification of memory consistency for system-on-chip multiprocessing (EAR, OPH, LCVdS), pp. 9–14.
DATEDATE-2012-RayB #scalability
Scalable progress verification in credit-based flow-control systems (SR, RKB), pp. 905–910.
DATEDATE-2012-YipHI #3d #challenge #design
Challenges in verifying an integrated 3D design (TGY, CYH, VI), pp. 167–168.
DATEDATE-2012-YordanovAGGCBHBD #biology
Experimentally driven verification of synthetic biological circuits (BY, EA, RG, EAG, SBC, SB, TH, CB, DD), pp. 236–241.
PPoPPPPoPP-2012-LiLSGGR #generative #named #testing
GKLEE: concolic verification and test generation for GPUs (GL, PL, GS, GG, IG, SPR), pp. 215–224.
PPoPPPPoPP-2012-MalkisB
Verification of software barriers (AM, AB), pp. 313–314.
ESOPESOP-2012-ChadhaCK #automation #encryption #equivalence #protocol
Automated Verification of Equivalence Properties of Cryptographic Protocols (RC, SC, SK), pp. 108–127.
FASEFASE-2012-HatvaniPS #adaptation #automaton #embedded #framework
Adaptive Task Automata: A Framework for Verifying Adaptive Embedded Systems (LH, PP, CCS), pp. 115–129.
TACASTACAS-2012-BaslerDKKTW #c #contest #named #source code
satabs: A Bit-Precise Verifier for C Programs — (Competition Contribution) (GB, AFD, AK, DK, MT, TW), pp. 552–555.
TACASTACAS-2012-Beyer #contest
Competition on Software Verification — (SV-COMP) (DB0), pp. 504–524.
TACASTACAS-2012-ChenFKPS #automation #probability
Automatic Verification of Competitive Stochastic Systems (TC, VF, MZK, DP, AS), pp. 315–330.
TACASTACAS-2012-CoxSC #bound #precise
A Bit Too Precise? Bounded Verification of Quantized Digital Filters (AC, SS, BYEC), pp. 33–47.
TACASTACAS-2012-DudkaMPV #contest #data type #linked data #named #open data #source code
Predator: A Verification Tool for Programs with Dynamic Linked Data Structures — (Competition Contribution) (KD, PM, PP, TV), pp. 545–548.
TACASTACAS-2012-GrebenshchikovGLPR #contest #horn clause
HSF(C): A Software Verifier Based on Horn Clauses — (Competition Contribution) (SG, AG, NPL, CP, AR), pp. 549–551.
TACASTACAS-2012-HardinSWP
The Guardol Language and Verification System (DSH, KS, MWW, THP), pp. 18–32.
TACASTACAS-2012-HeussnerGS #communication #framework #named
McScM: A General Framework for the Verification of Communicating Machines (AH, TLG, GS), pp. 478–484.
TACASTACAS-2012-HolzlN #model checking
Verifying pCTL Model Checking (JH, TN), pp. 347–361.
TACASTACAS-2012-JiangPMAM #modelling
Modeling and Verification of a Dual Chamber Implantable Pacemaker (ZJ, MP, SM, RA, RM), pp. 188–203.
TACASTACAS-2012-YehWH #design #framework #named #open source #synthesis #towards
QuteRTL: Towards an Open Source Framework for RTL Design Synthesis and Verification (HHY, CYW, CY(H), pp. 377–391.
CAVCAV-2012-AlbarghouthiLGC #framework #named
Ufo: A Framework for Abstraction- and Interpolation-Based Software Verification (AA, YL, AG, MC), pp. 672–678.
CAVCAV-2012-Bradley #incremental #induction
IC3 and beyond: Incremental, Inductive Verification (ARB), p. 4.
CAVCAV-2012-ChuJ #reduction #safety #symmetry
A Complete Method for Symmetry Reduction in Safety Verification (DHC, JJ), pp. 616–633.
CAVCAV-2012-CimattiCLNRRST #industrial #validation
Formal Verification and Validation of ERTMS Industrial Railway Train Spacing System (AC, RC, AL, IN, TR, MR, AS, AT), pp. 378–393.
CAVCAV-2012-JaffarMNS #execution #named #symbolic computation
TRACER: A Symbolic Execution Tool for Verification (JJ, VM, JAN, AES), pp. 758–766.
CAVCAV-2012-Moskal #bound #c #infinity
From C to Infinity and Back: Unbounded Auto-active Verification with VCC (MM), p. 6.
CAVCAV-2012-Myers #search-based
Formal Verification of Genetic Circuits (CJM), p. 5.
CAVCAV-2012-RondonBKJ #c #named
CSolve: Verifying C with Liquid Types (PMR, AB, MK, RJ), pp. 744–750.
ICSTICST-2012-AmraniLSCDVTC #approach #model transformation
A Tridimensional Approach for Studying the Formal Verification of Model Transformations (MA, LL, GMKS, BC, JD, HV, YLT, JRC), pp. 921–928.
ICSTICST-2012-LiuNT #bound #case study #smt #using
Bounded Program Verification Using an SMT Solver: A Case Study (TL, MN, MT), pp. 101–110.
ICSTICST-2012-SalayCG #towards
Towards a Methodology for Verifying Partial Model Refinements (RS, MC, JG), pp. 938–945.
ICSTICST-2012-TranP #framework #graph transformation #towards
Towards a Rule-Level Verification Framework for Property-Preserving Graph Transformations (HNT, CP), pp. 946–953.
IJCARIJCAR-2012-BoerBR #automation #pointer #recursion #source code
Automated Verification of Recursive Programs with Pointers (FSdB, MMB, JR), pp. 149–163.
IJCARIJCAR-2012-JacquelBDD #automation #deduction #modulo theories #proving #theorem proving #using
Tableaux Modulo Theories Using Superdeduction — An Application to the Verification of B Proof Rules with the Zenon Automated Theorem Prover (MJ, KB, DD, CD), pp. 332–338.
LICSLICS-2012-AgrawalAGT #approximate #markov
Approximate Verification of the Symbolic Dynamics of Markov Chains (MA, SA, BG, PST), pp. 55–64.
LICSLICS-2012-EsparzaGM #bound
A Perfect Model for Bounded Verification (JE, PG, RM), pp. 285–294.
SMTSMT-2012-BjornerMR #modulo theories #satisfiability
Program Verification as Satisfiability Modulo Theories (NB, KLM, AR), pp. 3–11.
SMTSMT-2012-GoelKLT #smt
SMT-Based System Verification with DVF (AG, SK, RL, MRT), pp. 32–43.
TAPTAP-2012-KiniryZH #consistency #library #specification #testing
Testing Library Specifications by Verifying Conformance Tests (JRK, DMZ, RH), pp. 51–66.
VMCAIVMCAI-2012-AlbarghouthiGC #algorithm #interprocedural #named
Whale: An Interpolation-Based Algorithm for Inter-procedural Verification (AA, AG, MC), pp. 39–55.
VMCAIVMCAI-2012-BasuBO #communication
Synchronizability for Verification of Asynchronously Communicating Systems (SB, TB, MO), pp. 56–71.
VMCAIVMCAI-2012-BozzelliP #abstraction #constraints
Verification of Gap-Order Constraint Abstractions of Counter Systems (LB, SP), pp. 88–103.
VMCAIVMCAI-2012-ChakiGS #concurrent #multi #source code #thread
Regression Verification for Multi-threaded Programs (SC, AG, OS), pp. 119–135.
VMCAIVMCAI-2012-CharltonHR #higher-order #named #source code
Crowfoot: A Verifier for Higher-Order Store Programs (NC, BH, BR), pp. 136–151.
VMCAIVMCAI-2012-Jhala
Software Verification with Liquid Types (RJ), p. 23.
VMCAIVMCAI-2012-NamjoshiT #composition #symmetry
Local Symmetry and Compositional Verification (KSN, RJT), pp. 348–362.
ECSAECSA-2011-HamelGKBG #behaviour #transaction
Verifying Composite Service Transactional Behavior with EVENT-B (LH, MG, MK, MTB, WG), pp. 67–74.
ECSAECSA-2011-JohnsenPL #architecture #specification
An Architecture-Based Verification Technique for AADL Specifications (AJ, PP, KL), pp. 105–113.
ICDARICDAR-2011-BeusekomS #automation #documentation #metric
Distortion Measurement for Automatic Document Verification (JvB, FS), pp. 289–293.
ICDARICDAR-2011-LiwickiMHCBSBF #contest #online
Signature Verification Competition for Online and Offline Skilled Forgeries (SigComp2011) (ML, MIM, CEvdH, XC, CB, RS, MB, BF), pp. 1480–1484.
ICDARICDAR-2011-NguyenB #2d #feature model
An Application of the 2D Gaussian Filter for Enhancing Feature Extraction in Off-line Signature Verification (VN, MB), pp. 339–343.
ICDARICDAR-2011-NobileHSLS
Digit/Symbol Pruning and Verification for Arabic Handwritten Digit/Symbol Spotting (NN, CLH, MWS, LL, CYS), pp. 648–652.
ICDARICDAR-2011-PanZSN11a #adaptation #detection #segmentation
Improving Scene Text Detection by Scale-Adaptive Segmentation and Weighted CRF Verification (YFP, YZ, JS, SN), pp. 759–763.
ICDARICDAR-2011-ParodiGB #approach #feature model #invariant
A Circular Grid-Based Rotation Invariant Feature Extraction Approach for Off-line Signature Verification (MP, JCG, AB), pp. 1289–1293.
ICDARICDAR-2011-WangWZ #graph #online #using
On-line Signature Verification Using Segment-to-Segment Graph Matching (KW, YW, ZZ), pp. 804–808.
VLDBVLDB-2012-CormodeTY11 #interactive #proving #streaming
Verifying Computations with Streaming Interactive Proofs (GC, JT, KY), pp. 25–36.
CSEETCSEET-2011-LiB #education #process #re-engineering #research #validation
Making winners for both education and research: Verification and validation process improvement practice in a software engineering course (QL, BWB), pp. 304–313.
ITiCSEITiCSE-2011-VirsedaM #debugging #education #semantics #source code
An innovative teaching tool based on semantic tableaux for verification and debugging of programs (RdVV, FPM), p. 352.
CIAACIAA-J-2010-YuBI11 #automaton #multi #relational #string #using
Relational String Verification Using Multi-Track Automata (FY, TB, OHI), pp. 1909–1924.
FMFM-2011-BartheBCL
Formally Verifying Isolation and Availability in an Idealized Model of Virtualization (GB, GB, JDC, CL), pp. 231–245.
FMFM-2011-BartheCK #relational #source code #using
Relational Verification Using Product Programs (GB, JMC, CK), pp. 200–214.
FMFM-2011-BonakdarpourNF #runtime
Sampling-Based Runtime Verification (BB, SN, SF), pp. 88–102.
FMFM-2011-BoyerGS #configuration management #protocol #specification
Specifying and Verifying the SYNERGY Reconfiguration Protocol with LOTOS NT and CADP (FB, OG, GS), pp. 103–117.
FMFM-2011-DerrickSW
Verifying Linearisability with Potential Linearisation Points (JD, GS, HW), pp. 323–337.
FMFM-2011-DietschWP
System Verification through Program Verification (DD, BW, AP), pp. 27–41.
FMFM-2011-GherghinaDQC #source code #specification
Structured Specifications for Better Verification of Heap-Manipulating Programs (CG, CD, SQ, WNC), pp. 386–401.
FMFM-2011-HaxthausenKB #automation #development #modelling
Formal Development of a Tool for Automated Modelling and Verification of Relay Interlocking Systems (AEH, AAK, MLB), pp. 118–132.
FMFM-2011-JacobsSP
Verification of Unloadable Modules (BJ, JS, FP), pp. 402–416.
FMFM-2011-MeryMT #algorithm
Refinement-Based Verification of Local Synchronization Algorithms (DM, MM, MT), pp. 338–352.
FMFM-2011-MullerR #using
Using Debuggers to Understand Failed Verification Attempts (PM, JNR), pp. 73–87.
FMFM-2011-QinLCH #automation #specification
Automatically Refining Partial Specifications for Program Verification (SQ, CL, WNC, GH), pp. 369–385.
SEFMSEFM-2011-BlechB #coq #semantics
Verification of PLC Properties Based on Formal Semantics in Coq (JOB, SOB), pp. 58–73.
SEFMSEFM-2011-BubelHG #formal method #java #specification #string
A Formalisation of Java Strings for Program Specification and Verification (RB, RH, UG), pp. 90–105.
SEFMSEFM-2011-CastroKAA #branch #fault tolerance #logic #named
dCTL: A Branching Time Temporal Logic for Fault-Tolerant System Verification (PFC, CK, AA, NA), pp. 106–121.
SEFMSEFM-2011-ErnstSR #analysis #empirical #interactive #proving #theorem proving
Verification of B + Trees: An Experiment Combining Shape Analysis and Interactive Theorem Proving (GE, GS, WR), pp. 188–203.
SEFMSEFM-2011-FalconeJNBB #component #runtime
Runtime Verification of Component-Based Systems (YF, MJ, THN, MB, SB), pp. 204–220.
SEFMSEFM-2011-GouesLM #debugging
The Boogie Verification Debugger (CLG, KRML, MM), pp. 407–414.
SEFMSEFM-2011-JacquelBDD #automation #proving #theorem proving #using
Verifying B Proof Rules Using Deep Embedding and Automated Theorem Proving (MJ, KB, DD, CD), pp. 253–268.
SEFMSEFM-2011-ParrinoGGF #analysis #bound #data flow #satisfiability
A Dataflow Analysis to Improve SAT-Based Bounded Program Verification (BCP, JPG, DG, MFF), pp. 138–154.
SEFMSEFM-2011-SoleimanifardGH #composition #named #safety
ProMoVer: Modular Verification of Temporal Safety Properties (SS, DG, MH), pp. 366–381.
SEFMSEFM-2011-TschannenFNM #object-oriented #source code
Usable Verification of Object-Oriented Programs by Combining Static and Dynamic Techniques (JT, CAF, MN, BM), pp. 382–398.
SFMSFM-2011-BaierKK #component #modelling
Modeling and Verification of Components and Connectors (CB, JK, SK), pp. 114–147.
SFMSFM-2011-ForejtKNP #automation #probability
Automated Verification Techniques for Probabilistic Systems (VF, MZK, GN, DP), pp. 53–113.
ICFPICFP-2011-Chargueraud #imperative #source code
Characteristic formulae for the verification of imperative programs (AC), pp. 418–430.
ICFPICFP-2011-GotsmanY #composition #kernel
Modular verification of preemptive OS kernels (AG, HY), pp. 404–417.
IFLIFL-2011-Page #testing
Property-Based Testing and Verification: A Catalog of Classroom Examples (RP), pp. 134–147.
HCIHCI-ITE-2011-LinD #modelling
Verification of Two Models of Ballistic Movements (JFL, CGD), pp. 275–284.
HCIHCI-MIIE-2011-RiahiRM #generative #human-computer #mobile #specification #xml
XML in Formal Specification, Verification and Generation of Mobile HCI (IR, MR, FM), pp. 92–100.
ICEISICEIS-v3-2011-LinLMZN #approach #workflow
Approach for Verifying Workflow Validity (YL, TL, IM, RZ, RN), pp. 66–75.
KEODKEOD-2011-KhuratS #approach #ontology #policy
An Ontological Approach to Verifying P3P Policies (AK, BS), pp. 349–353.
SEKESEKE-2011-DengLSW #api #constraints #runtime #specification
Specification and Runtime Verification of API Constraints on Interacting Objects (FD, HL, JS, QW), pp. 101–106.
ECMFAECMFA-2011-CariouBFB #contract #execution
Contracts for Model Execution Verification (EC, CB, AF, FB), pp. 3–18.
ECMFAECMFA-2011-ElaasarBL #qvt
Domain-Specific Model Verification with QVT (ME, LCB, YL), pp. 282–298.
ECMFAECMFA-2011-JurjensMOS #evolution #incremental #modelling #security
Incremental Security Verification for Evolving UMLsec models (JJ, LM, MO, HS), pp. 52–68.
MODELSMoDELS-2011-MoffettBD #consistency #model checking #protocol #uml #using
Verifying UML-RT Protocol Conformance Using Model Checking (YM, AB, JD), pp. 410–424.
MODELSMoDELS-2011-StenzelMR #code generation #qvt
Formal Verification of QVT Transformations for Code Generation (KS, NM, WR), pp. 533–547.
SPLCSPLC-2011-GhezziS #approach #model checking #non-functional #parametricity #performance #product line #towards #using
Verifying Non-functional Properties of Software Product Lines: Towards an Efficient Approach Using Parametric Model Checking (CG, AMS), pp. 170–174.
ECOOPECOOP-2011-BalzerG #invariant #multi
Verifying Multi-object Invariants with Relationships (SB, TRG), pp. 358–382.
OnwardOnward-2011-Bierhoff #automation #lightweight #reasoning
Automated program verification made SYMPLAR: symbolic permissions for lightweight automated reasoning (KB), pp. 19–32.
OOPSLAOOPSLA-2011-DavidC #precise #specification
Immutable specifications for more concise and precise verification (CD, WNC), pp. 359–374.
OOPSLAOOPSLA-2011-MadhavanK #analysis #approximate #null
Null dereference verification via over-approximated weakest pre-conditions analysis (RM, RK), pp. 1033–1052.
AdaEuropeAdaEurope-2011-CarnevaliLPV #approach #design #formal method #scheduling
A Formal Approach to Design and Verification of Two-Level Hierarchical Scheduling Systems (LC, GL, AP, EV), pp. 118–131.
PLDIPLDI-2011-Chlipala #logic #low level #source code
Mostly-automated verification of low-level programs in computational separation logic (AC), pp. 234–245.
PLDIPLDI-2011-KimR #commutative #data type #linked data #open data #semantics
Verification of semantic commutativity conditions and inverse operations on linked data structures (DK, MCR), pp. 528–541.
POPLPOPL-2011-AlurC #algorithm #source code #streaming #transducer
Streaming transducers for algorithmic verification of single-pass list-processing programs (RA, PC), pp. 599–610.
POPLPOPL-2011-EsparzaG #complexity #parallel #source code #thread
Complexity of pattern-based verification for multithreaded programs (JE, PG), pp. 499–510.
POPLPOPL-2011-GordonHHJS #concurrent
Robin Milner 1934--2010: verification, languages, and concurrency (ADG, RH, JH, AJ, PS), pp. 473–474.
POPLPOPL-2011-GuptaPR #abstraction #concurrent #multi #refinement #source code #thread
Predicate abstraction and refinement for verifying multi-threaded programs (AG, CP, AR), pp. 331–344.
POPLPOPL-2011-OngR #algebra #data type #functional #higher-order #pattern matching #source code
Verifying higher-order functional programs with pattern-matching algebraic data types (CHLO, SJR), pp. 587–598.
POPLPOPL-2011-RamananandroRL #c++ #inheritance #layout #multi
Formal verification of object layout for c++ multiple inheritance (TR, GDR, XL), pp. 67–80.
PPDPPPDP-2011-InabaHHKN #higher-order #logic #monad #using
Graph-transformation verification using monadic second-order logic (KI, SH, ZH, HK, KN), pp. 17–28.
PPDPPPDP-2011-Rybalchenko #automation #synthesis #tool support #towards
Towards automatic synthesis of software verification tools (AR), pp. 3–4.
SASSAS-2011-DonaldsonHKR #using
Software Verification Using k-Induction (AFD, LH, DK, PR), pp. 351–368.
SASSAS-2011-SchrammelJ #data flow #source code
Logico-Numerical Abstract Acceleration and Application to the Verification of Data-Flow Programs (PS, BJ), pp. 233–248.
SASSAS-2011-VafeiadisN #optimisation
Verifying Fence Elimination Optimisations (VV, FZN), pp. 146–162.
ASEASE-2011-ApelSWRB #detection #feature model #interactive #using
Detection of feature interactions using feature-aware verification (SA, HS, PW, AvR, DB), pp. 372–375.
ASEASE-2011-IvancicBGSMTIM #bound #framework #named #scalability
DC2: A framework for scalable, scope-bounded software verification (FI, GB, AG, SS, NM, HT, TI, YM), pp. 133–142.
ESEC-FSEESEC-FSE-2011-Filieri #runtime
QoS verification and model tuning @ runtime (AF), pp. 408–411.
ESEC-FSEESEC-FSE-2011-MontrieuxWY #data access #specification #tool support #uml
Tool support for UML-based specification and verification of role-based access control properties (LM, MW, YY), pp. 456–459.
ESEC-FSEESEC-FSE-2011-NaudziunieneBDDGP #automation #ide #java #named #source code
jStar-eclipse: an IDE for automated verification of Java programs (DN, MB, DD, MD, RG, MJP), pp. 428–431.
ICSEICSE-2011-CassouBCL #architecture #development
Leveraging software architectures to guide and verify the development of sense/compute/control applications (DC, EB, CC, JLL), pp. 431–440.
ICSEICSE-2011-CordeiroF #bound #concurrent #model checking #multi #smt #thread #using
Verifying multi-threaded software using smt-based context-bounded model checking (LC, BF), pp. 331–340.
ICSEICSE-2011-GeTXT #execution #named #symbolic computation
DyTa: dynamic symbolic execution guided with static verification results (XG, KT, TX, NT), pp. 992–994.
ICSEICSE-2011-RosuS #approach #logic
Matching logic: a new program verification approach (GR, AS), pp. 868–871.
SACSAC-2011-ChangH #approach #model transformation #multi #using
A model transformation approach for verifying multi-agent systems using SPIN (LC, XH), pp. 37–42.
SACSAC-2011-ChuHLCHC #approach #development #manycore
A pattern-based verification approach for a multi-core system development (PHC, NLH, CCL, MJC, PAH, WCC), pp. 49–53.
SACSAC-2011-DumasDBB #partial order
Application of partial-order methods for the verification of closed-loop SDL systems (XD, PD, FB, EB), pp. 1666–1673.
SACSAC-2011-MammarFD #approach #reachability
A proof-based approach to verifying reachability properties (AM, MF, FD), pp. 1651–1657.
SACSAC-2011-Muramatsu #algorithm #online #random
Random forgery attacks against DTW-based online signature verification algorithm (DM), pp. 27–28.
SACSAC-2011-RayNDF #interactive #privacy
Verification of data pattern for interactive privacy preservation model (SR, MFN, SD, BCMF), pp. 1716–1723.
SACSAC-2011-ZhuWHAHY #outsourcing
Dynamic audit services for integrity verification of outsourced storages in clouds (YZ, HW, ZH, GJA, HH, SSY), pp. 1550–1557.
GPCEGPCE-2011-SlattenKH #automation #case study #distributed #generative #industrial #reliability #specification #towards #validation
Towards automatic generation of formal specifications to validate and verify reliable distributed systems: a method exemplified by an industrial case study (VS, FAK, PH), pp. 147–156.
ASPLOSASPLOS-2011-RyzhykKMRVH #hardware #reliability #reuse
Improved device driver reliability through hardware verification reuse (LR, JK, BM, AR, MV, GH), pp. 133–144.
CASECASE-2011-BallariniDDHP #composition #flexibility #modelling #petri net
Petri nets compositional modeling and verification of Flexible Manufacturing Systems (PB, HD, MD, SH, NP), pp. 588–593.
CASECASE-2011-ThramboulidisSF #automation #industrial #process #safety #towards
Towards an automated verification process for industrial safety applications (KT, DS, GF), pp. 482–487.
CGOCGO-2011-Leroy #compilation #how #question #why
Formally verifying a compiler: Why? How? How far? (XL).
DACDAC-2011-AdirNSZMS #validation
Leveraging pre-silicon verification resources for the post-silicon validation of the IBM POWER7 processor (AA, AN, GS, AZ, CM, JS), pp. 569–574.
DACDAC-2011-GhaniN #branch #grid #power management #using
Power grid verification using node and branch dominance (NHAG, FNN), pp. 682–687.
DACDAC-2011-HolcombBS #performance
Abstraction-based performance verification of NoCs (DEH, BAB, SAS), pp. 492–497.
DACDAC-2011-KadryMGAK #approach #challenge #design #effectiveness
Facing the challenge of new design features: an effective verification approach (WK, RM, AG, EA, CAK), pp. 842–847.
DACDAC-2011-MoffittSV #clustering #functional #robust
Robust partitioning for hardware-accelerated functional verification (MDM, MAS, PGV), pp. 854–859.
DACDAC-2011-RameshG #design #modelling
Rigorous model-based design & verification flow for in-vehicle software (SR, AAG), pp. 13–16.
DATEDATE-2011-AdirCLNSZMS #validation
A unified methodology for pre-silicon verification and post-silicon validation (AA, SC, SL, AN, GS, AZ, CM, JS), pp. 1590–1595.
DATEDATE-2011-BehrendLHRKR #embedded #hybrid #scalability
Scalable hybrid verification for embedded software (JB, DL, PH, JR, TK, WR), pp. 179–184.
DATEDATE-2011-CroneBCDER #state of the art
State of the art verification methodologies in 2015 (AC, OB, CC, BD, VE, MR), p. 1339.
DATEDATE-2011-GoyalN #grid #performance #power management #using
Efficient RC power grid verification using node elimination (AG, FNN), pp. 257–260.
DATEDATE-2011-GuoCSCWH #debugging #design #empirical #predict
Empirical design bugs prediction for verification (QG, TC, HS, YC, YW, WH), pp. 161–166.
DATEDATE-2011-JhaLMR #simulation #statistics #trade-off
When to stop verification?: Statistical trade-off between expected loss and simulation cost (SKJ, CJL, SM, SR), pp. 1309–1314.
DATEDATE-2011-KapoorHT #case study #experience #power management
Power management verification experiences in Wireless SoCs (BK, AH, PT), pp. 507–508.
DATEDATE-2011-KapoorJ #design #embedded #power management #tutorial
Embedded tutorial: Addressing critical power management verification issues in low power designs (BK, KMJ), p. 124.
DATEDATE-2011-MatsudaI #debugging
Developing an integrated verification and debug methodology (AM, TI), pp. 503–504.
DATEDATE-2011-PangrleBCDJ #design #power management
Beyond UPF & CPF: Low-power design and verification (BMP, JB, CC, OD, KMJ), p. 252.
DATEDATE-2011-PavlenkoWSKDSG #algebra #named #problem #reasoning #smt
STABLE: A new QF-BV SMT solver for hard verification problems combining Boolean reasoning with computer algebra (EP, MW, DS, WK, AD, FS, GMG), pp. 155–160.
DATEDATE-2011-SoekenWD #aspect-oriented #modelling #uml
Verifying dynamic aspects of UML models (MS, RW, RD), pp. 1077–1082.
PPoPPPPoPP-2011-SiegelZ #automation #parallel #source code
Automatic formal verification of MPI-based parallel programs (SFS, TKZ), pp. 309–310.
FoSSaCSFoSSaCS-2011-DelzannoSZ #ad hoc #clique #network #on the #power of
On the Power of Cliques in the Parameterized Verification of Ad Hoc Networks (GD, AS, GZ), pp. 441–455.
STOCSTOC-2011-SarmaHKKNPPW #approximate #distributed
Distributed verification and hardness of distributed approximation (ADS, SH, LK, AK, DN, GP, DP, RW), pp. 363–372.
TACASTACAS-2011-ForejtKNPQ #multi #probability
Quantitative Multi-objective Verification for Probabilistic Systems (VF, MZK, GN, DP, HQ), pp. 112–127.
TACASTACAS-2011-RavnSV #modelling #process #protocol #web #web service
Modelling and Verification of Web Services Business Activity Protocol (APR, JS, SV), pp. 357–371.
CAVCAV-2011-AlkassarBMR
Verification of Certifying Computations (EA, SB, KM, CR), pp. 67–82.
CAVCAV-2011-BeyerK #configuration management #named
CPAchecker: A Tool for Configurable Software Verification (DB, MEK), pp. 184–190.
CAVCAV-2011-ChinGVLCQ #calculus
A Specialization Calculus for Pruning Disjunctive Predicates to Support Verification (WNC, CG, RV, QLL, FC, SQ), pp. 293–309.
CAVCAV-2011-CimattiMT #automaton #hybrid #performance
Efficient Scenario Verification for Hybrid Automata (AC, SM, ST), pp. 317–332.
CAVCAV-2011-CookKV #program analysis
Temporal Property Verification as a Program Analysis Task (BC, EK, MYV), pp. 333–348.
CAVCAV-2011-FrehseGDCRLRGDM #hybrid #named #scalability
SpaceEx: Scalable Verification of Hybrid Systems (GF, CLG, AD, SC, RR, OL, RR, AG, TD, OM), pp. 379–395.
CAVCAV-2011-GuptaPR #concurrent #constraints #multi #named #source code #thread
Threader: A Constraint-Based Verifier for Multi-threaded Programs (AG, CP, AR), pp. 412–417.
CAVCAV-2011-HabermehlHRSV #automaton
Forest Automata for Verification of Heap Manipulation (PH, LH, AR, JS, TV), pp. 424–440.
CAVCAV-2011-Jhala #using
Using Types for Software Verification (RJ), p. 20.
CAVCAV-2011-JhalaMR #functional #named #source code #using
HMC: Verifying Functional Programs Using Abstract Interpreters (RJ, RM, AR), pp. 470–485.
CAVCAV-2011-KleinN #automation #behaviour #formal method #rest
Formalization and Automated Verification of RESTful Behavior (UK, KSN), pp. 541–556.
CAVCAV-2011-KroeningW
Interpolation-Based Software Verification with Wolverine (DK, GW), pp. 573–578.
CAVCAV-2011-KwiatkowskaNP #probability #realtime
PRISM 4.0: Verification of Probabilistic Real-Time Systems (MZK, GN, DP), pp. 585–591.
CAVCAV-2011-MullerP #hardware #interface
Complete Formal Hardware Verification of Interfaces for a FlexRay-Like Bus (CAM, WJP), pp. 633–648.
CAVCAV-2011-PeterEM #automaton #named #synthesis
Synthia: Verification and Synthesis for Timed Automata (HJP, RE, RM), pp. 649–655.
CAVCAV-2011-Platzer #composition #hybrid #logic
Logic and Compositional Verification of Hybrid Systems — (AP), pp. 28–43.
CAVCAV-2011-RamosE #equivalence
Practical, Low-Effort Equivalence Verification of Real Code (DAR, DRE), pp. 669–685.
CAVCAV-2011-SinghalA #simulation #using
Using Coverage to Deploy Formal Verification in a Simulation World (VS, PA), pp. 44–49.
ICSTICST-2011-Bhattacharya #hybrid
SoftwareHardware Hybrid Systems Verification (NB), pp. 435–438.
ICSTICST-2011-RubanovS #kernel #linux #runtime
Runtime Verification of Linux Kernel Modules Based on Call Interception (VVR, EAS), pp. 180–189.
ISSTAISSTA-2011-NijjarB #bound #modelling #ruby
Bounded verification of Ruby on Rails data models (JN, TB), pp. 67–77.
LICSLICS-2011-GollerL #complexity #term rewriting
The Complexity of Verifying Ground Tree Rewrite Systems (SG, AWL), pp. 279–288.
TAPTAP-2011-SoekenWD #data type #encoding #modelling #ocl #satisfiability #uml
Encoding OCL Data Types for SAT-Based Verification of UML/OCL Models (MS, RW, RD), pp. 152–170.
VMCAIVMCAI-2011-GotmanovCK #communication
Verifying Deadlock-Freedom of Communication Fabrics (AG, SC, MK), pp. 214–231.
VMCAIVMCAI-2011-Logozzo #abstract interpretation
Practical Verification for the Working Programmer with CodeContracts and Abstract Interpretation — (FL), pp. 19–22.
ICSMEICSM-2010-KellensNDJP #cobol #design #outsourcing
Verifying the design of an outsourced COBOL system with IntensiVE (AK, CN, TD, LJ, BVP), pp. 1–8.
ICSMEICSM-2010-PonsiniCFMR #automation #invariant
Automatic verification of loop invariants (OP, HC, CF, CM, MR), pp. 1–5.
CIAACIAA-2010-YuBI #automaton #multi #relational #string #using
Relational String Verification Using Multi-track Automata (FY, TB, OHI), pp. 290–299.
ICALPICALP-v1-2010-ApplebaumIK #performance
From Secrecy to Soundness: Efficient Verification via Secure Computation (BA, YI, EK), pp. 152–163.
ICALPICALP-v2-2010-Goubault-Larrecq
Noetherian Spaces in Verification (JGL), pp. 2–21.
ICALPICALP-v2-2010-OuaknineW #bound #formal method #towards
Towards a Theory of Time-Bounded Verification (JO, JW), pp. 22–37.
LATALATA-2010-SaeedloeiG #induction #realtime
Verifying Complex Continuous Real-Time Systems with Coinductive CLP(R) (NS, GG), pp. 536–548.
IFMIFM-2010-AutexierL #c #impact analysis #source code
Adding Change Impact Analysis to the Formal Verification of C Programs (SA, CL), pp. 59–73.
IFMIFM-2010-Faber #architecture #composition #realtime #reasoning
Verification Architectures: Compositional Reasoning for Real-Time Systems (JF), pp. 136–151.
IFMIFM-2010-FaberIJS #automation #parametricity #specification
Automatic Verification of Parametric Specifications with Complex Topologies (JF, CI, SJ, VSS), pp. 152–167.
IFMIFM-2010-LanoR #model transformation #specification #uml #using
Specification and Verification of Model Transformations Using UML-RSDS (KL, SKR), pp. 199–214.
SEFMSEFM-2010-BersaniCFPR #constraints #integer #ltl #runtime #smt #specification
SMT-based Verification of LTL Specification with Integer Constraints and its Application to Runtime Checking of Service Substitutability (MMB, LC, AF, MP, MR), pp. 244–254.
SEFMSEFM-2010-GallardoS #calculus #μ-calculus
Verification of Dynamic Data Tree with μ-calculus Extended with Separation (MdMG, DS), pp. 211–221.
SEFMSEFM-2010-Ghezzi #adaptation
Adaptive Software Needs Continuous Verification (CG), pp. 3–4.
SEFMSEFM-2010-Giannakopoulou #quote
“Fly Me to the Moon”: Verification of Aerospace Systems (DG), pp. 5–11.
SEFMSEFM-2010-GothelG #automation #invariant #network #realtime #towards #using
Towards the Semi-Automatic Verification of Parameterized Real-Time Systems Using Network Invariants (TG, SG), pp. 310–314.
ICFPICFP-2010-ArnoldHKBS #matrix #specification
Specifying and verifying sparse matrix codes (GA, JH, ASK, RB, MS), pp. 249–260.
ICFPICFP-2010-Chargueraud
Program verification through characteristic formulae (AC), pp. 321–332.
GT-VMTGT-VMT-2010-Schatz #model transformation
Verification of Model Transformations (BS).
ICGTICGT-2010-Blume #graph
Recognizable Graph Languages for the Verification of Dynamic Systems (CB), pp. 384–387.
ICGTICGT-2010-KonigE #graph transformation #specification
Verification of Graph Transformation Systems with Context-Free Specifications (BK, JE), pp. 107–122.
ICGTICGT-2010-Zambon #abstraction #graph transformation #using
Using Graph Transformations and Graph Abstractions for Software Verification (EZ), pp. 416–418.
CAiSECAiSE-2010-LyRD #design #graph #information management
Design and Verification of Instantiable Compliance Rule Graphs in Process-Aware Information Systems (LTL, SRM, PD), pp. 9–23.
ICEISICEIS-ISAS-2010-MoralesTP #composition #process
Compositional Verification of Business Processes Modelled with BPMN (LEMM, MICT, MAP), pp. 113–122.
ICEISICEIS-J-2010-MoralesTP10a #composition #formal method #process
A Formalization Proposal of Timed BPMN for Compositional Verification of Business Processes (LEMM, MICT, MAP), pp. 388–403.
ICPRICPR-2010-BatistaGS #difference #representation
Applying Dissimilarity Representation to Off-Line Signature Verification (LB, EG, RS), pp. 1293–1297.
ICPRICPR-2010-BourlaiKRCH
Cross-Spectral Face Verification in the Short Wave Infrared (SWIR) Band (TB, NDK, AR, BC, LH), pp. 1343–1347.
ICPRICPR-2010-BrewC
Vector Quantization Mappings for Speaker Verification (AB, PC), pp. 560–564.
ICPRICPR-2010-BuyssensR #learning
Learning Sparse Face Features: Application to Face Verification (PB, MR), pp. 670–673.
ICPRICPR-2010-GaoEFS #multi
Multi-resolution Local Appearance-Based Face Verification (HG, HKE, MF, RS), pp. 1501–1504.
ICPRICPR-2010-GuichardTC #novel #recognition #word
A Novel Verification System for Handwritten Words Recognition (LG, AHT, BC), pp. 2869–2872.
ICPRICPR-2010-HeLS #automation #recognition
Automatic Discrimination between Confusing Classes with Writing Styles Verification in Arabic Handwritten Numeral Recognition (CLH, LL, CYS), pp. 2045–2048.
ICPRICPR-2010-HendrikseVS
Verification Under Increasing Dimensionality (AH, RNJV, LJS), pp. 589–592.
ICPRICPR-2010-IbrahimKKG #analysis #online #using
On-Line Signature Verification Using 1-D Velocity-Based Directional Analysis (MTI, MJK, MAK, LG), pp. 3830–3833.
ICPRICPR-2010-Lei #distance #using
Combining the Likelihood and the Kullback-Leibler Distance in Estimating the Universal Background Model for Speaker Verification Using SVM (ZL), pp. 4553–4556.
ICPRICPR-2010-LiD #classification #multi
Multi-classifier Q-stack Aging Model for Adult Face Verification (WL, AD), pp. 1310–1313.
ICPRICPR-2010-LvBYD #using #visual notation
Off-Line Signature Verification Using Graphical Model (HL, XB, WY, JD), pp. 3784–3788.
ICPRICPR-2010-MemonLM #modelling
Information Theoretic Expectation Maximization Based Gaussian Mixture Modeling for Speaker Verification (SM, ML, NCM), pp. 4536–4540.
ICPRICPR-2010-PuS #learning #probability
Probabilistic Measure for Signature Verification Based on Bayesian Learning (DP, SNS), pp. 1188–1191.
ICPRICPR-2010-VillegasP #video
Fusion of Qualities for Frame Selection in Video Face Verification (MV, RP), pp. 1302–1305.
ICPRICPR-2010-WilliamsTSB #analysis #multi
Body Motion Analysis for Multi-modal Identity Verification (GW, GWT, KS, CB), pp. 2198–2201.
ICPRICPR-2010-ZafrullaBYPSH #education #game studies
American Sign Language Phrase Verification in an Educational Game for Deaf Children (ZZ, HB, PY, PP, TS, HH), pp. 3846–3849.
KEODKEOD-2010-AnjumHY #ontology
Cross Domain Knowledge Verification — Verifying Knowledge in Foundation Ontology based Domain Ontologies (NAA, JAH, BY), pp. 339–342.
KEODKEOD-2010-KezadriP #ontology #towards #validation
First Steps Toward a Verification and Validation Ontology (MK, MP), pp. 440–444.
KMISKMIS-2010-LodemannL #framework #ontology
Ontology-based Railway Infrastructure Verification — Planning Benefits (ML, NL), pp. 176–181.
SEKESEKE-2010-BernhartAMG #automation #case study #experience #framework #integration #testing
Automated Integration Testing and Verification of a Secured SOA Infrastructure — an Experience Report in eHealth (MB, TA, AM, TG), pp. 198–202.
SEKESEKE-2010-BinGHMMPRST #hardware #ontology #tool support
Ontology-Based Tools in the Service of Hardware Verification (EB, AG, KH, EM, RM, OP, MR, GS, ET), pp. 303–308.
SEKESEKE-2010-GoelXS #multi #network #online
A Multi-State Bayesian Network for Shill Verification in Online Auctions (AG, HX, SMS), pp. 279–285.
SEKESEKE-2010-ParkHK #diagrams #sequence chart #uml
Formal Verification of UML 2.0 Sequence Diagram (SP, TH, GK), pp. 411–416.
SIGIRSIGIR-2010-Roussinov #aspect-oriented
Aspect presence verification conditional on other aspects (DR), pp. 865–866.
MODELSMoDELS-v1-2010-RahimW #code generation #consistency #semantics #state machine
Verifying Semantic Conformance of State Machine-to-Java Code Generators (LAR, JW), pp. 166–180.
ECOOPECOOP-2010-StadenCM #execution #logic #object-oriented #specification
Verifying Executable Object-Oriented Specifications with Separation Logic (SvS, CC, BM), pp. 151–174.
ECOOPECOOP-2010-SvendsenBP
Verifying Generics and Delegates (KS, LB, MJP), pp. 175–199.
AdaEuropeAdaEurope-2010-BritoP #case study #comparative
Program Verification in SPARK and ACSL: A Comparative Case Study (EB, JSP), pp. 97–110.
LOPSTRLOPSTR-2010-FioravantiPPS #evaluation #infinity
Program Specialization for Verifying Infinite State Systems: An Experimental Evaluation (FF, AP, MP, VS), pp. 164–183.
LOPSTRLOPSTR-2010-GiorginoSMP #algorithm #graph
Verification of the Schorr-Waite Algorithm — From Trees to Graphs (MG, MS, RM, MP), pp. 67–83.
PLDIPLDI-2010-ChenCS #compilation #security
Type-preserving compilation of end-to-end verification of security enforcement (JC, RC, NS), pp. 412–423.
PLDIPLDI-2010-EmmiMM #transaction
Parameterized verification of transactional memories (ME, RM, RM), pp. 134–145.
PLDIPLDI-2010-YangH #automation #operating system #type safety
Safe to the last instruction: automated verification of a type-safe operating system (JY, CH), pp. 99–110.
POPLPOPL-2010-AtigBBM #memory management #modelling #on the #problem
On the verification problem for weak memory models (MFA, AB, SB, MM), pp. 7–18.
POPLPOPL-2010-AttiyaRR
Sequential verification of serializability (HA, GR, NR), pp. 31–42.
POPLPOPL-2010-BhargavanFG #composition #protocol #security #type system
Modular verification of security protocol code by typing (KB, CF, ADG), pp. 445–456.
POPLPOPL-2010-KobayashiTU #higher-order #multi #recursion #transducer
Higher-order multi-parameter tree transducers and recursion schemes for program verification (NK, NT, HU), pp. 495–508.
POPLPOPL-2010-NanevskiVB #source code
Structuring the verification of heap-manipulating programs (AN, VV, JB), pp. 261–274.
POPLPOPL-2010-SrivastavaGF #synthesis
From program verification to program synthesis (SS, SG, JSF), pp. 313–326.
PPDPPPDP-2010-JacquemardR #xml
Rewrite-based verification of XML updates (FJ, MR), pp. 119–130.
QAPLQAPL-2010-BarsottiW #abstraction #automation #probability #random
Automatic Probabilistic Program Verification through Random Variable Abstraction (DB, NW), pp. 34–47.
SASSAS-2010-Fahndrich #contract
Static Verification for Code Contracts (MF), pp. 2–5.
SASSAS-2010-HofmannKS #coq
Verifying a Local Generic Solver in Coq (MH, AK, HS), pp. 340–355.
SASSAS-2010-VechevYRS #automation #parallel #source code
Automatic Verification of Determinism for Structured Parallel Programs (MTV, EY, RR, VS), pp. 455–471.
REFSQREFSQ-2010-SabaliauskaiteLEURRGF #challenge #industrial #requirements #scalability
Challenges in Aligning Requirements Engineering and Verification in a Large-Scale Industrial Context (GS, AL, EE, MU, BR, PR, TG, RF), pp. 128–142.
ASEASE-2010-BorgesGL #adaptation #self
Integrating model verification and self-adaptation (RVB, ASdG, LCL), pp. 317–320.
FSEFSE-2010-LiG #gpu #kernel #scalability #smt
Scalable SMT-based verification of GPU kernel functions (GL, GG), pp. 187–196.
ICSEICSE-2010-ClassenHSLR #model checking #performance #product line
Model checking lots of systems: efficient verification of temporal properties in software product lines (AC, PH, PYS, AL, JFR), pp. 335–344.
ICSEICSE-2010-Elmas #abstraction #concurrent #named #proving #reduction
QED: a proof system based on reduction and abstraction for the static verification of concurrent software (TE), pp. 507–508.
ICSEICSE-2010-YangL #approach #bound
A cut-off approach for bounded verification of parameterized systems (QY, ML), pp. 345–354.
SACSAC-2010-CiraciBA #constraints #graph
Graph-based verification of static program constraints (SC, PvdB, MA), pp. 2265–2272.
SACSAC-2010-GehlertBKMPP #adaptation
Exploiting assumption-based verification for the adaptation of service-based applications (AG, AB, RK, AM, MP, KP), pp. 2430–2437.
SACSAC-2010-HurnausP #automation #composition #contract #programming
Programming assistance based on contracts and modular verification in the automation domain (DH, HP), pp. 2544–2551.
SACSAC-2010-IqbalKFD #email #forensics
e-mail authorship verification for forensic investigation (FI, LAK, BCMF, MD), pp. 1591–1598.
SACSAC-2010-KokashKV #composition #design
Data-aware design and verification of service compositions with Reo and mCRL2 (NK, CK, EPdV), pp. 2406–2413.
SACSAC-2010-RoyM #visual notation
Visual processing-inspired fern-audio features for noise-robust speaker verification (AR, SM), pp. 1491–1495.
SACSAC-2010-VogelsJP #generative #performance #proving
A machine-checked soundness proof for an efficient verification condition generator (FV, BJ, FP), pp. 2517–2522.
LDTALDTA-J-2007-CamachoMBV #automation #generative #tool support #using
Automated generation of program translation and verification tools using annotated grammars (DOC, KM, MvdB, JJV), pp. 3–20.
LDTALDTA-2010-CruzHP #analysis #named #online
GamaSlicer: an online laboratory for program verification and analysis (DCdC, PRH, JSP), p. 3.
ASPLOSASPLOS-2010-RomanescuLS #consistency #memory management #specification
Specifying and dynamically verifying address translation-aware memory consistency (BFR, ARL, DJS), pp. 323–334.
CCCC-2010-BurckhardtMS #memory management #modelling
Verifying Local Transformations on Relaxed Memory Models (SB, MM, VS), pp. 104–123.
DACDAC-2010-Coudert #algorithm #performance
An efficient algorithm to verify generalized false paths (OC), pp. 188–193.
DACDAC-2010-HazraMDPBG #architecture #modelling
Leveraging UPF-extracted assertions for modeling and formal verification of architectural power intent (AH, SM, PD, AP, DB, KG), pp. 773–776.
DACDAC-2010-KundertC #functional #modelling
Model-based functional verification (KSK, HC), pp. 421–424.
DACDAC-2010-LiFS #mining #scalability #specification
Scalable specification mining for verification and diagnosis (WL, AF, SAS), pp. 755–760.
DACDAC-2010-NahirZGHACBFBK #validation
Bridging pre-silicon verification and post-silicon validation (AN, AZ, RG, AJH, MA, AC, BB, HF, VB, SK), pp. 94–95.
DACDAC-2010-ThomptoH #fault tolerance
Verification for fault tolerance of the IBM system z microprocessor (BWT, BH), pp. 525–530.
DACDAC-2010-XiongW #algorithm #constraints #grid #linear #performance #power management
An efficient dual algorithm for vectorless power grid verification under linear current constraints (XX, JW), pp. 837–842.
DATEDATE-2010-BraunBLR #interface #specification
Simulation-based verification of the MOST NetInterface specification revision 3.0 (AB, OB, DL, WR), pp. 538–543.
DATEDATE-2010-FerroP #modelling #semantics #transaction
Formal semantics for PSL modeling layer and application to the verification of transactional models (LF, LP), pp. 1207–1212.
DATEDATE-2010-LammermannRKRVJH #design #towards
Towards assertion-based verification of heterogeneous system designs (SL, JR, TK, WR, AV, AJ, LH), pp. 1171–1176.
DATEDATE-2010-NarayananAZTP #process
Formal verification of analog circuits in the presence of noise and process variation (RN, BA, MHZ, ST, LCP), pp. 1309–1312.
DATEDATE-2010-OliveiraZ0
Assertion-based verification of RTOS properties (MFdSO, HZ, WM), pp. 630–633.
DATEDATE-2010-SoekenWKGD #modelling #ocl #satisfiability #uml #using
Verifying UML/OCL models using Boolean satisfiability (MS, RW, MK, MG, RD), pp. 1341–1344.
DATEDATE-2010-ZhangLL #approach #markov #modelling #simulation #using
An abstraction-guided simulation approach using Markov models for microprocessor verification (TZ, TL, XL), pp. 484–489.
HPDCHPDC-2010-GehaniK #multi #named #trust
Mendel: efficiently verifying the lineage of data modified in multiple trust domains (AG, MK), pp. 227–239.
PPoPPPPoPP-2010-LiGKQ #source code
A symbolic verifier for CUDA programs (GL, GG, RMK, DQ), pp. 357–358.
ESOPESOP-2010-AmtoftHR #array #automation #certification #contract #data flow #precise #reasoning #source code
Precise and Automated Contract-Based Reasoning for Verification and Certification of Information Flow Properties of Programs with Arrays (TA, JH, ER), pp. 43–63.
ESOPESOP-2010-BlazyRA #graph
Formal Verification of Coalescing Graph-Coloring Register Allocation (SB, BR, AWA), pp. 145–164.
ESOPESOP-2010-Lochbihler #compilation #java #thread
Verifying a Compiler for Java Threads (AL), pp. 427–447.
FASEFASE-2010-EhrigERBP #analysis #formal method #self
Formal Analysis and Verification of Self-Healing Systems (HE, CE, OR, AB, PP), pp. 139–153.
TACASTACAS-2010-KatzP #automation
Code Mutation in Verification and Automatic Code Correction (GK, DP), pp. 435–450.
TACASTACAS-2010-KwiatkowskaNPQ #probability
Assume-Guarantee Verification for Probabilistic Systems (MZK, GN, DP, HQ), pp. 23–37.
TACASTACAS-2010-LeinoR #design #encoding #logic #polymorphism
A Polymorphic Intermediate Verification Language: Design and Logical Encoding (KRML, PR), pp. 312–327.
CAVCAV-2010-BallBLKL #framework #platform #research
The Static Driver Verifier Research Platform (TB, EB, VL, RK, JL), pp. 119–122.
CAVCAV-2010-BraytonM #named
ABC: An Academic Industrial-Strength Verification Tool (RKB, AM), pp. 24–40.
CAVCAV-2010-CohenMST #concurrent #invariant #source code
Local Verification of Global Invariants in Concurrent Programs (EC, MM, WS, ST), pp. 480–494.
CAVCAV-2010-CohenNS10a #composition #ltl #named
SPLIT: A Compositional LTL Verifier (AC, KSN, YS), pp. 558–561.
CAVCAV-2010-ConwayB #data type #implementation #low level
Verifying Low-Level Implementations of High-Level Datatypes (CLC, CB), pp. 306–320.
CAVCAV-2010-Donze #hybrid #parametricity #synthesis
Breach, A Toolbox for Verification and Parameter Synthesis of Hybrid Systems (AD), pp. 167–170.
CAVCAV-2010-KawaguchiRJ #named #safety
Dsolve: Safety Verification via Liquid Types (MK, PMR, RJ), pp. 123–126.
CAVCAV-2010-McMillan #lazy evaluation #testing
Lazy Annotation for Program Testing and Verification (KLM), pp. 104–118.
CAVCAV-2010-PnueliSZ #algorithm #framework #named
Jtlv: A Framework for Developing Verification Algorithms (AP, YS, LDZ), pp. 171–174.
CAVCAV-2010-PulinaT #abstraction #approach #network
An Abstraction-Refinement Approach to Verification of Artificial Neural Networks (LP, AT), pp. 243–257.
CAVCAV-2010-RepsLTBL
There’s Plenty of Room at the Bottom: Analyzing and Verifying Machine Code (TWR, JL, AVT, GB, AL), pp. 41–56.
CAVCAV-2010-Rybalchenko #constraints #theorem proving #theory and practice
Constraint Solving for Program Verification: Theory and Practice by Example (AR), pp. 57–71.
CAVCAV-2010-ZhangSRHH #hybrid #probability #safety
Safety Verification for Probabilistic Hybrid Systems (LZ, ZS, SR, HH, EMH), pp. 196–211.
CSLCSL-2010-Rybalchenko #constraints #theorem proving #theory and practice
Constraint Solving for Program Verification: Theory and Practice by Example (AR), p. 51.
ICLPICLP-2010-Lopez-GarciaDB10 #debugging #framework #resource management
A Framework for Verification and Debugging of Resource Usage Properties: Resource Usage Verification (PLG, LD, FB), pp. 104–113.
ICSTICST-2010-AsztalosLL #automation #model transformation #towards
Towards Automated, Formal Verification of Model Transformations (MA, LL, TL), pp. 15–24.
ICSTICST-2010-FeldtTAR #challenge #industrial #process #validation
Challenges with Software Verification and Validation Activities in the Space Industry (RF, RT, EA, BR), pp. 225–234.
ICSTICST-2010-Laurent #concept #formal method #process #testing #using #validation
Using Formal Methods and Testability Concepts in the Avionics Systems Validation and Verification (V&V) Process (OL), pp. 1–10.
IJCARIJCAR-2010-AyadM #float #multi #source code
Multi-Prover Verification of Floating-Point Programs (AA, CM), pp. 127–141.
IJCARIJCAR-2010-ChaudhuriDLM #proving #safety
Verifying Safety Properties with the TLA+ Proof System (KC, DD, LL, SM), pp. 142–148.
IJCARIJCAR-2010-Sofronie-Stokkermans #parametricity #reasoning
Hierarchical Reasoning for the Verification of Parametric Systems (VSS), pp. 171–187.
ISSTAISSTA-2010-GaleottiRPF #analysis #bound #invariant #performance
Analysis of invariants for efficient bounded verification (JPG, NR, CLP, MFF), pp. 25–36.
LICSLICS-2010-Moore #proving #theorem proving
Theorem Proving for Verification: The Early Days (JSM), p. 283.
TAPTAP-2010-AhnD #axiom #first-order #logic #testing
Testing First-Order Logic Axioms in Program Verification (KYA, ED), pp. 22–37.
TAPTAP-2010-GladischTBY #generative #testing #using
Generating Regression Unit Tests Using a Combination of Verification and Capture & Replay (CG, SST, BB, AY), pp. 61–76.
VMCAIVMCAI-2010-BuZL #automaton #hybrid #programming #reachability #using
Path-Oriented Reachability Verification of a Class of Nonlinear Hybrid Automata Using Convex Programming (LB, JZ, XL), pp. 78–94.
VMCAIVMCAI-2010-ChadhaLPV #bound #complexity #realtime
Complexity Bounds for the Verification of Real-Time Software (RC, AL, PP, MV), pp. 95–111.
VMCAIVMCAI-2010-Leino #concurrent #source code
Verifying Concurrent Programs with Chalice (KRML), p. 2.
WICSA-ECSAWICSA-ECSA-2009-BritoRL #architecture #fault tolerance
Verifying architectural variabilities in software fault tolerance techniques (PHSB, CMFR, RdL), pp. 231–240.
WICSA-ECSAWICSA-ECSA-2009-BucchiaronePVR #modelling #self #using
Self-Repairing systems modeling and verification using AGG (AB, PP, CV, OR), pp. 181–190.
DocEngDocEng-2009-SchonbergWJF #documentation
Logic-based verification of technical documentation (CS, FW, MJ, BF), pp. 251–252.
DRRDRR-2009-LiD #difference #independence #using
Improving semi-text-independent method of writer verification using difference vector (XL, XD), pp. 1–10.
DRRDRR-2009-SrihariB #comparison #modelling #statistics
Comparison of statistical models for writer verification (SNS, GRB), pp. 1–10.
ICDARICDAR-2009-Alonso-FernandezFGGO #robust
Robustness of Signature Verification Systems to Imitators with Increasing Skills (FAF, JF, AG, JG, JOG), pp. 728–732.
ICDARICDAR-2009-BatistaGS #approach #multi
A Multi-Hypothesis Approach for Off-Line Signature Verification with HMMs (LB, EG, RS), pp. 1315–1319.
ICDARICDAR-2009-BlankersHFV #contest
ICDAR 2009 Signature Verification Competition (VLB, CEvdH, KF, LV), pp. 1403–1407.
ICDARICDAR-2009-BonillaFGH #pseudo
Offline Signature Verification Based on Pseudo-Cepstral Coefficients (JFVB, MAFB, CMTG, JBAH), pp. 126–130.
ICDARICDAR-2009-GalballyFMO #evaluation #using
Evaluation of Brute-force Attack to Dynamic Signature Verification Using Synthetic Samples (JG, JF, MMD, JOG), pp. 131–135.
ICDARICDAR-2009-IbrahimKKAG #analysis #dependence #online #using
On-Line Signature Verification: Directional Analysis of a Signature Using Weighted Relative Angle Partitions for Exploitation of Inter-Feature Dependencies (MTI, MJK, MAK, KSA, LG), pp. 41–45.
ICDARICDAR-2009-NguyenBL #problem
Global Features for the Off-Line Signature Verification Problem (VN, MB, GL), pp. 1300–1304.
ICDARICDAR-2009-PrakashG #geometry
Geometric Centroids and their Relative Distances for Off-line Signature Verification (HNP, DSG), pp. 121–125.
ICDARICDAR-2009-WangHL
A New Block Partitioned Text Feature for Text Verification (XW, LH, CL), pp. 366–370.
VLDBVLDB-2009-PangZM #database #outsourcing #scalability
Scalable Verification for Outsourced Dynamic Databases (HP, JZ, KM), pp. 802–813.
CSMRCSMR-2009-KellensSDJP #cobol #design #framework #named
Cognac: A Framework for Documenting and Verifying the Design of Cobol Systems (AK, KDS, TD, LJ, BVP), pp. 199–208.
CIAACIAA-2009-AtigT #communication #parallel #source code
Verifying Parallel Programs with Dynamic Communication Structures (MFA, TT), pp. 145–154.
ICALPICALP-v2-2009-Boldo #case study #float
Floats and Ropes: A Case Study for Formal Numerical Program Verification (SB), pp. 91–102.
LATALATA-2009-JiraskovaP #automaton #self
Converting Self-verifying Automata into Deterministic Automata (GJ, GP), pp. 458–468.
FMFM-2009-AlpuenteBR #logic #specification #web
Specification and Verification of Web Applications in Rewriting Logic (MA, DB, DR), pp. 790–805.
FMFM-2009-HarrisKCJR #bound #data flow #process
Verifying Information Flow Control over Unbounded Processes (WRH, NK, SC, SJ, TWR), pp. 773–789.
FMFM-2009-LarsenLNP #realtime #requirements
Verifying Real-Time Systems against Scenario-Based Requirements (KGL, SL, BN, SP), pp. 676–691.
FMFM-2009-LeinenbachS
Verifying the Microsoft Hyper-V Hypervisor with VCC (DL, TS), pp. 806–809.
FMFM-2009-LeuschelFFP #automation #modelling #scalability
Automated Property Verification for Large Scale B Models (ML, JF, FF, DP), pp. 708–723.
FMFM-2009-LuthW #c #source code #specification
Certifiable Specification and Verification of C Programs (CL, DW), pp. 419–434.
FMFM-2009-OHalloran
Guess and Verify — Back to the Future (CO), pp. 23–32.
FMFM-2009-PlatzerC #case study
Formal Verification of Curved Flight Collision Avoidance Maneuvers: A Case Study (AP, EMC), pp. 547–562.
FMFM-2009-Rajamani #statistics #testing
Verification, Testing and Statistics (SKR), pp. 33–40.
FMFM-2009-SouyrisWDD
Formal Verification of Avionics Software Products (JS, VW, DD, HD), pp. 532–546.
IFMIFM-2009-BuiN #random
Formal Verification Based on Guided Random Walks (THB, AN), pp. 72–87.
IFMIFM-2009-CabotCR #contract #ocl #uml
Verifying UML/OCL Operation Contracts (JC, RC, DR), pp. 40–55.
IFMIFM-2009-LangariT #graph transformation
Application of Graph Transformation in Verification of Dynamic Systems (ZL, RJT), pp. 261–276.
SEFMSEFM-2009-BersaniFPR #modelling #multi #paradigm #realtime
Integrated Modeling and Verification of Real-Time Systems through Multiple Paradigms (MMB, CAF, MP, MR), pp. 13–22.
SEFMSEFM-2009-Chalin
Adjusted Verification Rules for Loops Are More Complete and Give Better Diagnostics for Less (PC), pp. 317–324.
SEFMSEFM-2009-EzekielL #approach #automation #multi
An Automated Approach to Verifying Diagnosability in Multi-agent Systems (JE, AL), pp. 51–60.
SEFMSEFM-2009-Jeannet #concurrent #interprocedural #relational #source code
Relational Interprocedural Verification of Concurrent Programs (BJ), pp. 83–92.
SEFMSEFM-2009-Rushby #assurance
Software Verification and System Assurance (JMR), pp. 3–10.
SEFMSEFM-2009-TatsutaCA #logic #pointer
Completeness of Pointer Program Verification by Separation Logic (MT, WNC, MFAA), pp. 179–188.
ICFPICFP-2009-KleinDE #case study #experience #kernel
Experience report: seL4: formally verifying a high-performance microkernel (GK, PD, KE), pp. 91–96.
CAiSECAiSE-2009-LiuLYWH #analysis #constraints #using #workflow
ETL Workflow Analysis and Verification Using Backwards Constraint Propagation (JL, SL, DY, JW, TH), pp. 455–469.
CAiSECAiSE-2009-PlanasCG #action semantics #behaviour #modelling #semantics #specification #uml
Verifying Action Semantics Specifications in UML Behavioral Models (EP, JC, CG), pp. 125–140.
EDOCEDOC-2009-BoukhebouzeABM #modelling #process #rule-based #using
Rule-Based Modeling and Verification of Business Processes Using ECAPE Net (MB, YA, ANB, ZM), p. 74–?.
ICEISICEIS-HCI-2009-NakanishiTO #artificial reality #complexity #design #effectiveness #guidelines #using
Study for Establishing Design Guidelines for Manuals using Augmented Reality Technology — Verification and Expansion of the Basic Model Describing “Effective Complexity” (MN, SiT, YO), pp. 21–26.
ICEISICEIS-J-2009-MoralesC #automation #composition #process
Automatic Compositional Verification of Business Processes (LEMM, MIC), pp. 479–490.
SEKESEKE-2009-ShahrokniFPB #challenge #robust
Robustness Verification Challenges in Automotive Telematics Software (AS, RF, FP, AB), pp. 460–465.
SEKESEKE-2009-YuanHZL #automation #modelling #multi #transaction
Modeling and Verification of Automatic Multi-business Transactions (MY, ZH, JZ, XL), pp. 274–279.
SEKESEKE-2009-ZhangLSDCL #scalability
Formal Verification of Scalable NonZero Indicators (SJZ, YL, JS, JSD, WC, YAL), pp. 406–411.
MODELSMoDELS-2009-KraemerH #automation #development #encapsulation #incremental #process #uml
Automated Encapsulation of UML Activities for Incremental Development and Verification (FAK, PH), pp. 571–585.
SPLCSPLC-2009-GanesanLAMB #architecture #design #product line
Verifying architectural design rules of the flight software product line (DG, ML, CA, DM, MB), pp. 161–170.
MODELSMoDELS-2009-KraemerH #automation #development #encapsulation #incremental #process #uml
Automated Encapsulation of UML Activities for Incremental Development and Verification (FAK, PH), pp. 571–585.
AdaEuropeAdaEurope-2009-BerthomieuBCDFV #specification
Formal Verification of AADL Specifications in the Topcased Environment (BB, JPB, CC, SDZ, MF, FV), pp. 207–221.
PADLPADL-2009-WangBLS #declarative #network
Declarative Network Verification (AW, PB, BTL, OS), pp. 61–75.
PLDIPLDI-2009-KawaguchiRJ #data type #type system
Type-based data structure verification (MK, PMR, RJ), pp. 304–315.
PLDIPLDI-2009-SrivastavaG #abstraction #using
Program verification using templates over predicate abstraction (SS, SG), pp. 223–234.
POPLPOPL-2009-GantyMR #liveness #source code
Verifying liveness for asynchronous programs (PG, RM, AR), pp. 102–113.
POPLPOPL-2009-HawblitzelP #automation #garbage collection
Automated verification of practical garbage collectors (CH, EP), pp. 441–453.
POPLPOPL-2009-Kobayashi #higher-order #recursion #source code
Types and higher-order recursion schemes for verification of higher-order programs (NK), pp. 416–428.
POPLPOPL-2009-Ridge #approach #distributed
Verifying distributed systems: the operational approach (TR), pp. 429–440.
PPDPPPDP-2009-Virseda #algorithm #debugging #declarative #framework #higher-order #logic #source code
A higher-order logical framework for the algorithmic debugging and verification of declarative programs (RdVV), pp. 49–60.
SASSAS-2009-Qadeer #algorithm #smt #using
Algorithmic Verification of Systems Software Using SMT Solvers (SQ), p. 2.
AdaSIGAda-2009-Knight #ada #approach #named
Echo: a new approach to formal verification based on Ada (JK), pp. 85–86.
RERE-2009-Hall #forensics
Forensic System Verification (RJH), pp. 111–120.
RERE-2009-PostSMGK #functional #requirements
Linking Functional Requirements and Software Verification (HP, CS, FM, TG, TK), pp. 295–302.
RERE-2009-SalinesiRDM #classification #fault #feature model #modelling #product line #towards
Looking for Product Line Feature Models Defects: Towards a Systematic Classification of Verification Criteria (CS, CR, DD, RM), pp. 385–386.
ASEASE-2009-Jenson #component #constraints #dependence #validation
Improving Component Dependency Resolution with Soft Constraints, Validation and Verification (GJ), pp. 716–720.
ASEASE-2009-RayMACSM #using #validation
Validating Automotive Control Software Using Instrumentation-Based Verification (AR, IM, CA, RC, CPS, CM), pp. 15–25.
ESEC-FSEESEC-FSE-2009-BozzanoCRKNN #evaluation #modelling #performance
Verification and performance evaluation of aadl models (MB, AC, MR, JPK, VYN, TN), pp. 285–286.
ESEC-FSEESEC-FSE-2009-HannaBR #automation #automaton #behaviour #composition #independence
Behavioral automata composition for automatic topology independent verification of parameterized systems (YH, SB, HR), pp. 325–334.
SACSAC-2009-BaechlerBH #image #modelling #using
Labeled images verification using Gaussian mixture models (MB, JLB, JH), pp. 1331–1335.
SACSAC-2009-BeekMG #framework #named
CMC-UMC: a framework for the verification of abstract service-oriented properties (MHtB, FM, SG), pp. 2111–2117.
SACSAC-2009-GiroD #automaton #on the #probability
On the verification of probabilistic I/O automata with unspecified rates (SG, PRD), pp. 582–586.
SACSAC-2009-RodriguesSC #composition #embedded #functional #set #using
Improving functional verification of embedded systems using hierarchical composition and set theory (CLR, KRGdS, HdNC), pp. 1632–1636.
SACSAC-2009-SharyginaTT #abstraction #performance #precise
The synergy of precise and fast abstractions for program verification (NS, ST, AT), pp. 566–573.
SACSAC-2009-SongKS #approach #aspect-oriented #modelling
A property-based verification approach in aspect-oriented modeling (ES, HK, WS), pp. 545–546.
CASECASE-2009-AlenljungL #graph #using
Formal verification of PLC controlled systems using Sensor Graphs (TA, BL), pp. 164–170.
CASECASE-2009-AllenGT #logic #nondeterminism
Closed-loop determinism for non-deterministic environments: Verification for IEC 61499 logic controllers (LVA, KMG, DMT), pp. 1–6.
CASECASE-2009-VoronovA #model checking #process #using
Verification of process operations using model checking (AV, ), pp. 415–420.
DACDAC-2009-AlimohammadFC
FPGA-based accelerator for the verification of leading-edge wireless systems (AA, SFF, BFC), pp. 844–847.
DACDAC-2009-Chesters #development #lifecycle
Role of the verification team throughout the ASIC development life cycle (EC), pp. 216–219.
DACDAC-2009-GhaniN #approximate #grid #performance #power management #using
Fast vectorless power grid verification using an approximate inverse technique (NHAG, FNN), pp. 184–189.
DACDAC-2009-GluskaL #modelling
Shortening the verification cycle with synthesizable abstract models (AG, LL), pp. 454–459.
DACDAC-2009-GodlinS
Regression verification (BG, OS), pp. 466–471.
DACDAC-2009-MarcilioSAR #behaviour #novel
A novel verification technique to uncover out-of-order DUV behaviors (GM, LCVdS, BA, SR), pp. 448–453.
DACDAC-2009-RanjanCS #debugging
Beyond verification: leveraging formal for debugging (RKR, CC, SS), pp. 648–651.
DACDAC-2009-StapletonT #component #design #problem #reuse
Verification problems in reusing internal design components (WS, PT), pp. 209–211.
DACDAC-2009-Thaker #question
Holistic verification: myth or magic bullet? (PAT), pp. 204–208.
DACDAC-2009-Whipp #architecture #process
Exploiting “architecture for verification” to streamline the verification process (DW), pp. 212–215.
DATEDATE-2009-AlimohammadFC #algorithm #architecture #development #flexibility
A flexible layered architecture for accurate digital baseband algorithm development and verification (AA, SFF, BFC), pp. 45–50.
DATEDATE-2009-BarkeGGHHPSW #formal method
Formal approaches to analog circuit verification (EB, DG, HG, LH, SH, RP, SS, YW), pp. 724–729.
DATEDATE-2009-BombieriFPHL #functional
Functional qualification of TLM verification (NB, FF, GP, MH, FL), pp. 190–195.
DATEDATE-2009-CabodiCGMNQ #constraints #model checking
Speeding up model checking by exploiting explicit and hidden verification constraints (GC, PC, LG, MM, SN, SQ), pp. 1686–1691.
DATEDATE-2009-HeH #algorithm #encoding #performance
An efficient path-oriented bitvector encoding width computation algorithm for bit-precise verification (NH, MSH), pp. 1602–1607.
DATEDATE-2009-LettninNBRGKRSR #hardware
Semiformal verification of temporal properties in automotive hardware dependent software (DL, PKN, JB, JR, JG, TK, WR, VS, SR), pp. 1214–1217.
DATEDATE-2009-RichterJE #framework #learning
Learning early-stage platform dimensioning from late-stage timing verification (KR, MJ, RE), pp. 851–857.
HPCAHPCA-2009-ChenLHCSWP #consistency #memory management #performance
Fast complete memory consistency verification (YC, YL, WH, TC, HS, PW, HP), pp. 381–392.
LCTESLCTES-2009-AndreM #requirements #specification
Specification and verification of time requirements with CCSL and Esterel (CA, FM), pp. 167–176.
PDPPDP-2009-HermannsGWW #performance #scalability
Verifying Causality between Distant Performance Phenomena in Large-Scale MPI Applications (MAH, MG, FW, BJNW), pp. 78–84.
PPoPPPPoPP-2009-VoVDGKT #source code
Formal verification of practical MPI programs (AV, SSV, MD, GG, RMK, RT), pp. 261–270.
SOSPSOSP-2009-KleinEHACDEEKNSTW #kernel #named
seL4: formal verification of an OS kernel (GK, KE, GH, JA, DC, PD, DE, KE, RK, MN, TS, HT, SW), pp. 207–220.
ESOPESOP-2009-KikuchiK #authentication #automation #encryption #protocol #type system
Type-Based Automated Verification of Authenticity in Cryptographic Protocols (DK, NK), pp. 222–236.
ESOPESOP-2009-LeinoM #concurrent #multi #source code #thread
A Basis for Verifying Multi-threaded Programs (KRML, PM), pp. 378–393.
ESOPESOP-2009-RajanTSL #composition #design #named #policy #web #web service
Tisa: A Language Design and Modular Verification Technique for Temporal Policies in Web Services (HR, JT, SMS, GTL), pp. 333–347.
ESOPESOP-2009-SchaferEM #attribute grammar #coq #formal method
Formalising and Verifying Reference Attribute Grammars in Coq (MS, TE, OdM), pp. 143–159.
FASEFASE-2009-BoronatHM #logic #model transformation #semantics
Rewriting Logic Semantics and Verification of Model Transformations (AB, RH, JM), pp. 18–33.
FASEFASE-2009-GiannakopoulouP #composition #generative #interface
Interface Generation and Compositional Verification in JavaPathfinder (DG, CSP), pp. 94–108.
FASEFASE-2009-Sery #specification
Enhanced Property Specification and Verification in BLAST (OS), pp. 456–469.
TACASTACAS-2009-BrughNR #dot-net #named #source code
MoonWalker: Verification of .NET Programs (NHMAdB, VYN, TCR), pp. 170–173.
TACASTACAS-2009-ChenFCTW #automaton #composition #learning
Learning Minimal Separating DFA’s for Compositional Verification (YFC, AF, EMC, YKT, BYW), pp. 31–45.
TACASTACAS-2009-EmmiJKM #implementation
Verifying Reference Counting Implementations (ME, RJ, EK, RM), pp. 352–367.
TACASTACAS-2009-YuBI #analysis #string
Symbolic String Verification: Combining String Analysis and Size Analysis (FY, TB, OHI), pp. 322–336.
CADECADE-2009-PlatzerQR
Real World Verification (AP, JDQ, PR), pp. 485–501.
CAVCAV-2009-BensalemBNS #composition #concurrent #detection #named
D-Finder: A Tool for Compositional Deadlock Detection and Verification (SB, MB, THN, JS), pp. 614–619.
CAVCAV-2009-BozgaHIKV #array #automation #integer #source code
Automatic Verification of Integer Array Programs (MB, PH, RI, FK, TV), pp. 157–172.
CAVCAV-2009-HuntS
Centaur Technology Media Unit Verification (WAHJ, SS), pp. 353–367.
CAVCAV-2009-KaivolaGNTWPSTFRN #execution #testing #validation
Replacing Testing with Formal Verification in Intel CoreTM i7 Processor Execution Engine Validation (RK, RG, NN, AT, JW, SP, AS, CT, VF, ER, AN), pp. 414–429.
CAVCAV-2009-Kim #performance
Mixed-Signal System Verification: A High-Speed Link Example (JK), p. 16.
CAVCAV-2009-LomuscioQR #model checking #multi #named
MCMAS: A Model Checker for the Verification of Multi-Agent Systems (AL, HQ, FR), pp. 682–688.
CAVCAV-2009-SrivastavaGF #named #smt
VS3: SMT Solvers for Program Verification (SS, SG, JSF), pp. 702–708.
CAVCAV-2009-Strichman #equivalence #proving #source code
Regression Verification: Proving the Equivalence of Similar Programs (OS), p. 63.
CAVCAV-2009-SunLDP #flexibility #named #towards
PAT: Towards Flexible Verification under Fairness (JS, YL, JSD, JP), pp. 709–714.
ICLPICLP-2009-MeraLH #framework #runtime #testing
Integrating Software Testing and Run-Time Checking in an Assertion Verification Framework (EM, PLG, MVH), pp. 281–295.
LICSLICS-2009-GollerMT #complexity #on the #process
On the Computational Complexity of Verifying One-Counter Processes (SG, RM, AWT), pp. 235–244.
TAPTAP-2009-NoriR #statistics #testing
Verification, Testing and Statistics (AVN, SKR), pp. 6–9.
VMCAIVMCAI-2009-Cortier #protocol #security
Verification of Security Protocols (VC), pp. 5–13.
VMCAIVMCAI-2009-Vafeiadis #abstraction
Shape-Value Abstraction for Verifying Linearizability (VV), pp. 335–348.
CBSECBSE-2008-ChoiB #component #design #towards
Towards Component-Based Design and Verification of a µ-Controller (YC, CB), pp. 196–211.
ECSAECSA-2008-Atkinson #architecture #component #testing
Component-Oriented Verification of Software Architectures through Built-in Tests (CA), p. 2.
DRRDRR-2008-BrinkKS #automation #identification
Automatic removal of crossed-out handwritten text and the effect on writer verification and identification (AB, HvdK, LS), p. 68150.
VLDBVLDB-2008-ShangZLY #algorithm #morphism #performance #testing
Taming verification hardness: an efficient algorithm for testing subgraph isomorphism (HS, YZ, XL, JXY), pp. 364–375.
CSMRCSMR-2008-LiTLMC #requirements #validation
Coping with Requirements Changes in Software Verification and Validation (SL, LT, WL, MM, GC), pp. 317–318.
ICALPICALP-B-2008-BrazdilFK #branch #markov #process #synthesis
Controller Synthesis and Verification for Markov Decision Processes with Qualitative Branching Time Objectives (TB, VF, AK), pp. 148–159.
ICALPICALP-C-2008-HallgrenKSZ #protocol #quantum
Making Classical Honest Verifier Zero Knowledge Protocols Secure against Quantum Attacks (SH, AK, PS, SZ), pp. 592–603.
FMFM-2008-ArvindDK #design
Getting Formal Verification into Design Flow (A, ND, MK), pp. 12–32.
FMFM-2008-EmmiGP #automaton #interface
Assume-Guarantee Verification for Interface Automata (ME, DG, CSP), pp. 116–131.
FMFM-2008-FuriaPR #approximate #automation #specification
Automated Verification of Dense-Time MTL Specifications Via Discrete-Time Approximation (CAF, MP, MR), pp. 132–147.
FMFM-2008-GrandyBSSR #protocol #security
Verification of Mondex Electronic Purses with KIV: From a Security Protocol to Verified Code (HG, MB, KS, GS, WR), pp. 165–180.
FMFM-2008-NollR #pointer #thread
Verifying Dynamic Pointer-Manipulating Threads (TN, SR), pp. 84–99.
SEFMSEFM-2008-BartheKPS #hybrid #proving
Preservation of Proof Pbligations for Hybrid Verification Methods (GB, CK, DP, JSF), pp. 127–136.
SEFMSEFM-2008-QuintonG #component #contract
Contract-Based Verification of Hierarchical Systems of Components (SQ, SG), pp. 377–381.
SEFMSEFM-2008-SchaeferP #adaptation #composition #embedded #modelling #reasoning
Compositional Reasoning in Model-Based Verification of Adaptive Embedded Systems (IS, APH), pp. 95–104.
SEFMSEFM-2008-XavierHM #fault tolerance #source code #using
Using Formal Verification to Reduce Test Space of Fault-Tolerant Programs (KSX, SH, ACVdM), pp. 181–190.
HaskellHaskell-2008-MitchellR #automation #pattern matching
Not all patterns, but enough: an automatic verifier for partial but sufficient pattern matching (NM, CR), pp. 49–60.
GT-VMTGT-VMT-2006-NarayananK08 #model transformation #towards
Towards Verifying Model Transformations (AN, GK), pp. 191–200.
GT-VMTGT-VMT-2008-BaresiGMM #abstraction #graph transformation #using
Using Graph Transformation Systems to Specify and Verify Data Abstractions (LB, CG, AM, MM).
GT-VMTGT-VMT-2008-BucchiaroneG #architecture #using
Dynamic Software Architectures Verification using DynAlloy (AB, JPG).
GT-VMTGT-VMT-2008-KumarM #automaton #sequence chart
Improving Live Sequence Chart to Automata Transformation for Verification (RK, EGM).
GT-VMTGT-VMT-2008-NarayananK #model transformation
Verifying Model Transformations by Structural Correspondence (AN, GK).
ICGTICGT-2008-Aalst #consistency #workflow
Discovery, Verification and Conformance of Workflows with Cancellation (WMPvdA), pp. 18–37.
ICGTICGT-2008-Bisztray #architecture #refactoring #tool support
Verification of Architectural Refactorings: Rule Extraction and Tool Support (DB), pp. 475–477.
ICGTICGT-2008-Horvath #approach #graph transformation #towards
Towards a Two Layered Verification Approach for Compiled Graph Transformation (ÁH), pp. 499–501.
ICGTICGT-2008-KonigK #graph transformation #towards
Towards the Verification of Attributed Graph Transformation Systems (BK, VK), pp. 305–320.
ICEISICEIS-DISI-2008-SalemGB #concept #modelling #multi #specification
Multi-Dimensional Modeling — Formal Specification and Verification of the Hierarchy Concept (AS, FG, HBA), pp. 317–322.
ICEISICEIS-ISAS1-2008-MoralesTPA #communication #composition #concept #model checking
A Conceptual Scheme for Compositional Model-Checking Verification of Critical Communicating Systems (LEMM, MICT, MAP, KBA), pp. 86–93.
ICEISICEIS-ISAS2-2008-BainaT #algorithm #graph #hybrid #towards #workflow
Toward a Hybrid Algorithm for Workflow Graph Structural Verification (FT, KB, WG), pp. 442–447.
ICEISICEIS-ISAS2-2008-TobarraCPC #protocol
Formal Verification of the Secure Sockets Layer Protocol (MLT, DC, JJP, FC), pp. 246–252.
ICEISICEIS-J-2008-MoralesCPA #composition #model checking
Compositional Model-Checking Verification of Critical Systems (LEMM, MIC, MAP, KBA), pp. 213–225.
ICPRICPR-2008-IbrahimG #online #using
On-line signature verification by using most discriminating points (MTI, LG), pp. 1–4.
ICPRICPR-2008-LiuW #online
Template selection for on-line signature verification (NL, YW), pp. 1–4.
ICPRICPR-2008-MottlLSY #kernel #online
Signature verification based on fusion of on-line and off-line kernels (VM, ML, VS, AY), pp. 1–4.
ICPRICPR-2008-NeebaJ #recognition
Recognition of books by verification and retraining (NVN, CVJ), pp. 1–4.
SEKESEKE-2008-ChenLMW #algorithm #case study #optimisation #polynomial #problem
Verification of Optimization Algorithms: a Case Study of a Quadratic Assignment Problem Solver (TYC, HL, RGM, DW), pp. 16–21.
SEKESEKE-2008-GarciaRS #automation #ltl #named
PROTEF: Automatic Verification of Pattern-Based LTL Templates (LG, SR, SS), pp. 261–266.
SEKESEKE-2008-KloukinasSM #distributed #runtime
Estimating Event Lifetimes for Distributed Runtime Verification (CK, GS, KM), pp. 117–122.
SEKESEKE-2008-NakaoaTM #case study #independence #validation
Estimating the Effort of Independent Verification and Validation in the Context of Mission-critical Software Systems — A Case Study (HN, AT, JM), pp. 167–172.
SEKESEKE-2008-PengDZ #behaviour #correctness #design pattern #implementation
Verifying Behavioral Correctness of Design Pattern Implementation (TP, JD, YZ), pp. 454–459.
SEKESEKE-2008-Shaffer #domain model #security #source code #static analysis
A Security Domain Model for Static Analysis and Verification of Software Programs (ABS), pp. 673–678.
MODELSMoDELS-2008-ZamaniKB #enterprise #pattern matching
A Pattern Language Verifier for Web-Based Enterprise Applications (BZ, SK, GB), pp. 553–567.
MODELSMoDELS-2008-ZamaniKB #enterprise #pattern matching
A Pattern Language Verifier for Web-Based Enterprise Applications (BZ, SK, GB), pp. 553–567.
ECOOPECOOP-2008-DrossopoulouFMS #framework #invariant
A Unified Framework for Verification Techniques for Object Invariants (SD, AF, PM, AJS), pp. 412–437.
OOPSLAOOPSLA-2008-BeckmanBA #type system
Verifying correct usage of atomic blocks and typestate (NEB, KB, JA), pp. 227–244.
OOPSLAOOPSLA-2008-DistefanoP #java #named #towards
jStar: towards practical verification for java (DD, MJP), pp. 213–226.
AdaEuropeAdaEurope-2008-OberH #on the
On the Timed Automata-Based Verification of Ravenscar Systems (IO, NH), pp. 30–43.
PEPMPEPM-2008-PietrzakCPH #analysis #composition #prolog #source code
A practical type analysis for verification of modular prolog programs (PP, JC, GP, MVH), pp. 61–70.
PEPMPEPM-2008-SultanaT #refactoring
Mechanical verification of refactorings (NS, SJT), pp. 51–60.
PLDIPLDI-2008-ZeeKR #data type #functional #linked data #open data
Full functional verification of linked data structures (KZ, VK, MCR), pp. 349–361.
POPLPOPL-2008-ChinDNQ #composition #logic #object-oriented
Enhancing modular OO verification with separation logic (WNC, CD, HHN, SQ), pp. 87–99.
POPLPOPL-2008-LahiriQ #precise #smt #using
Back to the future: revisiting precise program verification using SMT solvers (SKL, SQ), pp. 171–182.
POPLPOPL-2008-TristanL #case study #optimisation #scheduling #validation
Formal verification of translation validators: a case study on instruction scheduling optimizations (JBT, XL), pp. 17–27.
PPDPPPDP-2008-Leuschel #declarative #programming
Declarative programming for verification: lessons and outlook (ML), pp. 1–7.
REFSQREFSQ-2008-MarincicMW #embedded #requirements
Classifying Assumptions Made during Requirements Verification of Embedded Systems (JM, AM, RW), pp. 141–146.
ASEASE-2008-BordiniDFF #automation #multi #source code
Automated Verification of Multi-Agent Programs (RHB, LAD, BF, MF), pp. 69–78.
ASEASE-2008-BordinPP #agile #modelling #prototype #realtime
Rapid Model-Driven Prototyping and Verification of High-Integrity Real-Time Systems (MB, MP, SP), pp. 491–492.
ASEASE-2008-HolzmannJG
Swarm Verification (GJH, RJ, AG), pp. 1–6.
ASEASE-2008-IspirC #aspect-oriented #programming
An Assume Guarantee Verification Methodology for Aspect-Oriented Programming (MI, ABC), pp. 391–394.
ASEASE-2008-PostS
Configuration Lifting: Verification meets Software Configuration (HP, CS), pp. 347–350.
FSEFSE-2008-YuWGB #composition #encoding #performance #summary #using #web #web service
Modular verification of web services using efficient symbolic encoding and summarization (FY, CW, AG, TB), pp. 192–202.
ICSEICSE-2008-BeekGKM
Formal verification of an automotive scenario in service-oriented computing (MHtB, SG, NK, FM), pp. 613–622.
ICSEICSE-2008-ChenDS #calculus
A verification system for timed interval calculus (CC, JSD, JS), pp. 271–280.
ICSEICSE-2008-ChenY #constraints #dependence #grid #workflow
Temporal dependency based checkpoint selection for dynamic verification of fixed-time constraints in grid workflow systems (JC, YY), pp. 141–150.
SACSAC-2008-HojjatMS #algebra #evaluation #framework #functional #performance #probability #process
A framework for performance evaluation and functional verification in stochastic process algebras (HH, MRM, MS), pp. 339–346.
SACSAC-2008-LiQWLW #consistency #diagrams #interactive #java #runtime #source code #state machine #uml
UML state machine diagram driven runtime verification of Java programs for message interaction consistency (XL, XQ, LW, BL, WEW), pp. 384–389.
SACSAC-2008-MeloNX #java #source code #testing #towards
Towards verification and testing of Java programs (ACVdM, PRFN, KSX), pp. 730–734.
SACSAC-2008-ShiYLZ #composition #semantics #web #web service
Path-based verification for composition of semantic web services (YS, JY, ZJL, JZ), pp. 2392–2396.
SACSAC-2008-XuWQ #modelling #using
Modeling and verifying BPEL using synchronized net (CX, HW, WQ), pp. 2358–2362.
GPCEGPCE-2008-DenneyF #automation #generative
Generating customized verifiers for automatically generated code (ED, BF), pp. 77–88.
CASECASE-2008-BenedettoDSW #automation #mining
Automatic verification of wireless control in a mining ventilation system (MDDB, AD, ES, EW), pp. 858–863.
CASECASE-2008-LjungkrantzAF #component #industrial #logic #programming #specification
Formal specification and verification of components for industrial logic control programming (OL, , MF), pp. 935–940.
CASECASE-2008-ZhangMT #rule-based
Verification of ECA rule based management and control systems (JZ, JRM, DMT), pp. 1–7.
CCCC-2008-MalePPD #bytecode #java
Java Bytecode Verification for @NonNull Types (CM, DJP, AP, CD), pp. 229–244.
DACDAC-2008-Beers #experience
Pre-RTL formal verification: an intel experience (RB), pp. 806–811.
DACDAC-2008-Cummings #design
SystemVerilog implicit port enhancements accelerate system design & verification (CEC), pp. 231–236.
DACDAC-2008-HaldarSPDG #c++ #modelling
Construction of concrete verification models from C++ (MH, GS, SP, BD, AG), pp. 942–947.
DACDAC-2008-HoTDDGS #identification #logic
Early formal verification of conditional coverage points to identify intrinsically hard-to-verify logic (RCH, MT, MMD, ROD, JG, DES), pp. 268–271.
DACDAC-2008-KuehlmannBCRMN
Verifying really complex systems: on earth and beyond (AK, AB, DEC, RAR, RMM, AN), pp. 552–553.
DACDAC-2008-LiZY #analysis
Full-chip leakage analysis in nano-scale technologies: mechanisms, variation sources, and verification (TL, WZ, ZY), pp. 594–599.
DACDAC-2008-MenezesKA #grid #power management
A “true” electrical cell model for timing, noise, and power grid verification (NM, CVK, CSA), pp. 462–467.
DACDAC-2008-Mitra
Strategies for mainstream usage of formal verification (RSM), pp. 800–805.
DACDAC-2008-Moon #composition #optimisation
Compositional verification of retiming and sequential optimizations (IHM), pp. 131–136.
DACDAC-2008-Ng #challenge #modelling #using
Challenges in using system-level models for RTL verification (KN), pp. 812–815.
DACDAC-2008-SenOA #multi #predict #runtime
Predictive runtime verification of multi-processor SoCs in SystemC (AS, VO, MSA), pp. 948–953.
DACDAC-2008-TurumellaS #concurrent #thread
Assertion-based verification of a 32 thread SPARCTM CMT microprocessor (BT, MS), pp. 256–261.
DATEDATE-2008-ChengH #invariant #mining
Simulation-Directed Invariant Mining for Software Verification (XC, MSH), pp. 682–687.
DATEDATE-2008-FreuerJGN #constraints #design #higher-order #on the
On the Verification of High-Order Constraint Compliance in IC Design (JBF, GJ, JG, WN), pp. 26–31.
DATEDATE-2008-LaiHK #identification #multi
Improving Constant-Coefficient Multiplier Verification by Partial Product Identification (CYL, CYH, KYK), pp. 813–818.
DATEDATE-2008-LettninNRKRKSR #embedded
Verification of Temporal Properties in Automotive Embedded Software (DL, PKN, JR, TK, WR, TK, VS, SR), pp. 164–169.
DATEDATE-2008-WagnerB #adaptation #design #manycore #named
MCjammer: Adaptive Verification for Multi-core Designs (IW, VB), pp. 670–675.
DATEDATE-2008-WeinbergerBB #design #modelling #petri net #process #workflow
Application of Workflow Petri Nets to Modeling of Formal Verification Processes in Design Flow of Digital Integrated Circuits (KW, SB, RB), pp. 937–938.
PDPPDP-2008-CeccatoNT #performance #trust
Distributing Trust Verification to Increase Application Performance (MC, JN, PT), pp. 604–610.
ESOPESOP-2008-LeinoM
Verification of Equivalent-Results Methods (KRML, PM), pp. 307–321.
ESOPESOP-2008-Ong #approach #higher-order #semantics
Verification of Higher-Order Computation: A Game-Semantic Approach (CHLO), pp. 299–306.
FASEFASE-2008-BisztrayHE #architecture #refactoring
Verification of Architectural Refactorings by Rule Extraction (DB, RH, HE), pp. 347–361.
FASEFASE-2008-CiobanuK #interactive #migration #modelling
Modelling and Verification of Timed Interaction and Migration (GC, MK), pp. 215–229.
FASEFASE-2008-FantechiGLMPT #approach #model checking #specification
A Model Checking Approach for Verifying COWS Specifications (AF, SG, AL, FM, RP, FT), pp. 230–245.
FASEFASE-2008-SmansJPS #automation #java #source code
An Automatic Verifier for Java-Like Programs Based on Dynamic Frames (JS, BJ, FP, WS), pp. 261–275.
TACASTACAS-2008-AlkassarSS #pervasive
Formal Pervasive Verification of a Paging Mechanism (EA, NS, AS), pp. 109–123.
TACASTACAS-2008-FarzanCCTW #automation #composition #regular expression
Extending Automated Compositional Verification to the Full Class of ω-Regular Languages (AF, YFC, EMC, YKT, BYW), pp. 2–17.
TACASTACAS-2008-FismanKL #distributed #fault tolerance #on the #protocol
On Verifying Fault Tolerance of Distributed Protocols (DF, OK, YL), pp. 315–331.
TACASTACAS-2008-IhlemannJS #on the #reasoning
On Local Reasoning in Verification (CI, SJ, VSS), pp. 265–281.
TACASTACAS-2008-LegayMOW #automation #on the #probability #source code
On Automated Verification of Probabilistic Programs (AL, ASM, JO, JW), pp. 173–187.
TACASTACAS-2008-Malik #hardware
Hardware Verification: Techniques, Methodology and Solutions (SM), p. 1.
TACASTACAS-2008-SaksenaWJ #ad hoc #graph grammar #modelling #protocol
Graph Grammar Modeling and Verification of Ad Hoc Routing Protocols (MS, OW, BJ), pp. 18–32.
TACASTACAS-2008-WahlBE #named #symmetry
SVISS: Symbolic Verification of Symmetric Systems (TW, NB, EAE), pp. 459–462.
CAVCAV-2008-BaswanaMP #consistency #memory management #set
Implied Set Closure and Its Application to Memory Consistency Verification (SB, SKM, VP), pp. 94–106.
CAVCAV-2008-BurckhardtM #effectiveness #memory management #modelling
Effective Program Verification for Relaxed Memory Models (SB, MM), pp. 107–120.
CAVCAV-2008-CohenPZ #memory management #transaction
Mechanical Verification of Transactional Memories with Non-transactional Memory Accesses (AC, AP, LDZ), pp. 121–134.
CAVCAV-2008-Cremers #analysis #protocol #security
The Scyther Tool: Verification, Falsification, and Analysis of Security Protocols (CJFC), pp. 414–418.
CAVCAV-2008-EisnerNY #composition #design #functional #power management #reasoning
Functional Verification of Power Gated Designs by Compositional Reasoning (CE, AN, KY), pp. 433–445.
CAVCAV-2008-Foster #industrial
Assertion-Based Verification: Industry Myths to Realities (HF), pp. 5–10.
CAVCAV-2008-Harrison #proving #theorem proving
Theorem Proving for Verification (JH), pp. 11–18.
CAVCAV-2008-JoshiK #graph transformation #theorem
Applying the Graph Minor Theorem to the Verification of Graph Transformation Systems (SJ, BK), pp. 214–226.
CAVCAV-2008-MeikleF #approach #proving
Prover’s Palette: A User-Centric Approach to Verification with Isabelle and QEPCAD-B (LIM, JDF), pp. 309–313.
CAVCAV-2008-NguyenC
Enhancing Program Verification with Lemmas (HHN, WNC), pp. 355–369.
CAVCAV-2008-VakkalankaGK #order #reduction #source code
Dynamic Verification of MPI Programs with Reductions in Presence of Split Operations and Relaxed Orderings (SSV, GG, RMK), pp. 66–79.
ICLPICLP-2008-MontaliTACGLM #declarative #logic programming #specification #using
Verification from Declarative Specifications Using Logic Programming (MM, PT, MA, FC, MG, EL, PM), pp. 440–454.
ICSTICST-2008-RamirezC #adaptation #logic #modelling #uml
Verifying and Analyzing Adaptive Logic through UML State Models (AJR, BHCC), pp. 529–532.
ICSTICST-2008-UbayashiPST #aspect-oriented #contract #refactoring
Contract-Based Verification for Aspect-Oriented Refactoring (NU, JP, SS, TT), pp. 180–189.
IJCARIJCAR-2008-Comon-Lundh #automation #challenge #protocol #security
Challenges in the Automated Verification of Security Protocols (HCL), pp. 396–409.
IJCARIJCAR-2008-Gupta #automation #challenge
Software Verification: Roles and Challenges for Automatic Decision Procedures (AG), p. 1.
ISSTAISSTA-2008-LoginovYCFRN #analysis #safety
Verifying dereference safety via expanding-scope analysis (AL, EY, SC, SF, NR, MGN), pp. 213–224.
MBTMBT-2008-BruckerBW #empirical #proving
Verifying Test-Hypotheses: An Experiment in Test and Proof (ADB, LB, BW), pp. 15–27.
TAPTAP-2008-EngelGKR #object-oriented #testing
Integrating Verification and Testing of Object-Oriented Software (CE, CG, VK, PR), pp. 182–191.
TAPTAP-2008-Hennell #experience
The First Thirty Years: Experience with Software Verification (MAH), pp. 1–3.
FATESTestCom-FATES-2008-GrozLPS #analysis #composition #reachability #testing
Modular System Verification by Inference, Testing and Reachability Analysis (RG, KL, AP, MS), pp. 216–233.
FATESTestCom-FATES-2008-Havelund #c #runtime #source code
Runtime Verification of C Programs (KH), pp. 7–22.
VMCAIVMCAI-2008-Goldberg #on the #simulation
On Bridging Simulation and Formal Verification (EG), pp. 127–141.
VMCAIVMCAI-2008-Palsberg
Verification of Register Allocators (JP), p. 6.
CBSECBSE-2007-BaiWDTC #collaboration #contract #framework #validation #web #web service
A Framework for Contract-Based Collaborative Verification and Validation of Web Services (XB, YW, GD, WTT, YC), pp. 258–273.
ECSAECSA-2007-JeradBG #architecture #maude
Hierarchical Verification in Maude of L f P Software Architectures (CJ, KB, AGT), pp. 156–170.
ICDARICDAR-2007-AraujoCF #approach #online
An Approach to Improve Accuracy Rate of On-line Signature Verification Systems of Different Sizes (RA, GDCC, ECBCF), pp. 332–336.
ICDARICDAR-2007-BhardwajSSS #on the #using
On the Use of Lexeme Features for Writer Verification (AB, AS, HS, SNS), pp. 1088–1092.
ICDARICDAR-2007-BrinkSB #identification #towards #using
Towards Explainable Writer Verification and Identification Using Vantage Writers (AB, LS, MB), pp. 824–828.
ICDARICDAR-2007-BulacuSB #identification #independence
Text-Independent Writer Identification and Verification on Offline Arabic Handwriting (MB, LS, AB), pp. 769–773.
ICDARICDAR-2007-ChangS #online
Modified Dynamic Time Warping for Stroke-Based On-line Signature Verification (WC, JS), pp. 724–728.
ICDARICDAR-2007-CoetzerS
A Human-Centric Off-Line Signature Verification System (HC, RS), pp. 153–157.
ICDARICDAR-2007-HummIH #modelling #statistics #using
Spoken Handwriting Verification Using Statistical Models (AH, RI, JH), pp. 999–1003.
ICDARICDAR-2007-Martinez-DiazFFO #on the
On The Effects of Sampling Rate and Interpolation in HMM-Based Dynamic Signature Verification (MMD, JFA, MRF, JOG), pp. 1113–1117.
ICDARICDAR-2007-NejadR #identification
A New Method for Writer Identification and Verification Based on Farsi/Arabic Handwritten Texts (FN, MR), pp. 829–833.
ICDARICDAR-2007-NguyenBML #classification #using
Off-line Signature Verification Using Enhanced Modified Direction Features in Conjunction with Neural Classifiers and Support Vector Machines (VN, MB, VM, GL), pp. 734–738.
ICDARICDAR-2007-PapavassiliouSKC #parametricity
A Parametric Spectral-Based Method for Verification of Text in Videos (VP, TS, VK, GC), pp. 879–883.
ICDARICDAR-2007-Schomaker07a #identification #roadmap
Advances in Writer Identification and Verification (LS), pp. 1268–1273.
ICDARICDAR-2007-SrinivasanKHS #on the
On Computing Strength of Evidence for Writer Verification (HS, SK, CH, SNS), pp. 844–848.
ICDARICDAR-2007-VargasFTA07a #image #performance
Off-line Signature Verification System Performance against Image Acquisition Resolution (JFVB, MAFB, CMTG, JBA), pp. 834–838.
CSMRCSMR-2007-SzegediGBGT #concept #java #slicing #source code
Verifying the Concept of Union Slices on Java Programs (AS, TG, ÁB, TG, GT), pp. 233–242.
LATALATA-2007-TorreNPP #state machine
Verification of Succinct Hierarchical State Machines (SLT, MN, MP, GP), pp. 485–496.
IFMIFM-2007-AguirreRM #community #design
Verifying Temporal Properties of CommUnity Designs (NA, GR, TSEM), pp. 1–20.
IFMIFM-2007-BraghinSB #automation #mobile #policy #security
Automated Verification of Security Policies in Mobile Code (CB, NS, KBA), pp. 37–53.
IFMIFM-2007-Bruckner #concurrent #realtime #slicing #specification
Slicing Concurrent Real-Time System Specifications for Verification (IB), pp. 54–74.
IFMIFM-2007-FaberJS #data type #parametricity #specification
Verifying CSP-OZ-DC Specifications with Complex Data Types and Timing Parameters (JF, SJ, VSS), pp. 233–252.
IFMIFM-2007-FehnkerHM #modelling #network #protocol
Modelling and Verification of the LMAC Protocol for Wireless Sensor Networks (AF, LvH, AM), pp. 253–272.
IFMIFM-2007-HanebergGRS #approach #smarttech
Verifying Smart Card Applications: An ASM Approach (DH, HG, WR, GS), pp. 313–332.
IFMIFM-2007-HasanT #cumulative #probability #using
Verification of Probabilistic Properties in HOL Using the Cumulative Distribution Function (OH, ST), pp. 333–352.
IFMIFM-2007-Metzler #specification
Decomposing Integrated Specifications for Verification (BM), pp. 459–479.
IFMIFM-2007-OostdijkRTVW #encryption #learning #protocol #testing
Integrating Verification, Testing, and Learning for Cryptographic Protocols (MO, VR, JT, RGdV, TACW), pp. 538–557.
IFMIFM-2007-PodorozhnyKPZ #alloy #multi #using
Verification of Multi-agent Negotiations Using the Alloy Analyzer (RMP, SK, DEP, XZ), pp. 501–517.
IFMIFM-2007-PostK #linux #static analysis
Integrated Static Analysis for Linux Device Driver Verification (HP, WK), pp. 518–537.
RTARTA-2007-Leroy #compilation #optimisation
Formal Verification of an Optimizing Compiler (XL), p. 1.
SEFMSEFM-2007-BeckertK #concurrent #deduction #logic #source code
A Dynamic Logic for Deductive Verification of Concurrent Programs (BB, VK), pp. 141–150.
SEFMSEFM-2007-CansellGM
Formal verification of tamper-evident storage for e-voting (DC, JPG, DM), pp. 329–338.
SEFMSEFM-2007-ColvinG #algorithm #scalability #stack
A Scalable Lock-Free Stack Algorithm and its Verification (RC, LG), pp. 339–348.
SEFMSEFM-2007-CrockerC #automation #c #reasoning #source code #using
Verification of C Programs Using Automated Reasoning (DC, JC), pp. 7–14.
SEFMSEFM-2007-MehraRSJ #relational
Verification of Object Relational Maps (KKM, SKR, APS, SKJ), pp. 283–292.
SEFMSEFM-2007-SahaRC #modelling #protocol #using
Modeling and Verification of TTCAN Startup Protocol Using Synchronous Calendar (IS, SR, KC), pp. 69–79.
SEFMSEFM-2007-SalehD #approach #novel #security
Verifying Security Properties of Cryptoprotocols: A Novel Approach (MS, MD), pp. 349–360.
SEFMSEFM-2007-SchmittT #case study
Verifying the Mondex Case Study (PHS, IT), pp. 47–58.
AGTIVEAGTIVE-2007-BauerDTW #analysis #constraints #ocl #synthesis
Verification and Synthesis of OCL Constraints Via Topology Analysis (JB, WD, TT, BW), pp. 361–376.
GT-VMTGT-VMT-2007-BisztrayH #csp #process #using
Rule-Level Verification of Business Process Transformations using CSP (DB, RH).
GT-VMTGT-VMT-2007-RangelKE #approach #bisimulation
Bisimulation Verification for the DPO Approach with Borrowed (GR, BK, HE).
HCIHCI-AS-2007-LinKTKT #design #development #process #visual notation
Verification of Development of Scenarios Method and Visual Formats for Design Process (HL, MK, HT, HK, TT), pp. 1095–1101.
HCIHIMI-MTT-2007-SatoKF #concept #interface #precise
Basic Experimental Verification of Grasping Information Interface Concept, Grasping Force Increases in Precise Periods (SS, MK, YF), pp. 180–188.
CAiSECAiSE-2007-MendlingA #formal method
Formalization and Verification of EPCs with OR-Joins Based on State and Context (JM, WMPvdA), pp. 439–453.
ICEISICEIS-AIDSS-2007-Aalst #analysis #mining #process #roadmap
Trends in business process analysis — from verification to process mining (WMPvdA), pp. 5–9.
ICEISICEIS-DISI-2007-Aalst #analysis #mining #process #roadmap
Trends in business process analysis — from verification to process mining (WMPvdA), pp. 5–9.
ICEISICEIS-EIS-2007-Aalst #analysis #mining #process #roadmap
Trends in business process analysis — from verification to process mining (WMPvdA), pp. 5–9.
ICEISICEIS-EIS-2007-CombemaleGCTV #case study #process #towards
Towards a Formal Verification of Process Model’s Properties SIMPLEPDL and TOCL Case Study (BC, PLG, XC, XT, FV), pp. 80–89.
ICEISICEIS-EIS-2007-SomeN #case study #consistency #requirements
Use Case Based Requirements Verification — Verifying the Consistency between Use Cases and Assertions (SSS, DKN), pp. 190–195.
ICEISICEIS-HCI-2007-Aalst #analysis #mining #process #roadmap
Trends in business process analysis — from verification to process mining (WMPvdA), pp. 5–9.
ICEISICEIS-J-2007-CombemaleCGTV #approach #modelling #process
A Property-Driven Approach to Formal Verification of Process Models (BC, XC, PLG, XT, FV), pp. 286–300.
ICEISICEIS-SAIC-2007-Aalst #analysis #mining #process #roadmap
Trends in business process analysis — from verification to process mining (WMPvdA), pp. 5–9.
ICEISICEIS-SAIC-2007-BoukadiGMB #petri net #specification #using #web #web service
Specification and Verification of Views over Composite Web Services Using High Level Petri-Nets (KB, CG, ZM, DB), pp. 107–112.
CIKMCIKM-2007-RoussinovT #online #semantics
Semantic verification in an online fact seeking environment (DR, OT), pp. 71–78.
OOPSLAOOPSLA-2007-ChenR #framework #named #performance #runtime
Mop: an efficient and generic runtime verification framework (FC, GR), pp. 569–588.
OOPSLAOOPSLA-2007-ShanerLN #composition #higher-order #source code
Modular verification of higher-order methods with mandatory calls specified by model programs (SMS, GTL, DAN), pp. 351–368.
PADLPADL-2007-AlbertGHP #analysis #bytecode #java #logic programming #source code #using
Verification of Java Bytecode Using Analysis and Transformation of Logic Programs (EA, MGZ, LH, GP), pp. 124–139.
POPLPOPL-2007-GulwaniJ #probability
Program verification as probabilistic inference (SG, NJ), pp. 277–289.
POPLPOPL-2007-ParkinsonBO #composition #stack
Modular verification of a non-blocking stack (MJP, RB, PWO), pp. 297–302.
PPDPPPDP-2007-BentonZ #compilation #formal method #semantics
Formalizing and verifying semantic type soundness of a simple compiler (NB, UZ), pp. 1–12.
SASSAS-2007-GallJ #automaton #infinity #representation
Lattice Automata: A Representation for Languages on Infinite Alphabets, and Some Applications to Verification (TLG, BJ), pp. 52–68.
SASSAS-2007-MalkisPR #precise #thread
Precise Thread-Modular Verification (AM, AP, AR), pp. 218–232.
SASSAS-2007-NandivadaPP #evaluation #framework
A Framework for End-to-End Verification and Evaluation of Register Allocators (VKN, FMQP, JP), pp. 153–169.
SASSAS-2007-ShohamG #abstraction #composition
Compositional Verification and 3-Valued Abstractions Join Forces (SS, OG), pp. 69–86.
ASEASE-2007-BarlasB #distributed #framework #java #named
Netstub: a framework for verification of distributed java applications (EB, TB), pp. 24–33.
ASEASE-2007-BlancGK #abstraction #c++
Verifying C++ with STL containers via predicate abstraction (NB, AG, DK), pp. 521–524.
ASEASE-2007-CabotCR #constraints #modelling #named #ocl #programming #uml #using
UMLtoCSP: a tool for the formal verification of UML/OCL models using constraint programming (JC, RC, DR), pp. 547–548.
ASEASE-2007-Leino #specification
Specifying and verifying software (KRML), p. 2.
ESEC-FSEESEC-FSE-2007-AldrichBGLS #component #specification
Specification and verification of component-based systems 2007 (JA, MB, DG, GTL, NS), pp. 609–610.
ESEC-FSEESEC-FSE-2007-Hanna #implementation #lightweight #named #network #protocol #security
SLEDE: lightweight verification of sensor network security protocol implementations (YH), pp. 591–594.
ESEC-FSEESEC-FSE-2007-Kwiatkowska #modelling #tool support
Quantitative verification: models techniques and tools (MZK), pp. 449–458.
ESEC-FSEESEC-FSE-2007-PradellaMP #symmetry
The symmetry of the past and of the future: bi-infinite time in the verification of temporal properties (MP, AM, PSP), pp. 312–320.
ICSEICSE-2007-BaresiGM #architecture #automation #on the
On Accurate Automatic Verification of Publish-Subscribe Architectures (LB, CG, LM), pp. 199–208.
ICSEICSE-2007-Chalin #compilation #evolution #semantics
A Sound Assertion Semantics for the Dependable Systems Evolution Verifying Compiler (PC), pp. 23–33.
ICSEICSE-2007-GroceHJ #difference #random #testing
Randomized Differential Testing as a Prelude to Formal Verification (AG, GJH, RJ), pp. 621–631.
SACSAC-2007-GooneratneTH #algorithm #graph #traversal #using #web #web service
Verification of web service descriptions using graph-based traversal algorithms (NG, ZT, JH), pp. 1385–1392.
SACSAC-2007-Lamari #automation #generative #model transformation #testing #towards
Towards an automated test generation for the verification of model transformations (ML), pp. 998–1005.
SACSAC-2007-Li #abstraction #parametricity #protocol #proving
Mechanized proofs for the parameter abstraction and guard strengthening principle in parameterized verification of cache coherence protocols (YL), pp. 1534–1535.
SACSAC-2007-MorimotoSGC #security #specification
Formal verification of security specifications with common criteria (SM, SS, YG, JC), pp. 1506–1512.
SACSAC-2007-OliveiraAS #component #formal method #model checking #modelling #petri net #using
Formal modelling and verification of a component model using coloured petri nets and model checking (EASO, HOdA, LDdS), pp. 1427–1431.
SACSAC-2007-PaliwalAB #distributed #using #web #web service
Web service orchestration and verification using MSC and CP nets (AVP, NRA, CB), pp. 1693–1694.
SACSAC-2007-PloegerS #analysis #automation #documentation
Analysis and verification of an automatic document feeder (BP, LJS), pp. 1499–1505.
SACSAC-2007-RaedtsPSWSB #automation #framework
A software framework for automated verification (IR, MP, AS, JMEMvdW, LJS, MB), pp. 1031–1032.
SACSAC-2007-SantosBOJ #approach #documentation #forensics
Off-line signature verification based on forensic questioned document examination approach (CRS, FB, LSO, EJRJ), pp. 637–638.
CASECASE-2007-AnLM #collaboration #design
Expression and Verification of Task Management in Collaborative Design (YSA, RHL, AM), pp. 800–805.
CASECASE-2007-ReveliotisR0 #algebra #concurrent #correctness #policy #programming
Correctness Verification of Generalized Algebraic Deadlock Avoidance Policies through Mathematical Programming (SR, ER, JYC), pp. 200–206.
CASECASE-2007-YangM #approach #automation #feature model #interactive #matrix
Automatic Feasibility Verification of Object Configurations: A New Approach Based on Feature Interaction Matrices (FY, MMM), pp. 686–691.
COCVCOCV-2007-GallardoJM #analysis #data flow #on the fly
On-the-Fly Data Flow Analysis Based on Verification Technology (MdMG, CJ, PM), pp. 33–48.
COCVCOCV-2007-Hamilton #source code
Distilling Programs for Verification (GWH), pp. 17–32.
DACDAC-2007-BacchiniHFRLTPZ #question
Verification Coverage: When is Enough, Enough? (FB, AJH, TF, RR, DL, MT, AP, AZ), pp. 744–745.
DACDAC-2007-KasuyaT #design
Verification Methodologies in a TLM-to-RTL Design Flow (AK, TT), pp. 199–204.
DACDAC-2007-LongS
Synthesizing SVA Local Variables for Formal Verification (JL, AS), pp. 75–80.
DACDAC-2007-MathurK #design #modelling
Design for Verification in System-level Models and RTL (AM, VK), pp. 193–198.
DACDAC-2007-PetlinS #functional #multi
Functional Verification of SiCortex Multiprocessor System-on-a-Chip (OP, WS), pp. 906–909.
DACDAC-2007-Vardi
Formal Techniques for SystemC Verification; Position Paper (MYV), pp. 188–192.
DACDAC-2007-YangHH #automation #behaviour #design
Automatic Verification of External Interrupt Behaviors for Microprocessor Design (FCY, WKH, IJH), pp. 896–901.
DATEDATE-2007-Al-SammaneZT #design
A symbolic methodology for the verification of analog and mixed signal designs (GAS, MHZ, ST), pp. 249–254.
DATEDATE-2007-BronckersSPVR #analysis #interactive #simulation
Interactive presentation: Simulation methodology and experimental verification for the analysis of substrate noise on LC-VCO’s (SB, CS, GVdP, GV, YR), pp. 1520–1525.
DATEDATE-2007-LeGB #pervasive
Formal verification of a pervasive interconnect bus system in a high-performance microprocessor (TL, TG, JB), pp. 219–224.
DATEDATE-2007-LisselGG #design #industrial #perspective
Introducing new verification methods into a company’s design flow: an industrial user’s point of view (RL, JG), pp. 689–694.
WRLAWRLA-J-2004-MeseguerT07 #analysis #encryption #protocol #reachability #using
Symbolic reachability analysis using narrowing and its application to verification of cryptographic protocols (JM, PT), pp. 123–160.
WRLAWRLA-2006-SasseM07 #algebra #hoare #java #logic #semantics
Java+ITP: A Verification Tool Based on Hoare Logic and Algebraic Semantics (RS, JM), pp. 29–46.
ESOPESOP-2007-BarthePR #bytecode #java #lightweight
A Certified Lightweight Non-interference Java Bytecode Verifier (GB, DP, TR), pp. 125–140.
ESOPESOP-2007-LeinoS #invariant #using
Using History Invariants to Verify Observers (KRML, WS), pp. 80–94.
STOCSTOC-2007-GoldwasserGHKR #constant
Verifying and decoding in constant depth (SG, DG, AH, TK, GNR), pp. 440–449.
TACASTACAS-2007-AbdullaDHR #model checking #performance #transducer
Regular Model Checking Without Transducers (On Efficient Verification of Parameterized Systems) (PAA, GD, NBH, AR), pp. 721–736.
TACASTACAS-2007-CimattiRT #optimisation
Syntactic Optimizations for PSL Verification (AC, MR, ST), pp. 505–518.
TACASTACAS-2007-FriasPM #alloy #analysis #specification
Alloy Analyzer+PVS in the Analysis and Verification of Alloy Specifications (MFF, CLP, MMM), pp. 587–601.
TACASTACAS-2007-GheorghiuGP #composition #interface
Refining Interface Alphabets for Compositional Verification (MG, DG, CSP), pp. 292–307.
TACASTACAS-2007-GoldmanK #composition #named
MAVEN: Modular Aspect Verification (MG, SK), pp. 308–322.
TACASTACAS-2007-Leino #challenge #object-oriented
Verifying Object-Oriented Software: Lessons and Challenges (KRML), p. 2.
CADECADE-2007-GeBT #modulo theories #quantifier #satisfiability #using
Solving Quantified Verification Conditions Using Satisfiability Modulo Theories (YG, CB, CT), pp. 167–182.
CADECADE-2007-Leino #design
Designing Verification Conditions for Software (KRML), p. 345.
CADECADE-2007-MurkLH #c #named #source code
KeY-C: A Tool for Verification of C Programs (OM, DL, RH), pp. 385–390.
CADECADE-2007-VerchinineLP #automation #deduction #proving
System for Automated Deduction (SAD): A Tool for Proof Verification (KV, AVL, AP), pp. 398–403.
CAVCAV-2007-AbdullaDR #infinity #process
Parameterized Verification of Infinite-State Processes with Global Conditions (PAA, GD, AR), pp. 145–157.
CAVCAV-2007-AmitRRSY #abstraction #comparison
Comparison Under Abstraction for Verifying Linearizability (DA, NR, TWR, MS, EY), pp. 477–490.
CAVCAV-2007-BabicH #abstraction
Structural Abstraction of Software Verification Conditions (DB, AJH), pp. 366–378.
CAVCAV-2007-BeyerHT #configuration management #convergence #model checking #program analysis
Configurable Software Verification: Concretizing the Convergence of Model Checking and Program Analysis (DB, TAH, GT), pp. 504–518.
CAVCAV-2007-BruttomessoCFGHNPS #industrial #lazy evaluation #problem #smt
A Lazy and Layered SMT(BV) Solver for Hard Industrial Verification Problems (RB, AC, AF, AG, ZH, AN, AP, RS), pp. 547–560.
CAVCAV-2007-ChakiSV #bound
Verification Across Intellectual Property Boundaries (SC, CS, HV), pp. 82–94.
CAVCAV-2007-FilliatreM #deduction #framework #platform #why
The Why/Krakatoa/Caduceus Platform for Deductive Program Verification (JCF, CM), pp. 173–177.
CAVCAV-2007-Franzle #hybrid
Verification of Hybrid Systems (MF), p. 38.
CAVCAV-2007-GuptaMF #automation #composition #generative
Automated Assumption Generation for Compositional Verification (AG, KLM, ZF), pp. 420–432.
CAVCAV-2007-LeavensKP #behaviour #composition #functional #java #ml #specification #tutorial
A JML Tutorial: Modular Specification and Verification of Functional Behavior for Java (GTL, JRK, EP), p. 37.
CAVCAV-2007-OuimetL #realtime #simulation #specification #tool support
The TASM Toolset: Specification, Simulation, and Formal Verification of Real-Time Systems (MO, KL), pp. 126–130.
CAVCAV-2007-PatinST #named #parallel #recursion #source code #thread
Spade: Verification of Multithreaded Dynamic and Recursive Programs (GP, MS, TT), pp. 254–257.
CAVCAV-2007-PlakuKV #hybrid
Hybrid Systems: From Verification to Falsification (EP, LEK, MYV), pp. 463–476.
CAVCAV-2007-Russinoff #approach
A Mathematical Approach to RTL Verification (DMR), p. 2.
CAVCAV-2007-SinhaC #composition #lazy evaluation #learning #satisfiability #using
SAT-Based Compositional Verification Using Lazy Learning (NS, EMC), pp. 39–54.
ICLPICLP-2007-MancarellaTT #abduction #logic programming #web
Web Sites Verification: An Abductive Logic Programming Tool (PM, GT, FT), pp. 434–435.
MBTMBT-2007-OuimetL #automation #consistency #satisfiability #specification #state machine #using
Automated Verification of Completeness and Consistency of Abstract State Machine Specifications using a SAT Solver (MO, KL), pp. 85–97.
ICSTSAT-2007-Gelder #satisfiability
Verifying Propositional Unsatisfiability: Pitfalls to Avoid (AVG), pp. 328–333.
TAPTAP-2007-BackEM #invariant #source code #testing
Testing and Verifying Invariant Based Programs in the SOCOS Environment (RJB, JE, MM), pp. 61–78.
VMCAIVMCAI-2007-BouillaguetKWZR #data type #first-order #proving #theorem proving #using
Using First-Order Theorem Provers in the Jahob Data Structure Verification System (CB, VK, TW, KZ, MCR), pp. 74–88.
VMCAIVMCAI-2007-EmmiM #transaction
Verifying Compensating Transactions (ME, RM), pp. 29–43.
VMCAIVMCAI-2007-KlaedtkeRS #abstraction #hybrid #refinement
Language-Based Abstraction Refinement for Hybrid System Verification (FK, SR, ZS), pp. 151–166.
VMCAIVMCAI-2007-Logozzo #abstract interpretation #analysis #composition #java #named
Cibai: An Abstract Interpretation-Based Static Analyzer for Modular Analysis and Verification of Java Classes (FL), pp. 283–298.
VMCAIVMCAI-2007-Madhusudan #algorithm #learning
Learning Algorithms and Formal Verification (PM), p. 214.
VMCAIVMCAI-2007-NguyenDQC #automation #logic
Automated Verification of Shape and Size Properties Via Separation Logic (HHN, CD, SQ, WNC), pp. 251–266.
VMCAIVMCAI-2007-RakamaricBH #data type #source code
An Inference-Rule-Based Decision Procedure for Verification of Heap-Manipulating Programs with Mutable Data and Cyclic Data Structures (ZR, JDB, AJH), pp. 106–121.
VMCAIVMCAI-2007-Revesz #approach #constraints #database
The Constraint Database Approach to Software Verification (PZR), pp. 329–345.
CBSECBSE-2006-AttieLPC #behaviour #component #design #explosion
Behavioral Compatibility Without State Explosion: Design and Verification of a Component-Based Elevator Control System (PCA, DHL, AP, HC), pp. 33–49.
CBSECBSE-2006-XieB #component #product line
Verification of Component-Based Software Application Families (FX, JCB), pp. 50–66.
DRRDRR-2006-ChenS #2d #recognition
Combining one- and two-dimensional signal recognition approaches to off-line signature verification (SC, SNS).
PODSPODS-2006-DeutschSVZ #communication #data-driven #web #web service
Verification of communicating data-driven web services (AD, LS, VV, DZ), pp. 90–99.
SIGMODSIGMOD-2006-DeutschSVZ #data-driven #interactive #specification #web
A system for specification and verification of interactive, data-driven web applications (AD, LS, VV, DZ), pp. 772–774.
ICPCICPC-2006-RooverMGGD #approach #behaviour #documentation #lightweight
An Approach to High-Level Behavioral Program Documentation Allowing Lightweight Verification (CDR, IM, KG, KG, TD), pp. 202–211.
ICALPICALP-v1-2006-AulettaPPPV
New Constructions of Mechanisms with Verification (VA, RDP, PP, GP, CV), pp. 596–607.
ICALPICALP-v2-2006-Vergnaud
New Extensions of Pairing-Based Signatures into Universal Designated Verifier Signatures (DV), pp. 58–69.
FMFM-2006-BlazyDL #c #compilation
Formal Verification of a C Compiler Front-End (SB, ZD, XL), pp. 460–475.
FMFM-2006-BotaschanjanGHKST #distributed #towards
Towards Modularized Verification of Distributed Time-Triggered Systems (JB, AG, AH, LK, MS, DT), pp. 163–178.
FMFM-2006-LiHR #automation #exception #safety #towards
Towards Automatic Exception Safety Verification (XL, HJH, PR), pp. 396–411.
FMFM-2006-PnueliZ #model checking #runtime
PSL Model Checking and Run-Time Verification Via Testers (AP, AZ), pp. 573–586.
FMFM-2006-Preoteasa #logic #pointer #recursion #using
Mechanical Verification of Recursive Procedures Manipulating Pointers Using Separation Logic (VP), pp. 508–523.
FMFM-2006-SchmittHBRM #guidelines #interactive
Interactive Verification of Medical Guidelines (JS, AH, MB, WR, MM), pp. 32–47.
RTARTA-2006-Bryant #infinity #using
Formal Verification of Infinite State Systems Using Boolean Methods (REB), pp. 1–3.
SEFMSEFM-2006-BeckertHS #deduction #design #object-oriented
Integrating Object-Oriented Design and Deductive Verification of Software (BB, RH, PHS), p. 260.
SEFMSEFM-2006-Kapoor #formal method #modelling #pipes and filters
Formal Modelling and Verification of an Asynchronous DLX Pipeline (HKK), pp. 118–127.
SEFMSEFM-2006-MarcheR #behaviour #java #transaction
Verification of JAVA CARD Applets Behavior with Respect to Transactions and Card Tears (CM, NR), pp. 137–146.
SEFMSEFM-2006-RamsokulS #framework #modelling #named #protocol #web service
ASEHA: A Framework for Modelling and Verification ofWeb Services Protocols (PR, AS), pp. 196–205.
SEFMSEFM-2006-Rushby
Harnessing Disruptive Innovation in Formal Verification (JMR), pp. 21–30.
SFMSFM-2006-BombieriFP #design #hardware #simulation
Hardware Design and Simulation for Verification (NB, FF, GP), pp. 1–29.
SFMSFM-2006-CabodiM #hardware
BDD-Based Hardware Verification (GC, MM), pp. 78–107.
SFMSFM-2006-GuptaGW #hardware #satisfiability
SAT-Based Verification Methods and Applications in Hardware Verification (AG, MKG, CW), pp. 108–143.
SFMSFM-2006-Harrison #float #proving #theorem proving #using
Floating-Point Verification Using Theorem Proving (JH), pp. 211–242.
ICFPICFP-2006-Chlipala #composition #development #proving
Modular development of certified program verifiers with a proof assistant (AC), pp. 160–171.
CAiSECAiSE-2006-WangR #modelling #workflow
Dynamic Workflow Modeling and Verification (JW, DR), pp. 303–318.
EDOCEDOC-2006-BrennerAPMMS #component #re-engineering #testing
Reducing Verification Effort in Component-Based Software Engineering through Built-In Testing (DB, CA, BP, RM, MM, DS), pp. 175–184.
ICPRICPR-v1-2006-DjiouaOP #interactive #recognition
An interactive trajectory synthesizer to study outlier patterns in handwriting recognition and signature verification (MD, CO, RP), pp. 1124–1127.
ICPRICPR-v1-2006-LlanoVKM #representation
An Illumination Insensitive Representation for Face Verification in the Frequency Domain (EGL, HMV, JK, KM), pp. 215–218.
ICPRICPR-v1-2006-WangCWG #invariant #recognition
A Verification Method for Viewpoint Invariant Sign Language Recognition (QW, XC, CW, WG), pp. 456–459.
ICPRICPR-v1-2006-ZhouW06a #using
Face Verification Using GaborWavelets and AdaBoost (MZ, HW), pp. 404–407.
ICPRICPR-v2-2006-ChenS #graph
A New Off-line Signature Verification Method based on Graph (SC, SNS), pp. 869–872.
ICPRICPR-v2-2006-KhanKKA #dependence #online
On-Line Signature Verification by Exploiting Inter-Feature Dependencies (MKK, MAK, MAUK, IA), pp. 796–799.
ICPRICPR-v2-2006-KimLKK #image #recognition #video
Stroke Verification with Gray-level Image for Hangul Video Text Recognition (JK, SL, YK, JHK), pp. 1074–1077.
ICPRICPR-v2-2006-LiuHZ #independence #robust
Robust Local Scoring Function for Text-Independent Speaker Verification (ML, TSH, ZZ), pp. 1146–1149.
ICPRICPR-v2-2006-QuanHXLL #analysis #online
Spectrum Analysis Based onWindows with Variable Widths for Online Signature Verification (ZHQ, DSH, XLX, MRL, TML), pp. 1122–1125.
ICPRICPR-v2-2006-ShimshoniRS #classification #image #performance
Efficient Search and Verification for Function Based Classification from Real Range Images (IS, ER, OS), pp. 1118–1121.
ICPRICPR-v2-2006-WeiGO #multi
Fingerprint Verification Based on Multistage Minutiae Matching (HW, MG, ZO), pp. 1058–1061.
ICPRICPR-v4-2006-ArmandBM
Off-line Signature Verification based on the Modified Direction Feature (SA, MB, VM), pp. 509–512.
ICPRICPR-v4-2006-ChaoTWC #framework #kernel #problem #testing
A Kernel-based Discrimination Framework for Solving Hypothesis Testing Problems with Application to Speaker Verification (YHC, WHT, HMW, RCC), pp. 229–232.
ICPRICPR-v4-2006-LiuXYD #hybrid
A New Hybrid GMM/SVM for Speaker Verification (ML, YX, ZY, BD), pp. 314–317.
ICPRICPR-v4-2006-NosratighodsAE #novel #set #using
Speaker Verification Using A Novel Set of Dynamic Features (MN, EA, JE), pp. 266–269.
ICPRICPR-v4-2006-RyuKJ #adaptation
Template Adaptation based Fingerprint Verification (CR, HK, AKJ), pp. 582–585.
ICPRICPR-v4-2006-ShuD #multi
Multi-Biometrics Fusion for Identity Verification (CS, XD), pp. 493–496.
ICPRICPR-v4-2006-YangG06a #multi #using
Multi-SNR GMMs-Based Noise-Robust Speaker Verification Using 1/fa Noises (LY, WG), pp. 241–244.
SEKESEKE-2006-CookeRW
The Evolutionary Role of Variable Assignment and Its Impact on Program Verification (DEC, JNR, RGW), pp. 315–320.
SEKESEKE-2006-LeeDSG #approach #modelling
A PVS Approach to Verifying ORA-SS Data Models (SUJL, GD, JS, LG), pp. 126–131.
SEKESEKE-2006-LeeK #architecture #case study #framework #re-engineering
Verifying a Software Architecture Reconstruction Framework with a Case Study (SL, SK), pp. 102–107.
MODELSMoDELS-2006-PonsG #ocl #specification
An OCL-Based Technique for Specifying and Verifying Refinement-Oriented Transformations in MDE (CP, DG), pp. 646–660.
SPLCSPLC-2006-Scheidemann #distributed #embedded #evolution #optimisation #product line
Optimizing the Selection of Representative Configurations in Verification of Evolving Product Lines of Distributed Embedded Systems (KDS), pp. 75–84.
MODELSMoDELS-2006-PonsG #ocl #specification
An OCL-Based Technique for Specifying and Verifying Refinement-Oriented Transformations in MDE (CP, DG), pp. 646–660.
AdaEuropeAdaEurope-2006-LiWQLYZZ #java #runtime #source code #specification
Runtime Verification of Java Programs for Scenario-Based Specifications (XL, LW, XQ, BL, JY, JZ, GZ), pp. 94–105.
LOPSTRLOPSTR-2006-MantelSK #data flow #proving #security
Combining Different Proof Techniques for Verifying Information Flow Security (HM, HS, TK), pp. 94–110.
PADLPADL-2006-Wang #automation #model checking
Automatic Verification of a Model Checker by Reflection (BYW), pp. 45–59.
PLDIPLDI-2006-FengSVXN #abstraction #assembly #composition
Modular verification of assembly code with stack-based control abstractions (XF, ZS, AV, SX, ZN), pp. 401–414.
POPLPOPL-2006-LahiriQ
Verifying properties of well-founded linked lists (SKL, SQ), pp. 115–126.
PPDPPPDP-2006-AlbertiGLCMM #abduction #framework #web #web service
An abductive framework for a-priori verification of web services (MA, MG, EL, FC, PM, MM), pp. 39–50.
SASSAS-2006-LoginovRS #algorithm #automation
Automated Verification of the Deutsch-Schorr-Waite Tree-Traversal Algorithm (AL, TWR, MS), pp. 261–279.
ASEASE-2006-Futatsugi #proving #specification
Verifying Specifications with Proof Scores in CafeOBJ (KF), pp. 3–10.
ASEASE-2006-TateishiMOS #automation
Automated Verification Tool for DHTML (TT, HM, KO, SS), pp. 363–364.
ICSEICSE-2006-BeckerBGKS #adaptation #invariant
Symbolic invariant verification for systems with dynamic structural adaptation (BB, DB, HG, FK, DS), pp. 72–81.
ICSEICSE-2006-FosterUMK #composition #modelling #named #web #web service
LTSA-WS: a tool for model-based verification of web service compositions and choreography (HF, SU, JM, JK), pp. 771–774.
ICSEICSE-2006-TanAC #finite
Managing space for finite-state verification (JT, GSA, LAC), pp. 152–161.
ICSEICSE-2006-Yin #approach
The echo approach to formal verification (XY), pp. 981–984.
SACSAC-2006-BurdyP #bytecode #java #specification
Java bytecode specification and verification (LB, MP), pp. 1835–1839.
SACSAC-2006-DinechinLM #using
Assisted verification of elementary functions using Gappa (FdD, CQL, GM), pp. 1318–1322.
SACSAC-2006-FilhoRR #coordination #exception
Verification of coordinated exception handling (FCF, AR, CMFR), pp. 680–685.
SACSAC-2006-MalgouyresM #approach #consistency #formal method #metamodelling #uml
A UML model consistency verification approach based on meta-modeling formalization (HM, GM), pp. 1804–1809.
SACSAC-2006-MorimotoSGC #security #specification #standard
A security specification verification technique based on the international standard ISO/IEC 15408 (SM, SS, YG, JC), pp. 1802–1803.
SACSAC-2006-NasrBFI #automaton #specification
Verification of a scheduler in B through a timed automata specification (ON, JPB, MF, MRI), pp. 1800–1801.
GPCEGPCE-2006-CzarneckiP #constraints #ocl
Verifying feature-based model templates against well-formedness OCL constraints (KC, KP), pp. 211–220.
GPCEGPCE-2006-LeavensABBCFHJMJSSS #roadmap
Roadmap for enhanced languages and methods to aid verification (GTL, JRA, DSB, MJB, AC, KF, ECRH, CBJ, DM, SLPJ, MS, DRS, AS), pp. 221–236.
DACDAC-2006-BergeronFPMAS #testing
Building a verification test plan: trading brute force for finesse (JB, HF, AP, RSM, CA, DS), pp. 805–806.
DACDAC-2006-BrierM #architecture #c #c++ #modelling #using
Use of C/C++ models for architecture exploration and verification of DSPs (DB, RSM), pp. 79–84.
DACDAC-2006-DupenloupLM #abstraction #functional
Transistor abstraction for the functional verification of FPGAs (GD, TL, RM), pp. 1069–1072.
DACDAC-2006-FengH #equivalence
Early cutpoint insertion for high-level software vs. RTL formal combinational equivalence verification (XF, AJH), pp. 1063–1068.
DACDAC-2006-Gluska
Practical methods in coverage-oriented verification of the merom microprocessor (AG), pp. 332–337.
DACDAC-2006-GoraiBBTM #protocol
Directed-simulation assisted formal verification of serial protocol and bridge (SG, SB, LB, PT, RSM), pp. 731–736.
DACDAC-2006-HosseiniPCUGB #design #question #standard
Building a standard ESL design and verification methodology: is it just a dream? (AH, AP, HTC, PU, EFG, SB), pp. 370–371.
DACDAC-2006-NahirZEKR #generative #multi #testing
Scheduling-based test-case generation for verification of multimedia SoCs (AN, AZ, RE, TK, NR), pp. 348–351.
DACDAC-2006-ShimizuGKOAMS
Verification of the cell broadband engineTM processor (KS, SG, TK, TO, JA, LM, TS), pp. 338–343.
DACDAC-2006-Swan #modelling #transaction
SystemC transaction level models and RTL verification (SS), pp. 90–92.
DATEDATE-2006-BalarinP #functional #generative #interface #specification
Functional verification methodology based on formal interface specification and transactor generation (FB, RP), pp. 1013–1018.
DATEDATE-2006-BombieriFP #evaluation #on the #reuse
On the evaluation of transactor-based verification for reusing TLM assertions and testbenches at RTL (NB, FF, GP), pp. 1007–1012.
DATEDATE-2006-FeyGD
Avoiding false negatives in formal verification for protocol-driven blocks (GF, DG, RD), pp. 1225–1226.
DATEDATE-2006-FrehseKR #abstraction #refinement #using
Verifying analog oscillator circuits using forward/backward abstraction refinement (GF, BHK, RAR), pp. 257–262.
DATEDATE-2006-HabibiTSLM #performance #using
Efficient assertion based verification using TLM (AH, ST, AS, DL, OAM), pp. 106–111.
DATEDATE-2006-HassenT #on the #probability #term rewriting
On the numerical verification of probabilistic rewriting systems (JBH, ST), pp. 1223–1224.
DATEDATE-2006-JerinicLHM #functional #metric
New methods and coverage metrics for functional verification (VJ, JL, UH, DM), pp. 1025–1030.
DATEDATE-2006-KaneMS #pipes and filters
Monolithic verification of deep pipelines with collapsed flushing (RK, PM, SKS), pp. 1234–1239.
DATEDATE-2006-KarlssonEP #design #petri net #representation #using
Formal verification of systemc designs using a petri-net based representation (DK, PE, ZP), pp. 1228–1233.
DATEDATE-2006-MatulaM #algorithm #float #formal method #generative #performance #standard #traversal
A formal model and efficient traversal algorithm for generating testbenches for verification of IEEE standard floating point division (DWM, LDM), pp. 1134–1138.
DATEDATE-2006-ShekharKE #equivalence #multi
Equivalence verification of arithmetic datapaths with multiple word-length operands (NS, PK, FE), pp. 824–829.
DATEDATE-2006-ShyamB #hybrid
Distance-guided hybrid verification with GUIDO (SS, VB), pp. 1211–1216.
DATEDATE-2006-WangYIG #embedded #image
Disjunctive image computation for embedded software verification (CW, ZY, FI, AG), pp. 1205–1210.
DATEDATE-DF-2006-BonfiniCMP
A mixed-signal verification kit for verification of analogue-digital circuits (GB, MC, RM, EP), pp. 88–93.
DATEDATE-DF-2006-Daglio #design #embedded
A complete and fully qualified design flow for verification of mixed-signal SoC with embedded flash memories (PD), pp. 94–99.
DATEDATE-DF-2006-HuttonYSBCCP #synthesis
A methodology for FPGA to structured-ASIC synthesis and verification (MH, RY, JS, GB, SC, KKC, HKP), pp. 64–69.
DATEDATE-DF-2006-ZarriCDMPRT #on the #protocol
On the verification of automotive protocols (GZ, FC, FD, RM, MP, GR, CT), pp. 195–200.
HPCAHPCA-2006-ManovitH #consistency #memory management
Completely verifying memory consistency of test program executions (CM, SH), pp. 166–175.
ESOPESOP-2006-LeinoM
A Verification Methodology for Model Fields (KRML, PM), pp. 115–130.
FASEFASE-2006-GiorgettiG #generative #ml #named
JAG: JML Annotation Generation for Verifying Temporal Properties (AG, JG), pp. 373–376.
TACASTACAS-2006-BrownP #protocol
Easy Parameterized Verification of Biphase Mark and 8N1 Protocols (GMB, LP), pp. 58–72.
TACASTACAS-2006-ChakiCKRT #c #concurrent #message passing #recursion #source code
Verifying Concurrent Message-Passing C Programs with Recursive Calls (SC, EMC, NK, TWR, TT), pp. 334–349.
TACASTACAS-2006-CollavizzaR #constraints #programming
Exploration of the Capabilities of Constraint Programming for Software Verification (HC, MR), pp. 182–196.
TACASTACAS-2006-DeshmukhEG #automation #data type
Automatic Verification of Parameterized Data Structures (JVD, EAE, PG), pp. 27–41.
TACASTACAS-2006-HabermehlIV #source code
Automata-Based Verification of Programs with Tree Updates (PH, RI, TV), pp. 350–364.
TACASTACAS-2006-HintonKNP #automation #named #probability
PRISM: A Tool for Automatic Verification of Probabilistic Systems (AH, MZK, GN, DP), pp. 441–444.
TACASTACAS-2006-YangBR #π-calculus
Parameterized Verification of π-Calculus Systems (PY, SB, CRR), pp. 42–57.
AMOSTA-MOST-J-2005-Robinson-MallettLMG06 #identification #model checking #using
Extended state identification and verification using a model checker (CRM, PL, TM, UG), pp. 981–992.
CAVCAV-2006-BarnatBCMRS #distributed #named
DiVinE — A Tool for Distributed Verification (JB, LB, IC, PM, PR, PS), pp. 278–281.
CAVCAV-2006-ColvinGLM #algorithm #concurrent #lazy evaluation #set
Formal Verification of a Lazy Concurrent List-Based Set Algorithm (RC, LG, VL, MM), pp. 475–488.
CAVCAV-2006-GurfinkelWC #model checking #named
Yasm: A Software Model-Checker for Verification and Refutation (AG, OW, MC), pp. 170–174.
CAVCAV-2006-Harel #aspect-oriented #game studies #source code
Playing with Verification, Planning and Aspects: Unusual Methods for Running Scenario-Based Programs (DH), pp. 3–4.
CAVCAV-2006-KloseTWW #performance #sequence chart
Check It Out: On the Efficient Formal Verification of Live Sequence Charts (JK, TT, BW, HW), pp. 219–233.
CAVCAV-2006-KrcalY #automaton #communication
Communicating Timed Automata: The More Synchronous, the More Difficult to Verify (PK, WY), pp. 249–262.
CAVCAV-2006-RoyZFH #consistency #memory management #performance #polynomial
Fast and Generalized Polynomial Time Memory Consistency Verification (AR, SZ, CJF, JCH), pp. 503–516.
CAVCAV-2006-VardhanV #learning #named
LEVER: A Tool for Learning Based Verification (AV, MV), pp. 471–474.
CSLCSL-2006-AtassiBT #logic #system f
Verification of Ptime Reducibility for System F Terms Via Dual Light Affine Logic (VA, PB, KT), pp. 150–166.
CSLCSL-2006-Ong #approach #infinity #semantics
Some Results on a Game-Semantic Approach to Verifying Finitely-Presentable Infinite Structures (CHLO), pp. 31–40.
FATESFATES-RV-2006-LiD #algorithm #aspect-oriented #safety #testing
Decompositional Algorithms for Safety Verification and Testing of Aspect-Oriented Systems (CL, ZD), pp. 100–114.
ICLPICLP-2006-Pientka #framework #logic #performance
Overcoming Performance Barriers: Efficient Verification Techniques for Logical Frameworks (BP), pp. 3–10.
IJCARIJCAR-2006-Chaieb #quantifier
Verifying Mixed Real-Integer Quantifier Elimination (AC), pp. 528–540.
IJCARIJCAR-2006-SorgeMMC #automation #invariant
Automatic Construction and Verification of Isotopy Invariants (VS, AM, RLM, SC), pp. 36–51.
ISSTAISSTA-2006-DennisCJ #composition #satisfiability
Modular verification of code with SAT (GD, FSHC, DJ), pp. 109–120.
ISSTAISSTA-2006-FinkYDRG #alias #effectiveness #type system
Effective typestate verification in the presence of aliasing (SJF, EY, ND, GR, EG), pp. 133–144.
ISSTAISSTA-2006-SiegelMAC #execution #model checking #parallel #source code #symbolic computation #using
Using model checking with symbolic execution to verify parallel numerical programs (SFS, AM, GSA, LAC), pp. 157–168.
LICSLICS-2006-Bryant #infinity #using
Formal Verification of Infinite State Systems Using Boolean Methods (REB), pp. 3–4.
MBTMBT-2006-CallananGRSTZ #approach #monte carlo #runtime
Runtime Verification for High-Confidence Systems: A Monte Carlo Approach (SC, RG, AR, SAS, MRT, EZ), pp. 41–52.
VMCAIVMCAI-2006-BozzelliTP #communication #recursion #state machine
Verification of Well-Formed Communicating Recursive State Machines (LB, SLT, AP), pp. 412–426.
VMCAIVMCAI-2006-ClarkeTV #abstraction
Environment Abstraction for Parameterized Verification (EMC, MT, HV), pp. 126–141.
DRRDRR-2005-ChenD #feature model
Sequence-matching-based feature extraction with applications to signature verification (YC, XD), pp. 76–83.
ICDARICDAR-2005-BulacuS #clustering #comparison #identification
A Comparison of Clustering Methods for Writer Identification and Verification (MB, LS), pp. 1275–1279.
ICDARICDAR-2005-ChenS #using
Use of Exterior Contours and Shape Features in Off-line Signature Verification (SC, SNS), pp. 1280–1284.
ICDARICDAR-2005-RichiardiKD #feature model #online
Local and Global Feature Selection for On-line Signature Verification (JR, HK, AD), pp. 625–629.
ICDARICDAR-2005-RussellHBHM #using
Dynamic Signature Verification Using Discriminative Training (GFR, JH, AB, AH, DM), pp. 1260–1264.
ICDARICDAR-2005-SrihariBBS #statistics
A Statistical Model For Writer Verification (SNS, MJB, KB, VS), pp. 1105–1109.
ICDARICDAR-2005-WanW #modelling #online #statistics
On-Line Signature Verification With Two-Stage Statistical Models (LW, BW), pp. 282–286.
ICDARICDAR-2005-YamazakiNTK #case study #online
A Study on Vulnerability in On-line Writer Verification System (YY, AN, KT, NK), pp. 640–644.
SIGMODSIGMOD-2005-DeutschMSVZ #data-driven #interactive #web
A Verifier for Interactive, Data-Driven Web Applications (AD, MM, LS, VV, DZ), pp. 539–550.
SIGMODSIGMOD-2005-PangJRT #query #relational
Verifying Completeness of Relational Query Results in Data Publishing (HP, AJ, KR, KLT), pp. 407–418.
MSRMSR-2005-HuangL #learning #mining #process #version control
Mining version histories to verify the learning process of Legitimate Peripheral Participants (SKH, KmL), pp. 21–25.
SCAMSCAM-2005-ZhangBCD #implementation #using
Implementation and Verification of Implicit-Invocation Systems Using Source Transformation (HZ, JSB, JRC, JD), pp. 87–96.
ICALPICALP-2005-DelzannoG #composition #constraints #process #theorem proving
Compositional Verification of Asynchronous Processes via Constraint Solving (GD, MG), pp. 1239–1250.
ICALPICALP-2005-LiDIY #problem
Signaling P Systems and Verification Problems (CL, ZD, OHI, HCY), pp. 1462–1473.
ICALPICALP-2005-LipmaaWB #security
Designated Verifier Signature Schemes: Attacks, New Security Notions and a New Construction (HL, GW, FB), pp. 459–471.
FMFM-2005-AndronickCP #embedded #security #smarttech #source code
Formal Verification of Security Properties of Smart Card Embedded Source Code (JA, BC, CPM), pp. 302–317.
FMFM-2005-BasinKTW #architecture
Verification of a Signature Architecture with HOL-Z (DAB, HK, KT, BW), pp. 269–285.
FMFM-2005-ButlerL #csp #specification
Combining CSP and B for Specification and Property Verification (MJB, ML), pp. 221–236.
FMFM-2005-Harrison #float
Floating-Point Verification (JH), pp. 529–532.
FMFM-2005-KatzK #specification
Verifying Scenario-Based Aspect Specifications (EK, SK), pp. 432–447.
FMFM-2005-LeinoM #composition #invariant
Modular Verification of Static Class Invariants (KRML, PM), pp. 26–42.
FMFM-2005-RusuMJ #automation #consistency #safety #testing #validation
Automatic Verification and Conformance Testing for Validating Safety Properties of Reactive Systems (VR, HM, TJ), pp. 189–204.
FMFM-2005-SalverdaRZ #parallel
Formally Defining and Verifying Master/Slave Speculative Parallelization (PS, GR, CBZ), pp. 123–138.
IFMIFM-2005-ChakiCGOSTV #specification
State/Event Software Verification for Branching-Time Specifications (SC, EMC, OG, JO, NS, TT, HV), pp. 53–69.
IFMIFM-2005-Lang #composition #flexibility #on the fly #partial order
Exp.Open 2.0: A Flexible Tool Integrating Partial Order, Compositional, and On-The-Fly Verification Methods (FL), pp. 70–88.
IFMIFM-2005-SchneiderTE #component #csp #named
Chunks: Component Verification in CSP||B (SAS, HT, NE), pp. 89–108.
SEFMSEFM-2005-BlechGG #higher-order
Formal Verification of Dead Code Elimination in Isabelle/HOL (JOB, LG, SG), pp. 200–209.
SEFMSEFM-2005-GrandySR #java #kernel #object-oriented
Object Oriented Verification Kernels for Secure Java Applications (HG, KS, WR), pp. 170–179.
SEFMSEFM-2005-HubertM #algorithm #c #case study #source code
A case study of C source code verification: the Schorr-Waite algorithm (TH, CM), pp. 190–199.
SEFMSEFM-2005-LeinenbachPP #code generation #compilation #implementation #towards
Towards the Formal Verification of a C0 Compiler: Code Generation and Implementation Correctnes (DL, WJP, EP), pp. 2–12.
SEFMSEFM-2005-NivelleP
Verification of an Off-Line Checker for Priority Queues (HdN, RP), pp. 210–219.
SEFMSEFM-2005-PrasetyaAVL #composition #generative
Building Verification Condition Generators by Compositional Extensions (ISWBP, AA, TEJV, AvL), pp. 220–230.
SEFMSEFM-2005-SadaniSC #framework #petri net #platform
From RT-LOTOS to Time Petri Nets New Foundations for a Verification Platform (TS, PdSS, JPC), pp. 250–260.
SEFMSEFM-2005-Trakhtenbrot #debugging #testing #using
Use of Verification for Testing and Debugging of Complex Reactive Systems (MBT), pp. 13–22.
SEFMSEFM-2005-WilsonMC #approach #configuration management #flexibility #policy
Omnibus Verification Policies: A flexible, configurable approach to assertion-based software verification (TW, SM, RGC), pp. 150–159.
HaskellHaskell-2005-AbelBBHN #haskell #source code #type system #using
Verifying haskell programs using constructive type theory (AA0, MB, AB, JH, UN), pp. 62–73.
ICFPICFP-2005-FengS #assembly #composition #concurrent #termination #thread
Modular verification of concurrent assembly code with dynamic thread creation and termination (XF, ZS), pp. 254–267.
CAiSECAiSE-2005-DongenAV #petri net #reduction #using
Verification of EPCs: Using Reduction Rules and Petri Nets (BFvD, WMPvdA, HMWV), pp. 372–386.
CIKMCIKM-2005-RoussinovFN #semantics
Semantic verification for fact seeking engines (DR, WF, FADN), pp. 323–324.
SEKESEKE-2005-BrandaoSL #design #knowledge-based #multi #reasoning #using
Multi-Agent System Design Verification Using Knowledge-based Reasoning (AB, VTdS, CJPdL), pp. 602–607.
SEKESEKE-2005-Chang05a #database #peer-to-peer
Peer-To-Peer Trading Databases Verification and Rectification (PC), pp. 772–776.
SEKESEKE-2005-HsiungL #modelling #safety
Model-based Verification of Safety-Critical Systems (PAH, YHL), pp. 596–601.
SEKESEKE-2005-HsuehCK #design pattern #modelling #object-oriented #quality
Verification of Design Patterns with Object-Oriented Quality Models (NLH, PHC, JYK), pp. 193–198.
SEKESEKE-2005-OgataF #approach #liveness #proving
Proof Score Approach to Verification of Liveness Properties (KO, KF), pp. 608–613.
SEKESEKE-2005-SongPCZ #specification #transaction #uml
Formal Verification of Transactional Systems Based on UML Specifications (MAJS, AMP, SVAC, LEZ), pp. 199–204.
SEKESEKE-2005-WangWH #hybrid #linear
Verifying Timed and Linear Hybrid Rule-Systems with RED (FW, RSW, GDH), pp. 448–454.
SPLCSPLC-2005-KishiNK #design #development #product line
Design Verification for Product Line Development (TK, NN, TK), pp. 150–161.
ECOOPECOOP-2005-RodriguezDFHLR #composition #concurrent #ml #multi #source code #specification #thread
Extending JML for Modular Specification and Verification of Multi-threaded Programs (ER, MBD, CF, JH, GTL, R), pp. 551–576.
AdaEuropeAdaEurope-2005-EvangelistaKPPR
Dynamic Tasks Verification with Quasar (SE, CK, CP, JFPP, PR), pp. 91–104.
LOPSTRLOPSTR-2005-PettorossiPS #array #protocol #using
Transformational Verification of Parameterized Protocols Using Array Formulas (AP, MP, VS), pp. 23–43.
PLDIPLDI-2005-ElmasTQ #concurrent #detection #named #runtime #source code
VYRD: verifYing concurrent programs by runtime refinement-violation detection (TE, ST, SQ), pp. 27–37.
PPDPPPDP-2005-CortierRZ #encryption #protocol
A resolution strategy for verifying cryptographic protocols with CBC encryption and blind signatures (VC, MR, EZ), pp. 12–22.
SASSAS-2005-ChinNQR #memory management #object-oriented #source code
Memory Usage Verification for OO Programs (WNC, HHN, SQ, MCR), pp. 70–86.
AdaSIGAda-2005-NaeserLA
Temporal skeletons for verifying time (GN, KL, LA), pp. 49–56.
RERE-2005-ToyamaO #rule-based
Rule-based Verification of Scenarios with Pre-conditions and Post-conditions (TT, AO), pp. 319–328.
ASEASE-2005-Betin-CanBLLT #concurrent #design
Application of design for verification with concurrency controllers to air traffic control software (ABC, TB, ML, BL, ST), pp. 14–23.
ASEASE-2005-BlewittBS #automation #design pattern #java
Automatic verification of design patterns in Java (AB, AB, IS), pp. 224–232.
ASEASE-2005-FriasGSB #analysis #performance #relational #specification
A strategy for efficient verification of relational specifications, based on monotonicity analysis (MFF, RG, GS, LB), pp. 305–308.
ASEASE-2005-Grov #algorithm #approach #correctness #deduction #reasoning #source code
Verifying the correctness of hume programs: an approach combining deductive and algorithmic reasoning (GG), pp. 444–447.
ASEASE-2005-VardhanV #branch #learning
Learning to verify branching time properties (AV, MV), pp. 325–328.
ASEASE-2005-XuN #approach #modelling
A threat-driven approach to modeling and verifying secure software (DX, KEN), pp. 342–346.
ESEC-FSEESEC-FSE-2005-Sherriff #fault #validation
Utilizing verification and validation certificates to estimate software defect density (MS), pp. 381–384.
ICSEICSE-2005-BeekMLGFS #automation #case study #protocol
A case study on the automated verification of groupware protocols (MHtB, MM, DL, SG, AF, MS), pp. 596–603.
ICSEICSE-2005-ChinKQPN #alias #policy #safety
Verifying safety policies with size properties and alias controls (WNC, SCK, SQ, CP, HHN), pp. 186–195.
ICSEICSE-2005-FislerKMT #impact analysis #policy
Verification and change-impact analysis of access-control policies (KF, SK, LAM, MCT), pp. 196–205.
SACSAC-2005-RekhisB #automation #forensics
A formal logic-based language and an automated verification tool for computer forensic investigation (SR, NB), pp. 287–291.
SACSAC-2005-TruongS #behaviour #modelling #uml #using
Verification of behavioural elements of UML models using B (NTT, JS), pp. 1546–1552.
SACSAC-2005-YangEY05a #framework #modelling
Mediation framework modeling and verification by SAM (LY, RKE, HY), pp. 1567–1568.
CCCC-2005-LamKR #consistency #data type #named
Hob: A Tool for Verifying Data Structure Consistency (PL, VK, MCR), pp. 237–241.
CCCC-2005-ShashidharBCJ #equivalence #program transformation #source code
Verification of Source Code Transformations by Program Equivalence Checking (KCS, MB, FC, GJ), pp. 221–236.
DACDAC-2005-AbdollahiP #canonical #logic #performance #synthesis
A new canonical form for fast boolean matching in logic synthesis and verification (AA, MP), pp. 379–384.
DACDAC-2005-AdirABPS #approach #architecture #testing
A generic micro-architectural test plan approach for microprocessor verification (AA, HA, EB, OP, KS), pp. 769–774.
DACDAC-2005-AdirADLRVCCD #case study #named #parallel
VLIW: a case study of parallelism verification (AA, YA, BD, YL, MR, MV, MAC, AC, GD), pp. 779–782.
DACDAC-2005-BacchiniMFBNMD #question
Is methodology the highway out of verification hell? (FB, GM, HF, JB, MN, SM, LD), pp. 521–522.
DACDAC-2005-Chatterjee #design #process
Streamline verification process with formal property verification to meet highly compressed design cycle (PC), pp. 674–677.
DACDAC-2005-EzerJ #configuration management
Smart diagnostics for configurable processor verification (SE, SJ), pp. 789–794.
DACDAC-2005-JainKSC #abstraction #refinement #word
Word level predicate abstraction and refinement for verifying RTL verilog (HJ, DK, NS, EMC), pp. 445–450.
DACDAC-2005-Magee #development #matlab #realtime #testing
Matlab extensions for the development, testing and verification of real-time DSP software (DPM), pp. 603–606.
DACDAC-2005-Rossi #design #formal method #question #scalability
Can we really do without the support of formal methods in the verification of large designs? (UR), pp. 672–673.
DACDAC-2005-WolfsthalG #question
Formal verification: is it real enough? (YW, RMG), pp. 670–671.
DATEDATE-2005-ChenLL #integration #layout #multi
Integration, Verification and Layout of a Complex Multimedia SOC (CLC, JYL, YLL), pp. 1116–1117.
DATEDATE-2005-DasguptaY #architecture #modelling
Modeling and Verification of Globally Asynchronous and Locally Synchronous Ring Architectures (SD, AY), pp. 568–569.
DATEDATE-2005-GanaiGA #embedded #memory management #modelling #performance #using
Verification of Embedded Memory Systems using Efficient Memory Modeling (MKG, AG, PA), pp. 1096–1101.
DATEDATE-2005-GoossensDGPRR #design #network #performance
A Design Flow for Application-Specific Networks on Chip with Guaranteed Performance to Accelerate SOC Design and Verification (KG, JD, OPG, SGP, AR, ER), pp. 1182–1187.
DATEDATE-2005-HabibiT #design #modelling #transaction
Design for Verification of SystemC Transaction Level Models (AH, ST), pp. 560–565.
DATEDATE-2005-JacobiWPB #automation #multi
Automatic Formal Verification of Fused-Multiply-Add FPUs (CJ, KW, VP, JB), pp. 1298–1303.
DATEDATE-2005-ManoliosS #modelling #performance #refinement
Refinement Maps for Efficient Verification of Processor Models (PM, SKS), pp. 1304–1309.
DATEDATE-2005-ShashidharBCJ #algebra #equivalence #functional #source code
Functional Equivalence Checking for Verification of Algebraic Transformations on Array-Intensive Source Code (KCS, MB, FC, GJ), pp. 1310–1315.
PPoPPPPoPP-2005-SiegelA #modelling #source code
Modeling wildcard-free MPI programs for verification (SFS, GSA), pp. 95–106.
PPoPPPPoPP-2005-YangBHM #correctness #monitoring #source code #trust
Trust but verify: monitoring remotely executing programs for progress and correctness (SY, ARB, YCH, SPM), pp. 196–205.
SOSPSOSP-2005-SeshadriLSPDK #execution #legacy #named
Pioneer: verifying code integrity and enforcing untampered code execution on legacy systems (AS, ML, ES, AP, LvD, PKK), pp. 1–16.
WRLAWRLA-2004-CirsteaMR05 #java #programming #protocol #rule-based
Rule-based Programming in Java For Protocol Verification (HC, PEM, AR), pp. 209–227.
WRLAWRLA-2004-MeseguerT05 #analysis #encryption #protocol #reachability #using
Symbolic Reachability Analysis Using Narrowing and its Application to Verification of Cryptographic Protocols (JM, PT), pp. 153–182.
ESOPESOP-2005-ChanderEILN #bound #dynamic analysis
Enforcing Resource Bounds via Static Verification of Dynamic Checks (AC, DE, NI, PL, GCN), pp. 311–325.
ESOPESOP-2005-LeeYY #analysis #automation #grammarware #pointer #source code #using
Automatic Verification of Pointer Programs Using Grammar-Based Shape Analysis (OL, HY, KY), pp. 124–140.
FASEFASE-2005-Mostowski #formal method #java #logic #security
Formalisation and Verification of Java Card Security Properties in Dynamic Logic (WM), pp. 357–371.
TACASTACAS-2005-BinghamH #empirical #infinity #performance
Empirically Efficient Verification for a Class of Infinite-State Systems (JDB, AJH), pp. 77–92.
TACASTACAS-2005-BouajjaniHMV #model checking #source code
Verifying Programs with Dynamic 1-Selector-Linked Structures in Regular Model Checking (AB, PH, PM, TV), pp. 13–29.
TACASTACAS-2005-EtessamiY #algorithm #probability #recursion #state machine
Algorithmic Verification of Recursive Probabilistic State Machines (KE, MY), pp. 253–270.
TACASTACAS-2005-GanaiGA #framework #model checking #named #platform #satisfiability #scalability
DiVer: SAT-Based Model Checking Platform for Verifying Large Scale Systems (MKG, AG, PA), pp. 575–580.
TACASTACAS-2005-GenestKMP
Snapshot Verification (BG, DK, AM, DP), pp. 510–525.
TACASTACAS-2005-SchwoonE #algorithm #on the fly
A Note on On-the-Fly Verification Algorithms (SS, JE), pp. 174–190.
TACASTACAS-2005-VardhanSVA #using
Using Language Inference to Verify ω-Regular Properties (AV, KS, MV, GA), pp. 45–60.
AMOSTA-MOST-2005-LiN #image #modelling #testing #validation
Modeling for image processing system validation, verification and testing (XL, RN), pp. 92–95.
CADECADE-2005-BryantS
Decision Procedures Customized for Formal Verification (REB, SAS), pp. 255–259.
CADECADE-2005-Lev-AmiIRSSY #data type #first-order #linked data #logic #open data #reachability #simulation #using
Simulating Reachability Using First-Order Logic with Applications to Verification of Linked Data Structures (TLA, NI, TWR, SS, SS, GY), pp. 99–115.
CAVCAV-2005-0002G #modelling #probability #protocol
Improved Probabilistic Models for 802.11 Protocol Verification (AR, KG), pp. 239–252.
CAVCAV-2005-AlurMN #composition #learning
Symbolic Compositional Verification by Learning Assumptions (RA, PM, WN), pp. 548–562.
CAVCAV-2005-AronsEFMMSSTVZ
Formal Verification of Backward Compatibility of Microcode (TA, EE, LF, SMH, MM, JS, ES, AT, MYV, LDZ), pp. 185–198.
CAVCAV-2005-BalabanFPZ #invariant #named
IIV: An Invisible Invariant Verifier (IB, YF, AP, LDZ), pp. 408–412.
CAVCAV-2005-BenediktBFV #optimisation
Verification of Tree Updates for Optimization (MB, AB, SF, AV), pp. 379–393.
CAVCAV-2005-ChanderEILN #java #named
JVer: A Java Verifier (AC, DE, NI, PL, GCN), pp. 144–147.
CAVCAV-2005-CookKS #named #proving #theorem proving
Cogent: Accurate Theorem Proving for Program Verification (BC, DK, NS), pp. 296–300.
CAVCAV-2005-IvancicYGGSA #framework #named #platform
F-Soft: Software Verification Platform (FI, ZY, MKG, AG, IS, PA), pp. 301–306.
CAVCAV-2005-Kaivola #component #induction #invariant #simulation
Formal Verification of Pentium® 4 Components with Symbolic Simulation and Inductive Invariants (RK), pp. 170–184.
CAVCAV-2005-NeculaG #algorithm #program analysis #random
Randomized Algorithms for Program Analysis and Verification (GCN, SG), p. 1.
CAVCAV-2005-PastorPS #concurrent #named
TRANSYT: A Tool for the Verification of Asynchronous Concurrent Systems (EP, MAP, MS), pp. 424–428.
CAVCAV-2005-Yavuz-KahveciBB
Action Language Verifier, Extended (TYK, CB, TB), pp. 413–417.
CAVCAV-2005-Younes #black box #probability
Probabilistic Verification for “Black-Box” Systems (HLSY), pp. 253–265.
CSLCSL-2005-Slissenko #algorithm #logic
Verification in Predicate Logic with Time: Algorithmic Questions (AS), pp. 3–17.
ICLPICLP-2005-Chesani #formal method #interactive #protocol
Formalization and Verification of Interaction Protocols (FC), pp. 437–438.
LICSLICS-2005-AbdullaHM #finite #infinity #markov
Verifying Infinite Markov Chains with a Finite Attractor or the Global Coarseness Property (PAA, NBH, RM), pp. 127–136.
LICSLICS-2005-BlanchetAF #automation #protocol #security
Automated Verification of Selected Equivalences for Security Protocols (BB, MA, CF), pp. 331–340.
ICTSSTestCom-2005-Maibaum #testing #validation
The Epistemology of Validation and Verification Testing (TSEM), pp. 1–8.
VMCAIVMCAI-2005-BurckhardtAM #composition #implementation #parametricity #refinement #safety
Verifying Safety of a Token Coherence Implementation by Parametric Compositional Refinement (SB, RA, MMKM), pp. 130–145.
VMCAIVMCAI-2005-Hoare #challenge #compilation #research
The Verifying Compiler, a Grand Challenge for Computing Research (CARH), p. 78.
VMCAIVMCAI-2005-Hymans #abstract interpretation #fault
Verification of an Error Correcting Code by Abstract Interpretation (CH), pp. 330–345.
VMCAIVMCAI-2005-Siegel #performance #source code
Efficient Verification of Halting Properties for MPI Programs with Wildcard Receives (SFS), pp. 413–429.
PODSPODS-2004-DeutschSV #data-driven #specification #web #web service
Specification and Verification of Data-driven Web Services (AD, LS, VV), pp. 71–82.
ITiCSEITiCSE-2004-Tanaka-IshiiKT #feedback #named #programming
EMMA: a web-based report system for programming course--automated verification and enhanced feedback (KTI, KK, MT), p. 278.
CIAACIAA-2004-DaleyM #complexity
Viral Gene Compression: Complexity and Verification (MD, IM), pp. 102–112.
ICALPICALP-2004-AulettaPPP #power of
The Power of Verification for One-Parameter Agents (VA, RDP, PP, GP), pp. 171–182.
IFMIFM-2004-BallCLR #formal method
SLAM and Static Driver Verifier: Technology Transfer of Formal Methods inside Microsoft (TB, BC, VL, SKR), pp. 1–20.
IFMIFM-2004-BeckertS #data type #integer #refinement
Software Verification with Integrated Data Type Refinement for Integer Arithmetic (BB, SS), pp. 207–226.
IFMIFM-2004-BoultonGHKM #design
Design Verification for Control Engineering (RJB, HG, RH, TK, UM), pp. 21–35.
IFMIFM-2004-CiobanuL #concurrent #specification
Specification and Verification of Synchronizing Concurrent Objects (GC, DL), pp. 307–327.
IFMIFM-2004-LanoCA #modelling #object-oriented #uml
UML to B: Formal Verification of Object-Oriented Models (KL, DC, KA), pp. 187–206.
IFMIFM-2004-SchneiderT #component
Verifying Controlled Components (SS, HT), pp. 87–107.
RTARTA-2004-Takai #abstract interpretation #term rewriting #using
A Verification Technique Using Term Rewriting Systems and Abstract Interpretation (TT), pp. 119–133.
SEFMSEFM-2004-BeckertK #deduction #proving #reuse
Proof Reuse for Deductive Program Verification (BB, VK), pp. 77–86.
SEFMSEFM-2004-EvansTLF #how #information management
How to Verify Dynamic Properties of Information Systems (NE, HT, RL, MF), pp. 416–425.
SEFMSEFM-2004-HeJ #transaction
Verification of the WAP Transaction Layer (YTH, RJ), pp. 366–375.
SEFMSEFM-2004-KazhamiakinPR #case study #requirements #using #web #web service
Formal Verification of Requirements using SPIN: A Case Study on Web Services (RK, MP, MR), pp. 406–415.
SEFMSEFM-2004-Roy #finite #infinity #using
Symbolic Verification of Infinite Systems using a Finite Union of DFAs (SR), pp. 56–66.
SEFMSEFM-2004-SchinzTMW #uml
The Rhapsody UML Verification Environment (IS, TT, CM, BW), pp. 174–183.
SEFMSEFM-2004-SchuleS #comparison #infinity #model checking
Global vs. Local Model Checking: A Comparison of Verification Techniques for Infinite State Systems (TS, KS), pp. 67–76.
ICFPICFP-2004-YuS #assembly #concurrent #safety
Verification of safety properties for concurrent assembly code (DY, ZS), pp. 175–188.
EDOCEDOC-2004-WoodmanPSW #specification #web #web service
Notations for the Specification and Verification of Composite Web Services (SJW, DJP, SKS, SMW), pp. 35–46.
ICEISICEIS-v1-2004-Augusto #model checking #theorem proving
Model Checking and Theorem Proving-Based Verification of EIS (JCA), p. XXXIII-XXXIV.
ICEISICEIS-v3-2004-AliBG #dependence #diagrams #uml
UML Model Verification Through Diagram Dependency Relationships (MA, HBA, FG), pp. 184–191.
ICEISICEIS-v3-2004-SchuetzelhoferG #domain model #modelling #specification #xml
Formal Specification and Verification of XML-Based Business Domain Models (WS, KMG), pp. 209–216.
ICMLICML-2004-KoppelS #classification #problem
Authorship verification as a one-class classification problem (MK, JS).
ICPRICPR-v1-2004-BourlaiMK #architecture #smarttech #using
Face Verification System Architecture Using Smart Cards (TB, KM, JK), pp. 793–796.
ICPRICPR-v1-2004-KongZ
Competitive Coding Scheme for Palmprint Verification (AWKK, DZ), pp. 520–523.
ICPRICPR-v2-2004-KimBSCCKC #image #using
Scene Text Extraction in Natural Scene Images using Hierarchical Feature Combining and Verification (KCK, HRB, YJS, YWC, SYC, KKK, YC), pp. 679–682.
ICPRICPR-v3-2004-NaderiMC #algorithm #image #optimisation #using
1D-HMM for Face Verification: Model Optimization Using Improved Algorithm and Intelligent Selection of Training Images (SN, MSM, NMC), pp. 330–333.
ICPRICPR-v4-2004-FanL #image #using
The Using of Thermal Images of Palm-dorsa Vein-patterns for Biometric Verification (KCF, CLL), pp. 450–453.
ICPRICPR-v4-2004-LiuSCH #clustering #personalisation #using
Personalized Face Verification System Using Owner-Specific Cluster-Dependent LDA-Subspace (HCL, CHS, YHC, YPH), pp. 344–347.
SEKESEKE-2004-ChenS #interactive #protocol #specification
Specification and Verification of Agent Interaction Protocols (BC, SS), pp. 300–305.
SIGIRSIGIR-2004-Martin #natural language #reliability #web
Reliability and verification of natural language text on the world wide web (MJM), p. 603.
SIGIRSIGIR-2004-TsengT #categorisation
Verifying a Chinese collection for text categorization (YHT, WJT), pp. 556–557.
SPLCSPLC-2004-FantechiGLN #case study #product line
A Methodology for the Derivation and Verification of Use Cases for Product Lines (AF, SG, GL, EN), pp. 255–265.
UMLUML-2004-AronsHKPZ #deduction #modelling #uml
Deductive Verification of UML Models in TLPVS (TA, JH, HK, AP, MvdZ), pp. 335–349.
UMLUML-2004-JurjensS #automation #modelling #requirements #security
Automated Verification of UMLsec Models for Security Requirements (JJ, PS), pp. 365–379.
OOPSLAOOPSLA-2004-Fong #virtual machine
Pluggable verification modules: an extensible protection mechanism for the JVM (PWLF), pp. 404–418.
LOPSTRLOPSTR-2004-KulkarniBE #automation #fault tolerance #source code #synthesis
Mechanical Verification of Automatic Synthesis of Fault-Tolerant Programs (SSK, BB, AE), pp. 36–52.
LOPSTRPDCL-2004-RoychoudhuryR #automation #concurrent
Unfold/Fold Transformations for Automated Verification of Parameterized Concurrent Systems (AR, CRR), pp. 261–290.
PEPMPEPM-2004-BeyerCHJM #query
Invited talk: the blast query language for software verification (DB, AC, TAH, RJ, RM), pp. 201–202.
PEPMPEPM-2004-PopeeaC #correctness #protocol #proving #type system
A type system for resource protocol verification and its correctness proof (CP, WNC), pp. 135–146.
PLDIPLDI-2004-YahavR #abstraction #safety #using
Verifying safety properties using separation and heterogeneous abstractions (EY, GR), pp. 25–34.
PPDPPPDP-2004-BeyerCHJM #query
Invited talk: the blast query language for software verification (DB, AC, TAH, RJ, RM), pp. 1–2.
PPDPPPDP-2004-ClevaLL #approach #functional #logic programming #source code
A logic programming approach to the verification of functional-logic programs (JMC, JL, FJLF), pp. 9–19.
SASSAS-2004-BeyerCHJM #query
The Blast Query Language for Software Verification. (DB, AC, TAH, RJ, RM), pp. 2–18.
ASEASE-2004-BlundellFKH #interface #product line
Parameterized Interfaces for Open System Verification of Product Lines (CB, KF, SK, PVH), pp. 258–267.
ASEASE-2004-Haydar #analysis #automation #framework
Formal Framework for Automated Analysis and Verification of Web-Based Applications (MH), pp. 410–413.
ASEASE-2004-LicataK #interactive #source code #web
Verifying Interactive Web Programs (DRL, SK), pp. 164–173.
ASEASE-2004-Xie #approach #component #hybrid
Decompositional Verification of Component-based Systems — A Hybrid Approach (GX), pp. 414–417.
FSEFSE-2004-GieseBSO #component #composition #configuration management #design
Modular design and verification of component-based mechatronic systems with online-reconfiguration (HG, SB, WS, OO), pp. 179–188.
FSEFSE-2004-KrishnamurthiFG
Verifying aspect advice modularly (SK, KF, MG), pp. 137–146.
ICSEICSE-2004-CaporuscioIP #architecture #composition #middleware
Compositional Verification of Middleware-Based Software Architecture Descriptions (MC, PI, PP), pp. 221–230.
ICSEICSE-2004-DongLLW
Verifying DAML+OIL and Beyond in Z/EVES (JSD, CHL, YFL, HHW), pp. 201–210.
ICSEICSE-2004-GiannakopoulouPC #source code
Assume-Guarantee Verification of Source Code with Design-Level Assumptions (DG, CSP, JMC), pp. 211–220.
ICSEICSE-2004-Mariani #behaviour #component #evolution
Behavior Capture and Test for Verifying Evolving Component-Based Systems (LM), pp. 78–80.
SACSAC-2004-AlbertiDTGLM #interactive #protocol #specification
Specification and verification of agent interaction protocols in a logic-based system (MA, DD, PT, MG, EL, PM), pp. 72–78.
SACSAC-2004-BarbutiC #bytecode #java
Java bytecode verification on Java cards (RB, SC), pp. 431–438.
SACSAC-2004-DiazCRP #automation #protocol
Automatic verification of the TLS handshake protocol (GD, FC, VVR, FLP), pp. 789–794.
SACSAC-2004-TangMC #embedded #fixpoint #implementation #mobile #performance #using
Efficient implementation of fingerprint verification for mobile embedded systems using fixed-point arithmetic (TYT, YSM, KCC), pp. 821–825.
LDTALDTA-2004-GoldreiS #attribute grammar #formal method #off the shelf #using
Using Off-the-Shelf Formal Methods to Verify Attribute Grammar Properties (SG, AMS), pp. 33–54.
DACDAC-2004-AndrausS #abstraction #automation #modelling
Automatic abstraction and verification of verilog models (ZSA, KAS), pp. 218–223.
DACDAC-2004-BacchiniDBBNIY #named #what
Verification: what works and what doesn’t (FB, RFD, BB, KB, KN, MI, EY), p. 274.
DACDAC-2004-BehmLLRV #experience #generative #industrial #testing
Industrial experience with test generation languages for processor verification (MLB, JML, YL, MR, MV), pp. 36–40.
DACDAC-2004-FineUZ #functional #probability
Probabilistic regression suites for functional verification (SF, SU, AZ), pp. 49–54.
DACDAC-2004-LuJ #using
Verifying a gigabit ethernet switch using SMV (YL, MJ), pp. 230–233.
DACDAC-2004-ShehataA #composition
A general decomposition strategy for verifying register renaming (HIS, MA), pp. 234–237.
DATEDATE-DF-2004-BaileyMBLA #design
Improving Design and Verification Productivity with VHDL-200x (SB, EM, JB, JL, PJA), pp. 332–335.
DATEDATE-DF-2004-SchmittR #design #low cost #prototype #using
Verification of a Microcontroller IP Core for System-on-a-Chip Designs Using Low-Cost Prototyping Environments (SS, WR), pp. 96–101.
DATEDATE-v1-2004-BasuDDCMF #architecture #design #question
Formal Verification Coverage: Are the RTL-Properties Covering the Design’s Architectural Intent? (PB, SD, PD, PPC, CRM, LF), pp. 668–669.
DATEDATE-v1-2004-DSilvaRS #architecture #automaton #communication #framework #modelling #protocol
Synchronous Protocol Automata: A Framework for Modelling and Verification of SoC Communication Architectures (VD, SR, AS), pp. 390–395.
DATEDATE-v1-2004-ManoliosS #automation #liveness #modelling #safety #using #web
Automatic Verification of Safety and Liveness for XScale-Like Processor Models Using WEB Refinements (PM, SKS), pp. 168–175.
DATEDATE-v1-2004-PostVRS
A SystemC-Based Verification Methodology for Complex Wireless Software IP (GP, PKV, TR, DRS), pp. 544–551.
DATEDATE-v1-2004-RaudvereSSJ #abstraction #polynomial
Polynomial Abstraction for Verification of Sequentially Implemented Combinational Circuits (TR, AKS, IS, AJ), pp. 690–691.
DATEDATE-v1-2004-Velev #performance
Exploiting Signal Unobservability for Efficient Translation to CNF in Formal Verification of Microprocessors (MNV), pp. 266–271.
DATEDATE-v1-2004-WinkelmannTSF #low cost
Cost-Efficient Block Verification for a UMTS Up-Link Chip-Rate Coprocessor (KW, HJT, DS, GF), pp. 162–167.
DATEDATE-2005-BorgattiCRLMFP04 #configuration management #design #multi
An Integrated Design and Verification Methodology for Reconfigurable Multimedia Systems (MB, AC, UR, JLL, IM, FF, GP), pp. 266–271.
DATEDATE-2005-FalconeriNR04 #modelling #reuse
Common Reusable Verification Environment for BCA and RTL Models (GF, WN, NR), pp. 272–277.
DATEDATE-2005-HabibiAMT04 #design #interface #on the
On the Design and Verification Methodology of the Look-Aside Interface (AH, AIA, OAM, ST), pp. 290–295.
DATEDATE-2005-MacBethHG04a #assembly
An Assembler Driven Verification Methodology (ADVM) (JSM, DH, KG), pp. 278–283.
DATEDATE-2005-UmezawaS04
A Formal Verification Methodology for Checking Data Integrity (YU, TS), pp. 284–289.
FASEFASE-2004-BartheD #bytecode #framework
A Tool-Assisted Framework for Certified Bytecode Verification (GB, GD), pp. 99–113.
FASEFASE-2004-ChildsGRDDHJSS #analysis #component #development #ide #named #synthesis
Cadena: An Integrated Development Environment for Analysis, Synthesis, and Verification of Component-Based Systems (AC, JG, VPR, XD, MBD, JH, GJ, PS, GS), pp. 160–164.
FoSSaCSFoSSaCS-2004-GenestMMP #partial order #specification #using
Specifying and Verifying Partial Order Properties Using Template MSCs (BG, MM, AM, DP), pp. 195–210.
STOCSTOC-2004-HolmerinK #equation #linear
A new PCP outer verifier with applications to homogeneous linear equations and max-bisection (JH, SK), pp. 11–20.
TACASTACAS-2004-AbramskyGMO #composition #game studies #modelling #semantics
Applying Game Semantics to Compositional Software Modeling and Verification (SA, DRG, ASM, CHLO), pp. 421–435.
TACASTACAS-2004-BeauquierCP #automation #first-order #logic #parametricity #protocol #state machine
Automatic Parametric Verification of a Root Contention Protocol Based on Abstract State Machines and First Order Timed Logic (DB, TC, EP), pp. 372–387.
TACASTACAS-2004-DelzannoG #automation #encryption #protocol
Automatic Verification of Time Sensitive Cryptographic Protocols (GD, PG), pp. 342–356.
TACASTACAS-2004-DiethersH #design #named #object-oriented #using
Vooduu: Verification of Object-Oriented Designs Using UPPAAL (KD, MH), pp. 139–143.
TACASTACAS-2004-GeldenhuysV #algorithm #ltl #on the fly #performance
Tarjan’s Algorithm Makes On-the-Fly LTL Verification More Efficient (JG, AV), pp. 205–219.
TACASTACAS-2004-LindseyP
Simulation-Based Verification of Autonomous Controllers via Livingstone PathFinder (AEL, CP), pp. 357–371.
TACASTACAS-2004-VirtanenHVNE
Tampere Verification Tool (HV, HH, AV, JN, TE), pp. 153–157.
CAVCAV-2004-Arons #algorithm #execution
Verification of an Advanced mips-Type Out-of-Order Execution Algorithm (TA), pp. 414–426.
CAVCAV-2004-BinghamCHQZ #automation #bound #consistency
Automatic Verification of Sequential Consistency for Unbounded Addresses and Data Values (JDB, AC, AJH, SQ, ZZ), pp. 427–439.
CAVCAV-2004-BustanRV #markov
Verifying ω-Regular Properties of Markov Chains (DB, SR, MYV), pp. 189–201.
CAVCAV-2004-ChangBD #design #interface #refinement #using
Using Interface Refinement to Integrate Formal Verification into the Design Cycle (JC, SB, DLD), pp. 122–134.
CAVCAV-2004-GopalakrishnanYS #execution #memory management #order #performance
QB or Not QB: An Efficient Execution Verification Tool for Memory Orderings (GG, YY, HS), pp. 401–413.
CAVCAV-2004-Hunt
Mechanical Mathematical Methods for Microprocessor Verification (WAHJ), pp. 523–533.
CAVCAV-2004-ImmermanRRSY #simulation
Verification via Structure Simulation (NI, AMR, TWR, SS, GY), pp. 281–294.
CAVCAV-2004-JiangB #dependence #functional #reduction
Functional Dependency for Verification Reduction (JHRJ, RKB), pp. 268–280.
CAVCAV-2004-LahiriB #bound
Indexed Predicate Discovery for Unbounded System Verification (SKL, REB), pp. 135–147.
CAVCAV-2004-RameshSDCV #modelling #tool support
A Toolset for Modelling and Verification of GALS Systems (SR, SS, VD, NC, BV), pp. 506–509.
CAVCAV-2004-RayH #deduction #first-order #pipes and filters #quantifier #using
Deductive Verification of Pipelined Machines Using First-Order Quantification (SR, WAHJ), pp. 31–43.
CSLCSL-2004-AmadioCDJ #bound #bytecode #functional
A Functional Scenario for Bytecode Verification of Resource Bounds (RMA, SCG, SDZ, LJ), pp. 265–279.
CSLCSL-2004-Weber #logic #towards
Towards Mechanized Program Verification with Separation Logic (TW), pp. 250–264.
ICLPICLP-2004-RamirezM #constraints #distributed #java #source code
Constraint-Based Synchronization and Verification of Distributed Java Programs (RR, JM), pp. 473–474.
ICTSSTestCom-2004-RusuMTJJ #safety #testing
From Safety Verification to Safety Testing (VR, HM, VT, TJ, BJ), pp. 160–176.
VMCAIVMCAI-2004-BarringerGHS #rule-based #runtime
Rule-Based Runtime Verification (HB, AG, KH, KS), pp. 44–57.
VMCAIVMCAI-2004-HatcliffRD #concurrent #model checking #object-oriented #specification #using
Verifying Atomicity Specifications for Concurrent Object-Oriented Software Using Model-Checking (JH, R, MBD), pp. 175–190.
ICDARICDAR-2003-BovinoIPS #multi
Multi-Expert Verification of Hand-Written Signatures (LB, SI, GP, LS), pp. 932–936.
ICDARICDAR-2003-Fairhurst #authentication #documentation #future of
Document Identity, Authentication and Ownership: The Future of Biometric Verification (MCF), p. 1108–?.
ICDARICDAR-2003-KameyaMO #online #sequence
Figure-Based Writer Verification by Matching between an Arbitrary Part of Registered Sequence and an Input Sequence Extracted from On-Line Handwritten Figures (HK, SM, RO), pp. 985–989.
ICDARICDAR-2003-MoritaSBS #recognition #word
A Recognition and Verification Strategy for Handwritten Word Recognition (MEM, RS, FB, CYS), p. 482–?.
ICDARICDAR-2003-MuramatsuM #online
An HMM On-line Signature Verifier Incorporating Signature Trajectories (DM, TM), pp. 438–442.
ICDARICDAR-2003-PitrelliP #recognition
Confidence-Scoring Post-Processing for Off-Line Handwritten-Character Recognition Verification (JFP, MPP), p. 278–?.
ICDARICDAR-2003-ShafieiR #algorithm #markov #modelling #online #segmentation #using
A New On-Line Signature Verification Algorithm Using Variable Length Segmentation and Hidden Markov Models (MMS, HRR), p. 443–?.
ICDARICDAR-2003-Ueda #pattern matching #using
Investigation of Off-Line Japanese Signature Verification Using a Pattern Matching (KU), p. 951–?.
ICDARICDAR-2003-YamazakiNK #markov #modelling #using
Text-indicated Writer Verification Using Hidden Markov Models (YY, TN, NK), pp. 329–332.
ICDARICDAR-2003-ZimmerL #hybrid
A Hybrid On/Off Line Handwritten Signature Verification System (AZ, LLL), pp. 424–428.
ICDARICDAR-2003-ZouTLL #analysis #online #using
On-line Signature Verification Using Local Shape Analysis (MZ, JT, CL, ZL), pp. 314–318.
IWPCIWPC-2003-GannodM #architecture
Verification of Recovered Software Architectures (GCG, SM), pp. 258–265.
CIAACIAA-2003-FuBS #protocol #specification
Conversation Protocols: A Formalism for Specification and Verification of Reactive Electronic Services (XF, TB, JS), pp. 188–200.
DLTDLT-2003-Esparza #approach
An Automata-Theoretic Approach to Software Verification (JE), p. 21.
ICALPICALP-2003-XieDI #equation #infinity #polynomial
A Solvable Class of Quadratic Diophantine Equations with Applications to Verification of Infinite-State Systems (GX, ZD, OHI), pp. 668–680.
FMFME-2003-AldiniB #approach #architecture #concurrent
A General Approach to Deadlock Freedom Verification for Software Architectures (AA, MB), pp. 658–677.
FMFME-2003-BoyerS #constraints #protocol #synthesis
Synthesis and Verification of Constraints in the PGM Protocol (MB, MS), pp. 264–281.
FMFME-2003-Fidge #legacy
Verifying Emulation of Legacy Mission Computer Systems (CJF), pp. 187–207.
FMFME-2003-Holzmann #roadmap
Trends in Software Verification (GJH), pp. 40–50.
FMFME-2003-KouchnarenkoL #component #refinement
Refinement and Verification of Synchronized Component-Based Systems (OK, AL), pp. 341–358.
FMFME-2003-Rusu #composition #protocol
Compositional Verification of an ATM Protocol (VR), pp. 223–243.
SEFMSEFM-2003-BeckertS #using
Program Verification Using Change Information (BB, PHS), p. 91–?.
SEFMSEFM-2003-DeharbeR #debugging #proving #theorem proving
Light-Weight Theorem Proving for Debugging and Verifying Units of Code (DD, SR), pp. 220–228.
SEFMSEFM-2003-GawanmehTW #design #using
Formal Verification of ASM Designs Using the MDG Tool (AG, ST, KW), pp. 210–219.
SEFMSEFM-2003-Xi #dependent type
Facilitating Program Verification with Dependent Types (HX), pp. 72–81.
CHICHI-2003-CoventryAJ #interface #usability
Usability and biometric verification at the ATM interface (LMC, ADA, GJ), pp. 153–160.
SEKESEKE-2003-LavazzaO #modelling #uml
Simulation-based Verification of UML models (LL, GO), pp. 314–321.
SEKESEKE-2003-NetiniantiE #approach #aspect-oriented #using
Adding Verification Property of Inter-Processes Using Aspect-Oriented Approach (PN, TE), pp. 54–60.
SEKESEKE-2003-SongPLGCM #e-commerce #uml
Extending UML to Specify and Verify E-commerce Systems (MAJS, AMP, FL, GG, SVAC, WMJ), pp. 306–313.
SIGIRSIGIR-2003-KhmelevT #categorisation
A repetition based measure for verification of text collections and for text categorization (DVK, WJT), pp. 104–110.
AdaEuropeAdaEurope-2003-BurnsL
Adding Temporal Annotations and Associated Verification to Ravenscar Profile (AB, TML), pp. 80–91.
LOPSTRLOPSTR-2003-BerghammerM #algorithm #approximate #development #using
Formal Development and Verification of Approximation Algorithms Using Auxiliary Variables (RB, MMO), pp. 59–74.
PPDPPPDP-2003-Blanchet #approach #automation #encryption #logic programming #protocol
Automatic verification of cryptographic protocols: a logic programming approach (BB), pp. 1–3.
SASSAS-2003-AbadiB #email #protocol
Computer-Assisted Verification of a Protocol for Certified Email (MA, BB), pp. 316–335.
SASSAS-2003-FieldGRY #abstraction #complexity #type system
Typestate Verification: Abstraction Techniques and Complexity Results (JF, DG, GR, EY), pp. 439–462.
AdaSIGAda-2003-AmeyC #programming
Static verification and extreme programming (PA, RC), pp. 4–9.
AdaSIGAda-2003-EvangelistaKPR #ada #concurrent #linear #logic #source code
Verifying linear time temporal logic properties of concurrent Ada programs with quasar (SE, CK, JFPP, PR), pp. 17–24.
RERE-2003-Catrava #quality #requirements #testing #towards
Testing with Partial Traced Requirements: A Necessary Step Towards Higher Quality System Level Verification (SC), p. 303.
ASEASE-2003-BunusF #automation #behaviour #fault #locality #modelling #physics #simulation
Semi-Automatic Fault Localization and Behavior Verification for Physical System Simulation Models (PB, PF), pp. 253–258.
ASEASE-2003-FosterUMK #composition #modelling #web #web service
Model-based Verification of Web Service Compositions (HF, SU, JM, JK), pp. 152–163.
ESEC-FSEESEC-FSE-2003-GieseTBF #composition #design #realtime #towards #uml
Towards the compositional verification of real-time UML designs (HG, MT, SB, SF), pp. 38–47.
ESEC-FSEESEC-FSE-2003-JeffordsH #requirements
A strategy for efficiently verifying requirements (RDJ, CLH), pp. 28–37.
ICSEICSE-2003-ChakiCGJV #c #component #composition
Modular Verification of Software Components in C (SC, EMC, AG, SJ, HV), pp. 385–395.
ICSEICSE-2003-HatcliffDDJR #analysis #component #development #named
Cadena: An Integrated Development, Analysis, and Verification Environment for Component-based Systems (JH, XD, MBD, GJ, VPR), pp. 160–173.
CCCC-2003-Hoare #challenge #compilation #research
The Verifying Compiler: A Grand Challenge for Computing Research (CARH), pp. 262–272.
DACDAC-2003-FineZ #functional #generative #network #testing #using
Coverage directed test generation for functional verification using bayesian networks (SF, AZ), pp. 286–291.
DACDAC-2003-Gluska
Coverage-oriented verification of banias (AG), pp. 280–285.
DACDAC-2003-GuptaRSBBFPOS
Formal verification — prove it or pitch it (RKG, SR, SKS, BB, DKB, MF, CP, JO, FS), pp. 710–711.
DACDAC-2003-HuangC #embedded #framework #using
Using embedded infrastructure IP for SOC post-silicon verification (YH, WTC), pp. 674–677.
DACDAC-2003-KouroussisN #grid #independence #power management
A static pattern-independent technique for power grid voltage integrity verification (DK, FNN), pp. 99–104.
DACDAC-2003-MathysC #integration
Verification strategy for integration 3G baseband SoC (YM, AC), pp. 7–10.
DACDAC-2003-Schubert
High level formal verification of next-generation microprocessors (TS), pp. 1–6.
DACDAC-2003-YuanAAP #constraints #functional #modelling #synthesis
Constraint synthesis for environment modeling in functional verification (JY, KA, AA, CP), pp. 296–299.
DATEDATE-2003-AndritsopoulosCDKMPTPR #case study
Verification of a Complex SoC: The PRO3 Case-Study (FA, CC, GD, FK, YM, FP, IT, SP, DIR), pp. 20224–20231.
DATEDATE-2003-AraS #component #transaction
A Proposal for Transaction-Level Verification with Component Wrapper Language (KA, KS), pp. 20082–20087.
DATEDATE-2003-CarbognaniLICB #modelling #precise #standard #using
Qualifying Precision of Abstract SystemC Models Using the SystemC Verification Standard (FC, CKL, CNI, AC, PB), pp. 20088–20094.
DATEDATE-2003-GoldbergN #proving #satisfiability
Verification of Proofs of Unsatisfiability for CNF Formulas (EIG, YN), pp. 10886–10891.
DATEDATE-2003-KnochelMHKA #simulation
Verification of the RF Subsystem within Wireless LAN System Level Simulation (UK, TM, JH, RK, RA), pp. 20286–20291.
DATEDATE-2003-MounirMF #automation #behaviour #performance
Automatic Behavioural Model Calibration for Efficient PLL System Verification (AM, AM, MF), pp. 20280–20285.
DATEDATE-2003-PastorP #concurrent #simulation #traversal
Combining Simulation and Guided Traversal for the Verification of Concurrent Systems (EP, MAP), pp. 11158–11159.
DATEDATE-2003-SayintaCPAD #abstraction #case study #using
A Mixed Abstraction Level Co-Simulation Case Study Using SystemC for System on Chip Verification (AS, GC, MP, AA, WD), pp. 20095–20100.
DATEDATE-2003-Zhou
Timing Verification with Crosstalk for Transparently Latched Circuits (HZ), pp. 10056–10061.
HPCAHPCA-2003-GassendSCDD #memory management #performance
Caches and Hash Trees for Efficient Memory Integrity Verification (BG, GES, DEC, MvD, SD), pp. 295–306.
ESOPESOP-2003-YahavRSW #evolution #logic
Verifying Temporal Heap Properties Specified via Evolution Logic (EY, TWR, SS, RW), pp. 204–222.
FASEFASE-2003-KochP #policy #specification #visual notation
Visual Specifications of Policies and Their Verification (MK, FPP), pp. 278–293.
FASEFASE-2003-Kubica #approach #pointer #specification
A Temporal Approach to Specification and Verification of Pointer Data-Structures (MK), pp. 231–245.
FoSSaCSFoSSaCS-2003-AbdullaR #communication #probability
Verification of Probabilistic Systems with Faulty Communication (PAA, AMR), pp. 39–53.
FoSSaCSFoSSaCS-2003-AronsPZ #abstraction #probability
Parameterized Verification by Probabilistic Abstraction (TA, AP, LDZ), pp. 87–102.
FoSSaCSFoSSaCS-2003-BlanchetP #encryption #protocol #termination
Verification of Cryptographic Protocols: Tagging Enforces Termination (BB, AP), pp. 136–152.
FoSSaCSFoSSaCS-2003-FokkinkP #protocol #revisited
Cones and Foci for Protocol Verification Revisited (WF, JP), pp. 267–281.
TACASTACAS-2003-BasuR #analysis #composition
Compositional Analysis for Verification of Parameterized Systems (SB, CRR), pp. 315–330.
TACASTACAS-2003-BehrmannBFL #analysis #automaton
Static Guard Analysis in Timed Automata Verification (GB, PB, EF, KGL), pp. 254–277.
TACASTACAS-2003-BozgaLP #abstraction #protocol
Pattern-Based Abstraction for Verifying Secrecy in Protocols (LB, YL, MP), pp. 299–314.
TACASTACAS-2003-ChkliaevHV #protocol
Verification and Improvement of the Sliding Window Protocol (DC, JH, EPdV), pp. 113–127.
TACASTACAS-2003-ClarkeFHKST #abstraction #hybrid #refinement
Verification of Hybrid Systems Based on Counterexample-Guided Abstraction Refinement (EMC, AF, ZH, BHK, OS, MT), pp. 192–207.
TACASTACAS-2003-CobleighGP #composition #learning
Learning Assumptions for Compositional Verification (JMC, DG, CSP), pp. 331–346.
TACASTACAS-2003-DembinskiJJPPSWZ #automaton #named #specification
Verics: A Tool for Verifying Timed Automata and Estelle Specifications (PD, AJ, PJ, WP, AP, MS, BW, AZ), pp. 278–283.
TACASTACAS-2003-ZhangCS #analysis #concurrent #functional #performance
The Integrated CWB-NC/PIOATool for Functional Verification and Performance Analysis of Concurrent Systems (DZ, RC, EWS), pp. 431–436.
CAVCAV-2003-BeyerLN #named #realtime
Rabbit: A Tool for BDD-Based Verification of Real-Time Systems (DB, CL, AN), pp. 122–125.
CAVCAV-2003-BozgaLP #automation #named #protocol #security
HERMES: An Automatic Tool for Verification of Secrecy in Security Protocols (LB, YL, MP), pp. 219–222.
CAVCAV-2003-LahiriB #deduction
Deductive Verification of Advanced Out-of-Order Microprocessors (SKL, REB), pp. 341–353.
CAVCAV-2003-MouraRS #bound #induction #model checking
Bounded Model Checking and Induction: From Refutation to Verification (Extended Abstract, Category A) (LMdM, HR, MS), pp. 14–26.
CAVCAV-2003-XieDIP #problem
Dense Counter Machines and Verification Problems (GX, ZD, OHI, PSP), pp. 93–105.
CSLCSL-2003-Bouajjani #infinity
Verification of Infinite State Systems (AB), p. 71.
CSLCSL-2003-Veith #community
Friends or Foes? Communities in Software Verification (HV), pp. 528–529.
ICLPICLP-2003-DelzannoGM #composition #infinity
Compositional Verification of Infinite State Systems (GD, MG, MCM), pp. 47–48.
ICLPICLP-2003-KingL #logic programming #source code
Forward versus Backward Verification of Logic Programs (AK, LL), pp. 315–330.
LICSLICS-2003-Harrison
Formal Verification at Intel (JH), p. 45–?.
ICSTSAT-2003-ClarkeTVW #abstraction #hardware #satisfiability
SAT Based Predicate Abstraction for Hardware Verification (EMC, MT, HV, DW), pp. 78–92.
VMCAIVMCAI-2003-BossiFPR #bisimulation #security
Bisimulation and Unwinding for Verifying Possibilistic Security Properties (AB, RF, CP, SR), pp. 223–237.
VMCAIVMCAI-2003-Cousot #abstract interpretation #automation
Automatic Verification by Abstract Interpretation (PC), pp. 20–24.
VMCAIVMCAI-2003-OgataF #protocol
Formal Verification of the Horn-Preneel Micropayment Protocol (KO, KF), pp. 238–252.
VMCAIVMCAI-2003-Wang #automaton #performance
Efficient Verification of Timed Automata with BDD-Like Data-Structures (FW), pp. 189–205.
VMCAIVMCAI-2003-WinEGKL #algorithm #distributed #execution #using
Using Simulated Execution in Verifying Distributed Algorithms (TNW, MDE, SJG, DKK, NAL), pp. 283–297.
CBSECBSE-2003-DijkmanAQ #component #correctness #process
Verifying the Correctness of Component-Based Applications that Support Business Processes (RMD, JAA, DAQ), p. 8.
CBSECBSE-2003-MehlitzP #design pattern #reliability #using
Design for Verification: Using Design Patterns to Build Reliable Systems (PCM, JJP), p. 10.
PODSPODS-2002-ArenasFL #consistency #on the #specification #xml
On Verifying Consistency of XML Specifications (MA, WF, LL), pp. 259–270.
WCREWCRE-2002-TanT #fault #program analysis #transaction
Verifying Provisions for Post-Transaction User Input Error Correction through Static Program Analysis (HBKT, NLT), p. 233–?.
CIAACIAA-J-2000-Ibarra02 #multi
Verification in Queue-Connected Multicounter Machines (OHI), pp. 115–127.
CIAACIAA-2002-BartzisB #automation #constraints
Automata-Based Representations for Arithmetic Constraints in Automated Verification (CB, TB), pp. 282–288.
DLTDLT-2002-IbarraDS #automaton #finite #safety
Safety Verification for Two-Way Finite Automata with Monotonic Counters (OHI, ZD, ZWS), pp. 326–338.
FMFME-2002-ArtsED #case study #erlang
Verifying Erlang Code: A Resource Locker Case-Study (TA, CBE, JD), pp. 184–203.
FMFME-2002-Casset #development #embedded #formal method #java #using
Development of an Embedded Verifier for Java Card Byte Code Using Formal Methods (LC), pp. 290–309.
FMFME-2002-FirleyG #abstraction
Property Dependent Abstraction of Control Structure for Software Verification (TF, UG), pp. 511–530.
FMFME-2002-GaravelH #evaluation #functional #on the #performance #using
On Combining Functional Verification and Performance Evaluation Using CADP (HG, HH), pp. 410–429.
FMFME-2002-HendersonP #classification #communication
The Formal Classification and Verification of Simpson’s 4-Slot Asynchronous Communication Mechanism (NH, SP), pp. 350–369.
FMFME-2002-Rusu #generative #testing #using
Verification Using Test Generation Techniques (VR), pp. 252–271.
IFMIFM-2002-NepomniaschySBK #approach #design #distributed #named #specification
Basic-REAL: Integrated Approach for Design, Specification and Verification of Distributed Systems (VAN, NVS, EVB, VEK), pp. 69–88.
CAiSECAiSE-2002-BenerecettiPST #model checking #multi #protocol
Verification of Payment Protocols via MultiAgent Model Checking (MB, MP, LS, ST), pp. 311–327.
EDOCEDOC-2002-KoehlerTK #consistency #implementation #process
From Business Process Model to Consistent Implementation: A Case for Formal Verification Methods (JK, GT, SK), p. 96–?.
ICEISICEIS-2002-MatsuuraI #generative
Digital Timestamps for Dispute Settlement in Electronic Commerce: Generation, Verification, and Renewal (KM, HI), pp. 962–967.
ICEISICEIS-2002-PantiSTV #automation #protocol #security
Automatic Verification of Security in Payment Protocols for Electronic Commerce (MP, LS, ST, SV), pp. 968–974.
ICPRICPR-v1-2002-ZhangW #algorithm
Core-Based Structure Matching Algorithm of Fingerprint Verification (WZ, YW), pp. 70–74.
ICPRICPR-v2-2002-CzyzKV
Combining Face Verification Experts (JC, JK, LV), pp. 28–31.
ICPRICPR-v2-2002-FangTW
Fusion of Global and Local Features for Face Verification (YF, TT, YW), pp. 382–385.
ICPRICPR-v2-2002-MarcelB #using
Improving Face Verification Using Skin Color Information (SM, SB), pp. 378–381.
ICPRICPR-v3-2002-CeguerraK #automation
Integrating Local and Global Features in Automatic Fingerprint Verification (AC, IK), pp. 347–350.
ICPRICPR-v3-2002-HatanoASMOOK #algorithm #difference #using
A Fingerprint Verification Algorithm Using the Differential Matching Rate (TH, TA, SS, HM, SO, YO, HK), pp. 799–802.
ICPRICPR-v3-2002-MaioMCWJ #contest #named
FVC2002: Second Fingerprint Verification Competition (DM, DM, RC, JLW, AKJ), pp. 811–814.
ICPRICPR-v3-2002-PankantiRB #case study #fault
Structure in Errors: A Case Study in Fingerprint Verification (SP, NKR, RMB), pp. 440–447.
SEKESEKE-2002-ArandaM #design pattern #formal method
A formal model for verifying compound design patterns (GNA, RM), pp. 213–214.
SEKESEKE-2002-BarbutiTBF #bytecode #java
Fixing the Java bytecode verifier by a suitable type domain (RB, LT, CB, NDF), pp. 377–382.
SEKESEKE-2002-SciascioDMP #automation #named #web
AnWeb: a sytem for automatic support to web application verification (EDS, FMD, MM, GP), pp. 609–616.
SEKESEKE-2002-XuVIY #behaviour #modelling #multi #using
Modeling and verifying multi-agent behaviors using predicate/transition nets (DX, RAV, TRI, JY), pp. 193–200.
LOPSTRLOPSTR-2002-GutierrezR #calculus #type system
A Cut-Free Sequent Calculus for Pure Type Systems Verifying the Structural Rules of Gentzen/Kleene (FG, BCR), pp. 17–31.
LOPSTRLOPSTR-2002-Martin-MateosAHR #framework
Verification in ACL2 of a Generic Framework to Synthesize SAT-Provers (FJMM, JAA, MJH, JLRR), pp. 182–198.
PLDIPLDI-2002-DasLS #named #polynomial
ESP: Path-Sensitive Program Verification in Polynomial Time (MD, SL, MS), pp. 57–68.
POPLPOPL-2002-FlanaganQ #abstraction
Predicate abstraction for software verification (CF, SQ), pp. 191–202.
PPDPPPDP-2002-BozzanoD #automation #linear #logic #protocol
Automated protocol verification in linear logic (MB, GD), pp. 38–49.
SASSAS-2002-CorinE #constraints #protocol #security
An Improved Constraint-Based System for the Verification of Security Protocols (RC, SE), pp. 326–341.
SASSAS-2002-Yavuz-KahveciB #automation #concurrent
Automated Verification of Concurrent Linked Lists with Counters (TYK, TB), pp. 69–84.
RERE-2002-DuranCCT #requirements #using
Supporting Requirements Verification Using XSLT (AD, ARC, RC, MT), pp. 165–172.
ASEASE-2002-CalderM #automation #communication #concurrent #process
Automatic Verification of any Number of Concurrent, Communicating Processes (MC, AM), pp. 227–230.
ASEASE-2002-CsertanHMPPV #automation #modelling #named #uml #validation #visual notation
VIATRA — Visual Automated Transformations for Formal Verification and Validation of UML Models (GC, GH, IM, ZP, AP, DV), pp. 267–270.
ASEASE-2002-GiannakopoulouPB #component #generative
Assumption Generation for Software Component Verification (DG, CSP, HB), pp. 3–12.
ASEASE-2002-LiKF #composition #interface
Interfaces for Modular Feature Verification (HCL, SK, KF), pp. 195–204.
FSEFSE-2002-LiKF
Verifying cross-cutting features as open systems (HCL, SK, KF), pp. 89–98.
ICSEICSE-2002-AtiyaK #concurrent
A compliance notation for verifying concurrent systems (DMA, SK), pp. 731–732.
ICSEICSE-2002-DengDHM #concurrent #invariant #source code #specification #synthesis
Invariant-based specification, synthesis, and verification of synchronization in concurrent programs (XD, MBD, JH, MM), pp. 442–452.
ICSEICSE-2002-EshuisW #design #graph #process #uml #workflow
Verification support for workflow design with UML activity graphs (RE, RW), pp. 166–176.
ICSEICSE-2002-RoychoudhuryM #java #parallel #semantics #specification #thread
Specifying multithreaded Java semantics for program verification (AR, TM), pp. 489–499.
SACSAC-2002-Abu-GhazalehP #execution #mobile #performance #towards
Verification caching: towards efficient and secure mobile code execution environments (NBAG, DSP), pp. 964–968.
SACSAC-2002-HoomanP #architecture #distributed #replication
Formal verification of replication on a distributed data space architecture (JH, JvdP), pp. 351–358.
DACDAC-2002-BartleyGB #comparison #pseudo #random testing #testing
A comparison of three verification techniques: directed testing, pseudo-random testing and property checking (MB, DG, TB), pp. 819–823.
DACDAC-2002-ChakrabartiDCB #interface #realtime #specification
Formal verification of module interfaces against real time specifications (AC, PD, PPC, AB), pp. 141–145.
DACDAC-2002-ChangC #implementation #self
Self-referential verification of gate-level implementations of arithmetic circuits (YTC, KTC), pp. 311–316.
DACDAC-2002-DillJRBFFRSW
Formal verification methods: getting around the brick wall (DLD, NJ, SR, GB, LF, HF, RKR, GS, CW), pp. 576–577.
DACDAC-2002-EderB #logic #performance #pipes and filters
Achieving maximum performance: a method for the verification of interlocked pipeline control logic (KE, GB), pp. 135–140.
DACDAC-2002-HartongHB #algorithm #model checking
Model checking algorithms for analog verification (WH, LH, EB), pp. 542–547.
DACDAC-2002-HazelhurstWKF #approach #design #hybrid
A hybrid verification approach: getting deep into the design (SH, OW, GK, LF), pp. 111–116.
DACDAC-2002-LeeKK #named
VeriCDF: a new verification methodology for charged device failures (JL, KWK, SMK), pp. 874–879.
DACDAC-2002-SemeriaMPESN #concurrent #design #multi #thread
RTL c-based methodology for designing and verifying a multi-threaded processor (LS, RM, BMP, AE, AS, DN), pp. 123–128.
DATEDATE-2002-BlasquezHFLBHB #industrial
Formal Verification Techniques: Industrial Status and Perspectives (JB, MvH, AF, JLL, DB, CH, PB), p. 1050.
DATEDATE-2002-CiesielskiKZR #canonical #diagrams #representation
Taylor Expansion Diagrams: A Compact, Canonical Representation with Applications to Symbolic Verification (MJC, PK, ZZ, BR), pp. 285–289.
DATEDATE-2002-FerrandiRS #constraints #functional #theorem proving #using
Functional Verification for SystemC Descriptions Using Constraint Solving (FF, MR, DS), pp. 744–751.
DATEDATE-2002-HassounCC
Verifying Clock Schedules in the Presence of Cross Talk (SH, ECG, CC), pp. 346–350.
DATEDATE-2002-JerkeL #analysis
Hierarchical Current Density Verification for Electromigration Analysis in Arbitrary Shaped Metallization Patterns of Analog Circuits (GJ, JL), pp. 464–469.
DATEDATE-2002-KaivolaN #float #multi
Formal Verification of the Pentium ® 4 Floating-Point Multiplier (RK, NN), pp. 20–27.
DATEDATE-2002-MishraDNT #automation #execution #functional #multi #pipes and filters
Automatic Verification of In-Order Execution In Microprocessors with Fragmented Pipelines and Multicycle Functional Units (PM, NDD, AN, HT), pp. 36–43.
DATEDATE-2002-PenaCSP #case study
A Case Study for the Verification of Complex Timed Circuits: IPCMOS (MAP, JC, ABS, EP), pp. 44–51.
DATEDATE-2002-StohrSG #named #reuse #using
FlexBench: Reuse of Verification IP to Increase Productivity (BS, MS, JG), p. 1131.
DATEDATE-2002-Velev #similarity #using
Using Rewriting Rules and Positive Equality to Formally Verify Wide-Issue Out-of-Order Microprocessors with a Reorder Buffer (MNV), pp. 28–35.
LCTESLCTES-SCOPES-2002-FengH #automation
Automatic formal verification for scheduled VLIW code (XF, AJH), pp. 85–92.
ESOPESOP-2002-FlanaganFQ #source code #thread
Thread-Modular Verification for Shared-Memory Programs (CF, SNF, SQ), pp. 262–277.
FASEFASE-2002-BartheGH #composition #interactive
Compositional Verification of Secure Applet Interactions (GB, DG, MH), pp. 15–32.
FASEFASE-2002-DavidMY #realtime #uml
Formal Verification of UML Statecharts with Real-Time Extensions (AD, MOM, WY), pp. 218–232.
FoSSaCSFoSSaCS-2002-Abraham-MummBRS #concept #java #multi #thread
Verification for Java’s Reentrant Multithreading Concept (EÁM, FSdB, WPdR, MS), pp. 5–20.
FoSSaCSFoSSaCS-2002-SchoppS #process #using
Verifying Temporal Properties Using Explicit Approximants: Completeness for Context-free Processes (US, AKS), pp. 372–386.
STOCSTOC-2002-ColeH
Verifying candidate matches in sparse and wildcard matching (RC, RH), pp. 592–601.
TACASTACAS-2002-BozzanoD
Beyond Parameterized Verification (MB, GD), pp. 221–235.
TACASTACAS-2002-BrabermanGO #using
Improving the Verification of Timed Systems Using Influence Information (VAB, DG, AO), pp. 21–36.
TACASTACAS-2002-DelzannoRB #automation #java #parallel #source code #thread #towards
Towards the Automated Verification of Multithreaded Java Programs (GD, JFR, LVB), pp. 173–187.
TACASTACAS-2002-KimSC #functional #requirements #specification #using
Formal Verification of Functional Properties of an SCR-Style Software Requirements Specification Using PVS (TK, DWJSC, SDC), pp. 205–220.
TACASTACAS-2002-Lang #composition #using
Compositional Verification Using SVL Scripts (FL), pp. 465–469.
WRLAWRLA-2002-OgataF #authentication #protocol
Rewriting-Based Verification of Authentication Protocols (KO, KF), pp. 208–222.
CADECADE-2002-FordS
Formal Verification of a Combination Decision Procedure (JF, NS), pp. 347–362.
CADECADE-2002-Strecker #compilation #java
Formal Verification of a Java Compiler in Isabelle (MS), pp. 63–77.
CAVCAV-2002-AsarinDM #hybrid
The d/dt Tool for Verification of Hybrid Systems (EA, TD, OM), pp. 365–370.
CAVCAV-2002-AsarinPSY #hybrid #named
SPeeDI — A Verification Tool for Polygonal Hybrid Systems (EA, GJP, GS, SY), pp. 354–358.
CAVCAV-2002-BozzanoD #algorithm #protocol
Algorithmic Verification of Invalidation-Based Protocols (MB, GD), pp. 295–308.
CAVCAV-2002-BryantLS #logic #modelling #using
Modeling and Verifying Systems Using a Logic of Counter Arithmetic with λ Expressions and Uninterpreted Functions (REB, SKL, SAS), pp. 78–92.
CAVCAV-2002-CabodiNQ #traversal
Mixing Forward and Backward Traversals in Guided-Prioritized BDD-Based Verification (GC, SN, SQ), pp. 471–484.
CAVCAV-2002-ChatterjeeSG #consistency #memory management #model checking #modelling #protocol #refinement
Shared Memory Consistency Protocol Verification Against Weak Memory Models: Refinement via Model-Checking (PC, HS, GG), pp. 123–136.
CAVCAV-2002-ChevalierV #automation #bound #protocol #security
Automated Unbounded Verification of Security Protocols (YC, LV), pp. 324–337.
CAVCAV-2002-CousotC #abstraction #on the
On Abstraction in Software Verification (PC, RC), pp. 37–56.
CAVCAV-2002-Jacobi #model checking #pipes and filters
Formal Verification of Complex Out-of-Order Pipelines by Combining Model-Checking and Theorem-Proving (CJ0), pp. 309–323.
CAVCAV-2002-Thomas #game studies #infinity
Infinite Games and Verification (WT), pp. 58–64.
CAVCAV-2002-YounesS #probability #using
Probabilistic Verification of Discrete Event Systems Using Acceptance Sampling (HLSY, RGS), pp. 223–235.
ISSTAISSTA-2002-Cheng #design #induction #modelling #refactoring
Refactoring design models for inductive verification (YPC), pp. 164–168.
ISSTAISSTA-2002-Yavuz-KahveciB #component #concurrent #specification #synthesis
Specification, verification, and synthesis of concurrency control components (TYK, TB), pp. 169–179.
ICTSSTestCom-2002-Veciana-NoguesCDS #metric
Verifying IP Meters from Sampled Measurements (CVN, ACA, JDP, JSP), pp. 39–54.
VMCAIVMCAI-2002-BaukusLS #liveness #protocol #safety
Parameterized Verification of a Cache Coherence Protocol: Safety and Liveness (KB, YL, KS), pp. 317–330.
VMCAIVMCAI-2002-GoriL #abstract interpretation #empirical #type inference
An Experiment in Type Inference and Verification by Abstract Interpretation (RG, GL), pp. 225–239.
VMCAIVMCAI-2002-KrsticM #algorithm #monad
Verifying BDD Algorithms through Monadic Interpretation (SK, JM), pp. 182–195.
VMCAIVMCAI-2002-ZuckPK #automation #probability
Automatic Verification of Probabilistic Free Choice (LDZ, AP, YK), pp. 208–224.
CBSECBSE-2001-FislerKB #collaboration #component #design
Verifying Component-Based Collaboration Designs (KF, SK, DSB), p. 17.
CBSECBSE-2001-GiannakopoulouP #certification #component
Component Verification and Certification in NASA Missions (DG, JP), p. 23.
ICDARICDAR-2001-HanmandluMCG #fuzzy #modelling
Fuzzy Modeling Based Signature Verification System (MH, KRMM, SC, GGI), pp. 110–115.
ICDARICDAR-2001-Horiuchi #automation
Automatic Seal Verification by Evaluating Positive Cost (TH), pp. 572–576.
ICDARICDAR-2001-JustinoBS #random #using
Off-line Signature Verification Using HMM for Random, Simple and Skilled Forgeries (EJRJ, FB, RS), pp. 1031–1034.
ICDARICDAR-2001-LejtmanG #network #online #using
On-line Handwritten Signature Verification Using Wavelets and Back-propagation Neural Networks (DZL, SEG), pp. 992–996.
ICDARICDAR-2001-RheeCK #feature model #online #segmentation #using
On-Line Signature Verification Using Model-Guided Segmentation and Discriminative Feature Selection for Skilled Forgeries (THR, SJC, JHK), pp. 645–649.
ICDARICDAR-2001-TanabeYKMOI #automation
Automatic Signature Verification Based on the Dynamic Feature of Pressure (KT, MY, HK, SM, SO, TI), pp. 1045–1049.
ICDARICDAR-2001-VielhauerSM #transitive
Transitivity Based Enrollment Strategy for Signature Verification (CV, RS, AM), p. 1263–?.
ICDARICDAR-2001-WangK #image
Character-Like Region Verification for Extracting Text in Scene Images (HW, JK), pp. 957–963.
ICDARICDAR-2001-WantabeO #generative #paradigm #parallel #recognition
Parallel Recognition of Roads from Urban Maps on Generation/Verification Paradigm of Hypotheses (TW, TO), pp. 1225–1234.
ICSMEICSM-2001-ZelkowitzR #independence #maintenance #safety #validation
The Role of Independent Verification and Validation in Maintaining a Safety Critical Evolutionary Software in a Complex Environment: The NASA Space Shuttle Program (MVZ, IR), pp. 118–126.
PASTEPASTE-2001-Holzmann
Economics of software verification (GJH), pp. 80–85.
ICALPICALP-2001-AlurEY #graph
Realizability and Verification of MSC Graphs (RA, KE, MY), pp. 797–808.
ICALPICALP-2001-Bouajjani #infinity #term rewriting
Languages, Rewriting Systems, and Verification of Infinite-State Systems (AB), pp. 24–39.
ICALPICALP-2001-BreugelW #probability #towards
Towards Quantitative Verification of Probabilistic Transition Systems (FvB, JW), pp. 421–432.
FMFME-2001-BurtonKP #implementation
Verifying Implementation Relations (JB, MK, GP), pp. 364–383.
FMFME-2001-LaurentMW #simulation #using
Using Formal Verification Techniques to Reduce Simulation and Test Effort (OL, PM, VW), pp. 465–477.
FMFME-2001-SharyginaP #approach #reliability #testing
A Combined Testing and Verification Approach for Software Reliability (NS, DP), pp. 611–628.
RTARTA-2001-KorovinV #order #using
Verifying Orientability of Rewrite Rules Using the Knuth-Bendix Order (KK, AV), pp. 137–153.
RTARTA-2001-Rusinowitch #deduction
Rewriting for Deduction and Verification (MR), p. 2.
FLOPSFLOPS-J1-1998-LedererD01 #automation #runtime
Automatic Result Verification by Complete Run-Time Checking of Computations (EFAL, RAD), pp. 97–124.
LOPSTRLOPSTR-2001-FioravantiPP #infinity #process #program transformation #set #using
Verification of Sets of Infinite State Processes Using Program Transformation (FF, AP, MP), pp. 111–128.
POPLPOPL-2001-FlanaganS #explosion #exponential #generative
Avoiding exponential explosion: generating compact verification conditions (CF, JBS), pp. 193–205.
POPLPOPL-2001-Yahav #concurrent #java #logic #safety #source code #using
Verifying safety properties of concurrent Java programs using 3-valued logic (EY), pp. 27–40.
ASEASE-2001-BlewittBS #automation #design pattern #java
Automatic Verification of Java Design Patterns (AB, AB, IS), pp. 324–327.
ASEASE-2001-BultanY
Action Language Verifier (TB, TYK), pp. 382–386.
ASEASE-2001-ChevalierV #lazy evaluation #protocol #security
A Tool for Lazy Verification of Security Protocols (YC, LV), pp. 373–376.
ASEASE-2001-Fenkam #security #specification
Security Specification and Verification (PF), p. 434.
ASEASE-2001-FredlundGN #automation #erlang
Semi-Automated Verification of Erlang Code (LÅF, DG, TN), pp. 319–323.
ASEASE-2001-GiannakopoulouH #source code
Automata-Based Verification of Temporal Properties on Running Programs (DG, KH), pp. 412–416.
ASEASE-2001-OgataF #distributed #modelling #realtime
Modeling and Verification of Distributed Real-Time Systems Based on CafeOBJ (KO, KF), pp. 185–192.
ASEASE-2001-Xia #mobile
Verify Properties of Mobile Code (SX), p. 440.
FSEESEC-FSE-2001-Coen-PorisiniDGP #execution #safety #symbolic computation #using
Using symbolic execution for verifying safety-critical systems (ACP, GD, CG, MP), pp. 142–151.
FSEESEC-FSE-2001-FislerK #composition #design
Modular verification of collaboration-based software designs (KF, SK), pp. 152–163.
ICSEICSE-2001-CobleighCO #algorithm #analysis #data flow #finite
The Right Algorithm at the Right Time: Comparing Data Flow Analysis Algorithms for Finite State Verification (JMC, LAC, LJO), pp. 37–46.
ICSEICSE-2001-DwyerHJLPRZV #abstraction #finite
Tool-Supported Program Abstraction for Finite-State Verification (MBD, JH, RJ, SL, CSP, R, HZ, WV), pp. 177–187.
SACSAC-2001-KallesK #design #game studies #learning #on the #using
On verifying game designs and playing strategies using reinforcement learning (DK, PK), pp. 6–11.
DACDAC-2001-Albin
Nuts and Bolts of Core and SoC Verification (KA), pp. 249–252.
DACDAC-2001-KohnoM #behaviour #pipes and filters
A New Verification Methodology for Complex Pipeline Behavior (KK, NM), pp. 816–821.
DACDAC-2001-KudlugiHSP #architecture #functional #simulation #transaction
A Transaction-Based Unified Simulation/Emulation Architecture for Functional Verification (MK, SH, CS, DP), pp. 623–628.
DACDAC-2001-KudlugiST #functional #multi #scheduling
Static Scheduling of Multiple Asynchronous Domains For Functional Verification (MK, CS, RT), pp. 647–652.
DACDAC-2001-KuhnOWREK #framework #hardware #object-oriented #specification #synthesis
A Framework for Object Oriented Hardware Specification, Verification, and Synthesis (TK, TO, MW, WR, ME, YK), pp. 413–418.
DACDAC-2001-LeeT #fault
Pre-silicon Verification of the Alpha 21364 Microprocessor Error Handling System (RL, BT), pp. 822–827.
DACDAC-2001-MneimnehAWCSA #hybrid #scalability
Scalable Hybrid Verification of Complex Microprocessors (MNM, FAA, CTW, SC, KAS, TMA), pp. 41–46.
DACDAC-2001-OzgunerMDWSH #design #education #logic
Teaching Future Verification Engineers: The Forgotten Side of Logic Design (, DWM, JD, BW, JS, LH), pp. 253–255.
DACDAC-2001-VelevB #effectiveness #satisfiability #using
Effective Use of Boolean Satisfiability Procedures in the Formal Verification of Superscalar and VLIW Microprocessors (MNV, REB), pp. 226–231.
DACDAC-2001-WangHLKZMD #abstraction #hybrid #refinement #simulation
Formal Property Verification by Abstraction Refinement with Formal, Simulation and Hybrid Engines (DW, PHH, JL, JHK, YZ, HKTM, RFD), pp. 35–40.
DATEDATE-2001-Bazargan-SabetI #modelling #tool support
Modeling crosstalk noise for deep submicron verification tools (PBS, FI), pp. 530–534.
DATEDATE-2001-HajjarCMAB #behaviour #quality #statistics #using
High quality behavioral verification using statistical stopping criteria (AH, TC, IM, AAA, MB), pp. 411–419.
DATEDATE-2001-TeicaRV #automation #design #on the #using
On the verification of synthesized designs using automatically generated transformational witnesses (ET, RR, RV), p. 798.
FASEFASE-2001-ReusWH #calculus #design #hoare #java #modelling #ocl
A Hoare Calculus for Verifying Java Realizations of OCL-Constrained Design Models (BR, MW, RH), pp. 300–317.
FASEFASE-2001-SharyginaBK #analysis #design #object-oriented #reliability
A Formal Object-Oriented Analysis for Software Reliability: Design for Verification (NS, JCB, RPK), pp. 318–332.
FoSSaCSFoSSaCS-2001-AmbroiseABR #embedded
MARRELLA and the Verification of an Embedded System (DA, PA, KB, BR), pp. 409–412.
FoSSaCSFoSSaCS-2001-Nipkow #bytecode
Verified Bytecode Verifiers (TN), pp. 347–363.
TACASTACAS-2001-BallCR #library #parallel #thread
Parameterized Verification of Multithreaded Software Libraries (TB, SC, SKR), pp. 158–173.
TACASTACAS-2001-FuBHS #workflow
Verification of Vortex Workflows (XF, TB, RH, JS), pp. 143–157.
TACASTACAS-2001-LakhnechBBO #abstraction #incremental
Incremental Verification by Abstraction (YL, SB, SB, SO), pp. 98–112.
TACASTACAS-2001-NollFG #erlang
The Erlang Verification Tool (TN, LÅF, DG), pp. 582–586.
TACASTACAS-2001-PnueliRZ #automation #deduction #invariant
Automatic Deductive Verification with Invisible Invariants (AP, SR, LDZ), pp. 82–97.
TACASTACAS-2001-Velev #abstraction #automation
Automatic Abstraction of Memories in the Formal Verification of Superscalar Microprocessors (MNV), pp. 252–267.
CAVCAV-2001-AlurW #implementation #network #protocol #refinement
Verifying Network Protocol Implementations by Symbolic Refinement Checking (RA, BYW), pp. 169–181.
CAVCAV-2001-Arons #consistency #using
Using Timestamping and History Variables to Verify Sequential Consistency (TA), pp. 423–435.
CAVCAV-2001-AronsPRXZ #automation #induction
Parameterized Verification with Automatically Computed Inductive Assertions (TA, AP, SR, JX, LDZ), pp. 221–234.
CAVCAV-2001-Bertot #formal method #proving #theorem proving
Formalizing a JVML Verifier for Initialization in a Theorem Prover (YB), pp. 14–24.
CAVCAV-2001-ClossePPSVWY #development #embedded #named #realtime
TAXYS: A Tool for the Development and Verification of Real-Time Embedded Systems (EC, MP, JP, JS, PV, DW, SY), pp. 391–395.
CAVCAV-2001-JhalaM #architecture #composition #model checking
Microarchitecture Verification by Compositional Model Checking (RJ, KLM), pp. 396–410.
CAVCAV-2001-KuehlmannB #using
Transformation-Based Verification Using Generalized Retiming (AK, JB), pp. 104–117.
CAVCAV-2001-KwiatkowskaNS #automation #distributed #protocol #random #using
Automated Verification of a Randomized Distributed Consensus Protocol Using Cadence SMV and PRISM (MZK, GN, RS), pp. 194–206.
CAVCAV-2001-Leroy #bytecode #java #overview #perspective
Java Bytecode Verification: An Overview (XL), pp. 265–285.
CAVCAV-2001-LeuckerN #concurrent #framework #named #parallel #platform
Truth/SLC — A Parallel Verification Platform for Concurrent Systems (ML, TN), pp. 255–259.
CAVCAV-2001-MoondanosSHK #divide and conquer #equivalence #logic #named
CLEVER: Divide and Conquer Combinational Logic Equivalence VERification with False Negative Elimination (JM, CJHS, ZH, DK), pp. 131–143.
CAVCAV-2001-MoriokaKY #algorithm #performance #towards
Towards Efficient Verification of Arithmetic Algorithms over Galois Fields GF(2m) (SM, YK, TY), pp. 465–477.
CAVCAV-2001-Parnas #documentation #process
Software Documentation and the Verification Process (DLP), p. 1.
CAVCAV-2001-RoychoudhuryR #automation #induction #protocol
Automated Inductive Verification of Parameterized Protocols (AR, IVR), pp. 25–37.
CAVCAV-2001-SongPP #automation #generative #implementation #named #protocol #security
AGVI — Automatic Generation, Verification, and Implementation of Security Protocols (DXS, AP, DP), pp. 241–245.
CAVCAV-2001-ZhengMM #abstraction #automation
Automatic Abstraction for Verification of Timed Circuits and Systems (HZ, EM, CJM), pp. 182–193.
LICSLICS-2001-AlfaroHM #source code
From Verification to Control: Dynamic Programs for ω-Regular Objectives (LdA, TAH, RM), pp. 279–290.
LICSLICS-2001-BouajjaniMT #algorithm #permutation
Permutation Rewriting and Algorithmic Verification (AB, AM, TT), pp. 399–408.
LICSLICS-2001-Xi #dependent type #termination
Dependent Types for Program Termination Verification (HX), pp. 231–242.
CBSECBSE-2000-RíoGL #component #named
Itacio: A Component Model for Verifying Software at Construction Time (ACdR, JELG, JMCL), p. 12.
PODSPODS-2000-Spielmann #relational #transducer
Verification of Relational Transducers for Electronic Commerce (MS), pp. 92–103.
ICALPICALP-2000-AielloBOR #performance #proving
Fast Verification of Any Remote Procedure Call: Short Witness-Indistinguishable One-Round Proofs for NP (WA, SNB, RO, SR), pp. 463–474.
ICALPICALP-2000-Kucera #algorithm #performance #process
Efficient Verification Algorithms for One-Counter Processes (AK), pp. 317–328.
IFMIFM-2000-CansellMM #diagrams
Predicate Diagrams for the Verification of Reactive Systems (DC, DM, SM), pp. 380–397.
IFMIFM-2000-FischerC #dependence #diagrams #formal method
Formalizing Timing Diagrams as Causal Dependencies for Verification Purposes (JF, SC), pp. 45–60.
IFMIFM-2000-MassonMJ #composition
Modular Verification for a Class of PLTL Properties (PAM, HM, JJ), pp. 398–419.
IFMIFM-2000-MeyerS #approach #behaviour #consistency #uml #using
Behavioral Conformance Verification in an Integrated Approach Using UML and B (EM, TS), pp. 358–379.
ICFPICFP-2000-Seger #functional #hardware #programming
Combining functional programming and hardware verification (CJHS), p. 244.
IFLIFL-2000-ArtsN #erlang #implementation
Verifying Generic Erlang Client-Server Implementations (TA, TN), pp. 37–52.
CSCWCSCW-2000-GodefroidyHJL #approach #automation #privacy
Ensuring privacy in presence awareness: an automated verification approach (PG, JDH, LJJ, DL), pp. 59–68.
ICPRICPR-v1-2000-PrabhakarJWPB #classification
Minutia Verification and Classification for Fingerprint Matching (SP, AKJ, JW, SP, RMB), pp. 1025–1029.
ICPRICPR-v2-2000-HuangY #using
Signature Verification using Fractal Transformation (KH, HY), pp. 2851–2854.
ICPRICPR-v2-2000-UmRK #clustering #comparison
Comparison of Clustering Methods for MLP-Based Speaker Verification (ITU, JHR, MHK), pp. 2475–2474.
ICPRICPR-v3-2000-HangaiYH #using
Writer Verification Using Altitude and Direction of Pen Movement (SH, SY, TH), pp. 3483–3486.
ICPRICPR-v3-2000-PelecanosMSC #modelling
Vector Quantization Based Gaussian Modeling for Speaker Verification (JWP, SM, SS, VC), pp. 3298–3301.
ICPRICPR-v3-2000-PhamW
Information based Speaker Verification (TDP, MW), pp. 3282–3285.
ICPRICPR-v3-2000-SanchisVJ #performance #recognition #speech #using #word
Efficient Use of the Grammar Scale Factor to Classify Incorrect Words in Speech Recognition Verification (AS, EV, VMJ), pp. 3278–3281.
ICPRICPR-v4-2000-LuoTW #algorithm
A Minutia Matching Algorithm in Fingerprint Verification (XL, JT, YW), pp. 4833–4836.
ICPRICPR-v4-2000-MatasHJKLKTPTYSCGABBM #comparison #database
Comparison of Face Verification Results on the XM2VTS Database (JM, MH, KJ, JK, YL, CK, AT, IP, TT, HY, FS, NC, WG, YA, JB, SBY, EM), pp. 4858–4863.
ICPRICPR-v4-2000-OhishiKM #online #using
On-Line Signature Verification Using Pen-Position, Pen-Pressure and Pen-Inclination Trajectories (TO, YK, TM), pp. 4547–4550.
LOPSTRLOPSTR-2000-AvelloneFF #framework #logic programming #source code #synthesis
A formal framework for synthesis and verification of logic programs (AA, MF, CF).
LOPSTRLOPSTR-J-2000-AvelloneFF #framework #logic programming #source code #synthesis
A Formal Framework for Synthesis and Verification of Logic Programs (AA, MF, CF), pp. 1–17.
POPLPOPL-2000-HeintzeJV #analysis #framework
A Framework for Combining Analysis and Verification (NH, JJ, RV), pp. 26–39.
POPLPOPL-2000-VolpanoS
Verifying Secrets and Relative Secrecy (DMV, GS), pp. 268–276.
ASEASE-2000-Hutter
Management of Change in Structured Verification (DH), p. 23–?.
ICSEICSE-2000-Iosif #concurrent #java
Formal verification applied to Java concurrent software (RI), pp. 707–709.
ICSEICSE-2000-PenixVELW #clustering #kernel
Verification of time partitioning in the DEOS scheduler kernel (JP, WV, EE, AL, NW), pp. 488–497.
SACSAC-2000-DoyonD #bytecode #java
Verifying Object Initialization in the Java Bytecode Language (SD, MD), pp. 821–830.
DACDAC-2000-AagaardJKKS #algorithm
Formal verification of iterative algorithms in microprocessors (MA, RBJ, RK, KRK, CJHS), pp. 201–206.
DACDAC-2000-AdlerBHB
A current driven routing and verification methodology for analog applications (TA, HB, LH, EB), pp. 385–389.
DACDAC-2000-CabodiQS #optimisation
Optimizing sequential verification by retiming transformations (GC, SQ, FS), pp. 601–606.
DACDAC-2000-CurrieHR #automation
Automatic formal verification of DSP software (DWC, AJH, SPR), pp. 130–135.
DACDAC-2000-GoelL
Formal verification of an IBM CoreConnect processor local bus arbiter core (AG, WRL), pp. 196–200.
DACDAC-2000-Puig-MedinaEK #configuration management
Verification of configurable processor cores (MPM, GE, PK), pp. 426–431.
DACDAC-2000-VelevB #branch #exception #functional #multi #predict
Formal verification of superscale microprocessors with multicycle functional units, exception, and branch prediction (MNV, REB), pp. 112–117.
DACDAC-2000-WilsonD #reliability #simulation #using
Reliable verification using symbolic simulation with scalar values (CW, DLD), pp. 124–129.
DACDAC-2000-YenY #design #multi
Multiprocessing design verification methodology for Motorola MPC74XX PowerPC microprocessor (JTY, QRY), pp. 718–723.
DATEDATE-2000-PeraliasARH #design #pipes and filters
A Vhdl-Based Methodology for Design and Verification of Pipeline A/D Converters (EJP, AJA, AR, JLH), pp. 534–538.
FASEFASE-2000-GruerHK #design #network #problem #specification #using
Verification of Object-Z Specifications by Using Transition Systems: Application to the Radiomobile Network Design Problem (PG, VH, AK), pp. 222–236.
FASEFASE-2000-HuismanJ #hoare #java #logic #termination
Java Program Verification via a Hoare Logic with Abrupt Termination (MH, BJ), pp. 284–303.
FASEFASE-2000-HuizingK #invariant #object-oriented #source code #using
Verification of Object Oriented Programs Using Class Invariants (KH, RK), pp. 208–221.
FoSSaCSFoSSaCS-2000-BerardLS #equivalence #parallel #performance #process
Verifying Performance Equivalence for Timed Basic Parallel Processes (BB, AL, PS), pp. 35–47.
FoSSaCSFoSSaCS-2000-Tsay #composition #linear #logic
Compositional Verification in Linear-Time Temporal Logic (YKT), pp. 344–358.
TACASTACAS-2000-AronsP #comparison #execution
A Comparison of Two Verification Methods for Speculative Instruction Execution (TA, AP), pp. 487–502.
TACASTACAS-2000-BaukusBLS #network
Abstracting WS1S Systems to Verify Parameterized Networks (KB, SB, YL, KS), pp. 188–203.
TACASTACAS-2000-ClarkeJM #partial order #protocol #reduction #security
Partial Order Reductions for Security Protocol Verification (EMC, SJ, WRM), pp. 503–518.
TACASTACAS-2000-JonssonN #infinity #transitive
Transitive Closures of Regular Relations for Verifying Infinite-State Systems (BJ, MN), pp. 220–234.
TACASTACAS-2000-RoychoudhuryKRRS #logic programming #program transformation #using
Verification of Parameterized Systems Using Logic Program Transformations (AR, KNK, CRR, IVR, SAS), pp. 172–187.
TACASTACAS-2000-Wang #data type #performance #realtime
Efficient Data Structure for Fully Symbolic Verification of Real-Time Software Systems (FW), pp. 157–171.
WRLAWRLA-2000-LeuckerN #framework #logic #tool support
Rewriting Logic as a Framework for Generic Verification Tools (ML, TN), pp. 121–137.
CADECADE-2000-CollinsD
System Description: Embedding Verification into Microsoft Excel (GC, LAD), pp. 497–501.
CADECADE-2000-GenetK #encryption #protocol
Rewriting for Cryptographic Protocol Verification (TG, FK), pp. 271–290.
CADECADE-2000-Harrison #proving #theorem proving #using
High-Level Verification Using Theorem Proving and Formalized Mathematics (JH), pp. 1–6.
CAVCAV-2000-AbdullaJ #infinity #tutorial
Invited Tutorial: Verification of Infinite-State and Parameterized Systems (PAA, BJ), p. 4.
CAVCAV-2000-BaumgartnerTASA #abstraction #algorithm #design
An Abstraction Algorithm for the Verification of Generalized C-Slow Designs (JB, AT, AA, VS, FA), pp. 5–19.
CAVCAV-2000-BienmullerDW
The STATEMATE Verification Environment — Making It Real (TB, WD, HW), pp. 561–567.
CAVCAV-2000-Cohen #encryption #first-order #named #protocol
TAPS: A First-Order Verifier for Cryptographic Protocols (EC), pp. 568–571.
CAVCAV-2000-Delzanno #automation #protocol
Automatic Verification of Parameterized Cache Coherence Protocols (GD), pp. 53–68.
CAVCAV-2000-FraerKZVF #analysis #performance #reachability #traversal
Prioritized Traversal: Efficient Reachability Analysis for Verification and Falsification (RF, GK, BZ, MYV, LF), pp. 389–402.
CAVCAV-2000-HosabettuGS #architecture #exception
Verifying Advanced Microarchitectures that Support Speculation and Exceptions (RH, GG, MKS), pp. 521–537.
CAVCAV-2000-PnueliS #liveness
Liveness and Acceleration in Parameterized Verification (AP, ES), pp. 328–343.
CAVCAV-2000-RamakrishnanRSDDRV #named #tool support
XMC: A Logic-Programming-Based Verification Toolset (CRR, IVR, SAS, YD, XD, AR, VNV), pp. 576–580.
CAVCAV-2000-Rushby #diagrams #invariant
Verification Diagrams Revisited: Disjunctive Invariants for Easy Verification (JMR), pp. 508–520.
CAVCAV-2000-RusinowitchSK #consistency #incremental
Mechanical Verification of an Ideal Incremental ABR Conformance (MR, SS, FK), pp. 344–357.
CAVCAV-2000-Velev #execution
Formal Verification of VLIW Microprocessors with Speculative Execution (MNV), pp. 296–311.
CAVCAV-2000-Yoneda #named
VINAS-P: A Tool for Trace Theoretic Verification of Timed Asynchronous Circuits (TY), pp. 572–575.
ICLPCL-2000-BonchiGP #database #logic #on the
On Verification in Logic Database Languages (FB, FG, DP), pp. 957–971.
CSLCSL-2000-Vardi #automation #automaton #graph #logic
Automated Verification = Graphs, Automata, and Logic (MYV), p. 139.
ISSTAISSTA-2000-Clarke #finite #validation
Finite state verification: An emerging technology for validating software systems (LAC), p. 146.
ISSTAISSTA-2000-CobleighCO #process
Verifying properties of process definitions (JMC, LAC, LJO), pp. 96–101.
ISSTAISSTA-2000-Lev-AmiRSW #case study #static analysis
Putting static analysis to work for verification: A case study (TLA, TWR, SS, RW), pp. 26–38.
LICSLICS-2000-AbdullaN #infinity #performance
Better is Better than Well: On Efficient Verification of Infinite-State Systems (PAA, AN), pp. 132–140.
LICSLICS-2000-MurawskiO #performance #proving
Dominator Trees and Fast Verification of Proof Nets (ASM, CHLO), pp. 181–191.
ICTSSTestCom-2000-JardJM #testing
Verification of Test Suites (CJ, TJ, PM), pp. 3–18.
ICTSSTestCom-2000-PetrenkoU #concurrent #testing
Verification and Testing of Concurrent Systems with Action Races (AP, AU), pp. 261–280.
ICDARICDAR-1999-FangWLTKTW #approach
A Smoothness Index based Approach for Off-line Signature Verification (BF, YYW, CHL, YYT, PCKK, KWT, YKW), pp. 785–787.
ICDARICDAR-1999-LecceDGIPSS #automation
Selection of Reference Signatures for Automatic Signature Verification (VDL, GD, AG, SI, GP, AS, LS), pp. 597–600.
ICDARICDAR-1999-LeeL #automation #design #empirical #sorting
Empirical Design of a Holistic Verifier for Automatic Sorting of Handwritten Singapore Postal Addresses (CKL, GL), pp. 733–736.
ICDARICDAR-1999-MizukamiMYY #using
An Off-line Signature Verification System using an Extraction Displacement Function (YM, HM, MY, IY), pp. 757–760.
CSEETCSEET-1999-Stavely #specification
High-Quality Software through Semiformal Specification and Verification (AMS), pp. 145–155.
ITiCSEITiCSE-1999-Kosa #web
Beginners program Web page builders and verifiers (MJK), p. 185.
ICSMEICSM-1999-InteresseD #case study #experience
Experience Paper: Beyond Year 2000 Remediation: The Compliance Verification: A Case Study (MI, RD), pp. 155–160.
PASTEPASTE-1999-NaumovichCC #analysis #data flow #partial order #performance #using
Using Partial Order Techniques to Improve Performance of Data Flow Analysis Based Verification (GN, LAC, JMC), pp. 57–65.
CIAAWIA-1999-Trahtman #algorithm #automaton #finite #testing
An Algorithm to Verify Local Threshold Testability of Deterministic Finite Automata (ANT), pp. 164–173.
FMFM-v1-1999-ArtsD #database #distributed #erlang
Verifying a Distributed Database Lookup Manager Written in Erlang (TA, MD), pp. 682–700.
FMFM-v1-1999-CharpentierC #approach #composition #design #distributed #towards
Towards a Compositional Approach to the Design and Verification of Distributed Systems (MC, KMC), pp. 570–589.
FMFM-v1-1999-Couvreur #linear #logic #on the fly
On-the-Fly Verification of Linear Temporal Logic (JMC), pp. 253–271.
FMFM-v1-1999-KestenKPR #analysis #deduction #model checking
A Perfect Verification: Combining Model Checking with Deductive Analysis to Verify Real-Life Software (YK, AK, AP, GR), pp. 173–194.
FMFM-v1-1999-Liu #consistency #specification #testing
Verifying Consistency and Validity of Formal Specifications by Testing (SL), pp. 896–914.
FMFM-v1-1999-Wang #automation #pointer #process
Automatic Verification of Pointer Data-Structure Systems for All Numbers of Processes (FW), pp. 328–347.
FMFM-v2-1999-AkhianiDHLSTY
Cache Coherence Verification with TLA+ (HA, DD, PH, LL, JS, MRT, YY), pp. 1871–1872.
FMFM-v2-1999-DellacherieDL #linear #programming
Software Verification Based on Linear Programming (SD, SD, JLL), pp. 1147–1165.
FMFM-v2-1999-DiaconescuFI #algebra #component #specification
Component-Based Algebraic Specification and Verification in CafeOBJ (RD, KF, SI), pp. 1644–1663.
FMFM-v2-1999-Eschbach #algorithm #detection #specification #termination
A Termination Detection Algorithm: Specification and Verification (RE), pp. 1720–1737.
FMFM-v2-1999-HaxthausenP #development #distributed
Formal Development and Verification of a Distributed Railway Control System (AEH, JP), pp. 1546–1563.
FMFM-v2-1999-KingHCP #experience #industrial #proving
The Value of Verification: Positive Experience of Industrial Proof (SK, JH, RC, AP), pp. 1527–1545.
FMFM-v2-1999-MoriF #behaviour #specification
Verifying Behavioural Specifications in CafeOBJ Environment (AM, KF), pp. 1625–1643.
FMFM-v2-1999-VerhoevenB
Interfacing Program Construction and Verification (RV, RCB), pp. 1128–1146.
IFMIFM-1999-JulliandMM #composition
Modular Verification of Dynamic Properties for Reactive Systems (JJ, PAM, HM), pp. 89–108.
FLOPSFLOPS-1999-Ogawa #abstract interpretation #automation
Automatic Verification Based on Abstract Interpretation (MO), pp. 131–146.
ICFPICFP-1999-Huch #abstract interpretation #erlang #source code #using
Verification of Erlang Programs using Abstract Interpretation and Model Mhecking (FH), pp. 261–272.
ICEISICEIS-1999-Cheng #analysis #realtime
Analysis and Verification of Real-Time Systems (AMKC), p. X.
OOPSLAOOPSLA-1999-FreundM #bytecode #framework #java
A Formal Framework for the Java Bytecode Language and Verifier (SNF, JCM), pp. 147–166.
TOOLSTOOLS-USA-1999-PeriyasamyAM #object-oriented #validation
Verification and Validation Techniques of Object-Oriented Software Systems (KP, VSA, DM), p. 413–?.
TOOLSTOOLS-USA-1999-Romanski #development #safety
The Development and Verification of Safety Critical Software (GR), p. 411.
AdaEuropeAdaEurope-1999-BrunetonP #ada #automation #concurrent #source code
Automatic Verification of Concurrent Ada Programs (EB, JFPP), pp. 146–157.
LOPSTRLOPSTR-1999-Giesl
Context-Moving Transformations for Function Verification (JG), pp. 293–312.
LOPSTRLOPSTR-1999-MetayerNR #recursion #testing
Verification by Testing for Recursive Program Schemes (DLM, VAN, OR), pp. 255–272.
PPDPPPDP-1999-GoriL #finite #on the
On the Verification of Finite Failure (RG, GL), pp. 311–327.
AdaSIGAda-1999-Carpenter #requirements
Verification of requirements for saftey-critical software (PBC), pp. 23–29.
ASEASE-1999-Bose #architecture #automation #modelling #simulation #uml #using
Automated Translation of UML Models of Architectures for Verification and Simulation Using SPIN (PKB), pp. 102–109.
ASEASE-1999-DevulderL #case study #comparative #linear #programming #validation
A Comparative Study between Linear Programming Validation (LPV) and other Verification Methods (SD, JLL), pp. 299–302.
ASEASE-1999-LiliusP #modelling #named #uml
vUML: A Tool for Verifying UML Models (JL, IP), pp. 255–258.
ASEASE-1999-LiuR #component #specification #towards
Towards Discovery, Specification, and Verification of Component Usage (CL, DJR), pp. 331–334.
ASEASE-1999-OHalloranS
Verification of Picture Generated Code (CO, AS), pp. 127–136.
ASEASE-1999-Pecheur #clustering #file system #modelling
Advanced Modelling and Verification Techniques Applied to a Cluster File System (CP), pp. 119–126.
ESECESEC-FSE-1999-BrabermanF #automation #design #realtime #scheduling
Verification of Real-Time Designs: Combining Scheduling Theory with Automatic Formal Verification (VAB, MF), pp. 494–510.
ICSEICSE-1999-Braberman #automation #design #realtime
Automatic Verification of Real-Time Designs (VAB), pp. 716–717.
ICSEICSE-1999-DwyerAC #finite #specification
Patterns in Property Specifications for Finite-State Verification (MBD, GSA, JCC), pp. 411–420.
ICSEICSE-1999-Easterbrook #requirements #validation
Verification and Validation of Requirements for Mission Critical Systems (SME), pp. 673–674.
ICSEICSE-1999-HolzmannS
A Practical Method for Verifying Event-Driven Software (GJH, MHS), pp. 597–607.
DACDAC-1999-AbtsR #multi #scalability #using
Verifying Large-Scale Multiprocessors Using an Abstract Verification Environment (DA, MR), pp. 163–168.
DACDAC-1999-CampenhoutMH #design #generative #pipes and filters #testing
High-Level Test Generation for Design Verification of Pipelined Microprocessors (DVC, TNM, JPH), pp. 185–188.
DACDAC-1999-ChangLPK #using
Verification of a Microprocessor Using Real World Applications (YSC, SL, ICP, CMK), pp. 181–184.
DACDAC-1999-GeistBASNFHLKB
A Methodology for the Verification of a “System on Chip” (DG, GB, TA, MS, YN, MF, KH, AL, DK, SB), pp. 574–579.
DACDAC-1999-NotbauerANR #design #embedded #multi
Verification and Management of a Multimillion-Gate Embedded Core Design (JN, TWA, GN, SR), pp. 425–428.
DACDAC-1999-ShenABHKGCH #functional
Functional Verification of the Equator MAP1000 Microprocessor (JS, JAA, DB, TH, MK, GG, CcC, GH), pp. 169–174.
DACDAC-1999-VelevB #pipes and filters #similarity
Exploiting Positive Equality and Partial Non-Consistency in the Formal Verification of Pipelined Microprocessors (MNV, REB), pp. 397–401.
DATEDATE-1999-CornoRS #algorithm #approximate #equivalence #search-based
Approximate Equivalence Verification of Sequential Circuits via Genetic Algorithms (FC, MSR, GS), pp. 754–755.
DATEDATE-1999-EvekingHR #automation #scheduling #synthesis
Automatic Verification of Scheduling Results in High-Level Synthesis (HE, HH, GR), pp. 59–64.
DATEDATE-1999-FournierAL #functional #product line #using
Functional Verification Methodology for Microprocessors Using the Genesys Test-Program Generator-Application to the x86 Microprocessors Family (LF, YA, ML), pp. 434–441.
DATEDATE-1999-HorethD #specification
Formal Verification of Word-Level Specifications (SH, RD), pp. 52–57.
DATEDATE-1999-HuhnSKL
Verifying Imprecisely Working Arithmetic Circuits (MH, KS, TK, GL), p. 65–?.
DATEDATE-1999-MansouriV #design
Accounting for Various Register Allocation Schemes During Post-Synthesis Verification of RTL Designs (NM, RV), p. 223–?.
DATEDATE-1999-MukherjeeJTFAF #approach #performance
An Efficient Filter-Based Approach for Combinational Verification (RM, JJ, KT, MF, JAA, DSF), pp. 132–137.
DATEDATE-1999-RanjanSSB #using
Using Combinational Verification for Sequential Circuits (RKR, VS, FS, RKB), pp. 138–144.
DATEDATE-1999-YeCFCNC #design
Chip-Level Verification for Parasitic Coupling Effects in Deep-Submicron Digital Designs (LY, FCC, PF, RC, NN, FC), pp. 658–663.
FASEFASE-1999-Nepomniaschy #data type
Verification of Definite Iteration over Hierarchical Data Structures (VAN), pp. 176–187.
TACASTACAS-1999-AbdullaAB #bound #protocol
Symbolic Verification of Lossy Channel Systems: Application to the Bounded Retransmission Protocol (PAA, AA, AB), pp. 208–222.
TACASTACAS-1999-BehrmannLAHL #composition #reuse #usability #using
Verification of Hierarchical State/Event Systems Using Reusability and Compositionality (GB, KGL, HRA, HH, JLN), pp. 163–177.
TACASTACAS-1999-DongDRRRSSSW #case study #comparative #concurrent #tool support
Fighting Livelock in the i-Protocol: A Comparative Study of Verification Tools (YD, XD, YSR, CRR, IVR, SAS, OS, EWS, DSW), pp. 74–88.
TACASTACAS-1999-Hirschkoff #bisimulation #on the #using
On the Benefits of Using the Up-To Techniques for Bisimulation Verification (DH), pp. 285–299.
TACASTACAS-1999-HsiungWK #scheduling
Scheduling System Verification (PAH, FW, YSK), pp. 19–33.
TACASTACAS-1999-KernOG #framework #hardware
A Light-Weight Framework for Hardware Verification (CK, TOT, MRG), pp. 330–344.
TACASTACAS-1999-MarchignoliM #analysis #automation #composition #encryption #protocol
Automatic Verification of Cryptographic Protocols through Compositional Analysis Techniques (DM, FM), pp. 148–162.
TACASTACAS-1999-MontanariP #finite #π-calculus
Finite State Verification for the Asynchronous π-Calculus (UM, MP), pp. 255–269.
TACASTACAS-1999-Pusch #bytecode #higher-order #java #proving #specification
Proving the Soundness of a Java Bytecode Verifier Specification in Isabelle/HOL (CP), pp. 89–103.
TACASTACAS-1999-Stevens #re-engineering #tool support
Some Issues in the Software Engineering of Verification Tools (PS), pp. 435–438.
CADECADE-1999-Artemov #on the #proving #theorem proving
On Explicit Reflection in Theorem Proving and Formal Verification (SNA), pp. 267–281.
CADECADE-1999-GribomontS #using #validation
System Description: Using OBDD’s for the validation of Skolem verification conditions (EPG, NS), pp. 222–226.
CAVCAV-1999-AbdullaABBHL #abstraction #analysis #infinity #reachability
Verification of Infinite-State Systems by Combining Abstraction and Reachability Analysis (PAA, AA, SB, AB, PH, YL), pp. 146–159.
CAVCAV-1999-AbdullaBJN
Handling Global Conditions in Parameterized System Verification (PAA, AB, BJ, MN), pp. 134–145.
CAVCAV-1999-BasinFPV #bytecode #java #model checking
Java Bytecode Verification by Model Checking (DAB, SF, JP, HV), pp. 491–494.
CAVCAV-1999-BerardF #automation #consistency #parametricity #protocol #realtime
Automated Verification of a Parametric Real-Time Program: The ABR Conformance Protocol (BB, LF), pp. 96–107.
CAVCAV-1999-Bjesse #automation #combinator #pipes and filters
Automatic Verification of Combinatorial and Pipelined FFT (PB), pp. 380–393.
CAVCAV-1999-CimattiCGR #named
NUSMV: A New Symbolic Model Verifier (AC, EMC, FG, MR), pp. 495–499.
CAVCAV-1999-Dill #hardware
Alternative Approaches to Hardware Verification (DLD), p. 1.
CAVCAV-1999-HenzingerQR99a #consistency #multi
Verifying Sequential Consistency on Shared-Memory Multiprocessor Systems (TAH, SQ, SKR), pp. 301–315.
CAVCAV-1999-MannaS #diagrams #induction
Verification of Parameterized Systems by Dynamic Induction on Diagrams (ZM, HS), pp. 25–41.
CAVCAV-1999-Spielmann #automation #state machine
Automatic Verification of Abstract State Machines (MS), pp. 431–442.
CSLCSL-1999-ArtsG #erlang #process
Applying Rewriting Techniques to the Verification of Erlang Processes (TA, JG), pp. 96–110.
CSLCSL-1999-KestenP #abstraction #liveness
Verifying Liveness by Augmented Abstraction (YK, AP), pp. 141–156.
ICTSSIWTCS-1999-MeerV #challenge
The Challenge of QoS Verification (JdM, STV), pp. 287–298.
LICSLICS-1999-EsparzaFM #on the #protocol
On the Verification of Broadcast Protocols (JE, AF, RM), pp. 352–359.
CSMRCSMR-1998-OhtaMI #on the #source code
On Constructing a Tool to Verify Programs for Processors Built in Machines (TO, NM, YI), pp. 52–59.
ICALPICALP-1998-KestenPR #algorithm #linear #logic #specification
Algorithmic Verification of Linear Temporal Logic Specifications (YK, AP, LoR), pp. 1–16.
FMFM-1998-FujitaRH #case study #experience #parallel #protocol
Two Real Formal Verification Experiences: ATM Switch Chip and Parallel Cache Protocol (MF, SPR, AJH), pp. 281–295.
FMFM-1998-GeserK
Structured Formal Verification of a Fragment of the IBM S/390 Clock Chip (AG, WK), pp. 92–106.
FMFM-1998-GoldsmithZ #csp #validation
Critical Systems Validation and Verification with CSP and FDR (MG, IZ), pp. 243–250.
ICPRICPR-1998-DolfingAO #markov #modelling #online
On-line signature verification with hidden Markov models (JGAD, EHLA, JJGMVO), pp. 1309–1312.
ICPRICPR-1998-PanditKM #independence
Selection of speaker independent feature for a speaker verification system (MP, JK, JM), pp. 1034–1036.
ICPRICPR-1998-RigollK #comparison #markov #modelling #online
A systematic comparison between on-line and off-line methods for signature verification with hidden Markov models (GR, AK), pp. 1755–1757.
ICPRICPR-1998-ShuZ #implementation
Palmprint verification: an implementation of biometric technology (WS, DZ), pp. 219–221.
ICPRICPR-1998-UedaMM #automation
Automatic verification system for seal imprints on Japanese bankchecks (KU, TM, KM), pp. 629–632.
ICPRICPR-1998-ZhangFY98a
Handwritten signature verification based on neural “gas” based vector quantization (BZ, MF, HY), pp. 1862–1864.
REFSQREFSQ-1998-RegnellR #requirements #testing
Combining Scenario-based Requirements with Static Verification and Dynamic Testing (BR, PR), pp. 195–206.
ASEASE-1998-HazelST #animation #requirements #specification #using
Requirements Engineering and Verification using Specification Animation (DH, PAS, OT), pp. 302–305.
ASEASE-1998-LowryBK #empirical #integration #testing #towards
Towards a Theory for Integration of Mathematical Verification and Empirical Testing (MRL, MB, DK), p. 322–?.
ASEASE-1998-MaoSL #case study #machine learning #reuse #usability #using
Reusability Hypothesis Verification using Machine Learning Techniques: A Case Study (YM, HAS, HL), pp. 84–93.
ASEASE-1998-MonroyBG #equation
Planning Equational Verification in CCS (RM, AB, IG), pp. 43–52.
ASEASE-1998-OHalloranS #exclamation
Don’t Verify, Abstract! (CO, AS), pp. 53–62.
FSEFSE-1998-FongC #architecture #composition #mobile #proving
Proof Linking: An Architecture for Modular Verification of Dynamically-Linked Mobile Code (PWLF, RDC), pp. 222–230.
ICSEICSE-1998-Duval #specification
Specification and Verification of an Object Request Broker (GD), pp. 43–52.
SACSAC-1998-DamF #distributed #on the
On the verification of open distributed systems (MD, LÅF), pp. 532–540.
DACDAC-1998-AzizKS #hybrid #simulation #using
Hybrid Verification Using Saturated Simulation (AA, JHK, TRS), pp. 615–618.
DACDAC-1998-Dill #question #simulation #what
What’s Between Simulation and Formal Verification? (DLD), pp. 328–329.
DACDAC-1998-EvansSVBDHHL #functional #scalability
Functional Verification of Large ASICs (AE, AS, GV, TB, MD, GH, TH, YL), pp. 650–655.
DACDAC-1998-FallahDK98a #functional #metric #named #performance #test coverage
OCCOM: Efficient Computation of Observability-Based Code Coverage Metrics for Functional Verification (FF, SD, KK), pp. 152–157.
DACDAC-1998-GrinwaldHOUZ #design #tool support
User Defined Coverage — A Tool Supported Methodology for Design Verification (RG, EH, MO, SU, AZ), pp. 158–163.
DACDAC-1998-HasteerMB #algorithm #automaton
An Implicit Algorithm for Finding Steady States and its Application to FSM Verification (GH, AM, PB), pp. 611–614.
DACDAC-1998-LiK #layout
Layout Extraction and Verification Methodology CMOS I/O Circuits (TL, SMK), pp. 291–296.
DACDAC-1998-Marantz #functional #performance #re-engineering
Enhanced Visibility and Performance in Functional Verification by Reconstruction (JM), pp. 164–169.
DACDAC-1998-NassifDH #modelling #robust
Robust Elmore Delay Models Suitable for Full Chip Timing Verification of a 600MHz CMOS Microprocessor (NN, MPD, DHH), pp. 230–235.
DACDAC-1998-SteeleORH
Full-Chip Verification Methods for DSM Power Distribution Systems (GS, DO, SR, SZH), pp. 744–749.
DACDAC-1998-SunVJ #performance
Fast State Verification (DS, BV, WJ), pp. 619–624.
DACDAC-1998-TaylorQBDHHR #functional #multi
Functional Verification of a Multiple-issue, Out-of-Order, Superscalar Alpha Processor — The DEC Alpha 21264 Microprocessor (SAT, MQ, DB, ND, SH, JH, CR), pp. 638–643.
DACDAC-1998-WangAK #array #automation #evaluation #generative #using
Automatic Generation of Assertions for Formal Verification of PowerPC Microprocessor Arrays Using Symbolic Trajectory Evaluation (LCW, MSA, NK), pp. 534–537.
DATEDATE-1998-GoldbergKB #functional #specification
Combinational Verification based on High-Level Functional Specifications (EIG, YK, RKB), pp. 803–808.
DATEDATE-1998-HansenKR #comparison #interface #simulation #synthesis #using
Verification by Simulation Comparison using Interface Synthesis (CH, AK, WR), pp. 436–443.
DATEDATE-1998-HedrichB #approach #formal method #linear #parametricity
A Formal Approach to Verification of Linear Analog Circuits with Parameter Tolerances (LH, EB), pp. 649–654.
DATEDATE-1998-HsiehL #abstraction
Model Abstraction for Formal Verification (YWH, SPL), pp. 140–147.
DATEDATE-1998-ReetzSK #hardware #specification
Formal Specification in VHDL for Hardware Verification (RR, KS, TK), pp. 257–263.
DATEDATE-1998-RibasC #equivalence #incremental #on the #reuse #simulation
On the Reuse of Symbolic Simulation Results for Incremental Equivalence Verification of Switch-Level Circuits (LR, JC), pp. 624–629.
DATEDATE-1998-RingeLB #satisfiability #using
Path Verification Using Boolean Satisfiability (MR, TL, EB), pp. 965–966.
DATEDATE-1998-Rosenstiel #design #industrial #standard
Formal Verification: A New Standard CAD Tool for the Industrial Design Flow (WR), p. 422.
DATEDATE-1998-VercauterenVJL #analysis #partial order #performance #using
Efficient Verification using Generalized Partial Order Analysis (SV, DV, GGdJ, BL), pp. 782–789.
FASEFASE-1998-Heckel #composition #graph transformation
Compositional Verification of Reactive Systems Specified by Graph Transformation (RH), pp. 138–153.
STOCSTOC-1998-BuchsbaumKRW #algorithm #linear
Linear-Time Pointer-Machine Algorithms for Least Common Ancestors, MST Verification, and Dominators (ALB, HK, AR, JW), pp. 279–288.
TACASTACAS-1998-AbdullaJ #network #process
Verifying Networks of Timed Processes (PAA, BJ), pp. 298–312.
TACASTACAS-1998-BrockmeyerW #design
Tamagotchis Need Not Die — Verification of STATEMENT Design (UB, GW), pp. 217–231.
TACASTACAS-1998-Bryant #pipes and filters
Formal Verification of Pipelined Processors (REB), pp. 1–4.
TACASTACAS-1998-Cattel #modelling
Modeling and Verification of SC++ Applications (TC), pp. 232–248.
TACASTACAS-1998-Garavel #architecture #named #simulation #testing
OPEN/CÆSAR: An OPen Software Architecture for Verification, Simulation, and Testing (HG), pp. 68–84.
TACASTACAS-1998-GribomontZ #algorithm #automation
Automated Verification of Szymanski’s Algorithm (EPG, GZ), pp. 424–438.
TACASTACAS-1998-HuhnNW
Verification Based on Local States (MH, PN, FW), pp. 36–51.
TACASTACAS-1998-Lind-NielsenABHKL #analysis #composition #dependence #scalability #using
Verification of Large State/Event Systems Using Compositionality and Dependency Analysis (JLN, HRA, GB, HH, KJK, KGL), pp. 201–216.
TACASTACAS-1998-RegensburgerB #mobile
Formal Verification of SDL Systems at the Siemens Mobile Phone Department (FR, AB), pp. 439–455.
WRLAWRLA-1998-MatsumotoF #automation #behaviour #induction #testing #towards
Test set coinduction — Toward automated verification of behavioural properties (MM, KF), pp. 242–262.
CADECADE-1998-ArtsDFG #distributed #erlang #source code
System Description: Verification of Distributed Erlang Programs (TA, MD, LÅF, DG), pp. 38–41.
CADECADE-1998-Kaufmann
ACL2 Support for Verification Projects (MK), pp. 220–238.
CADECADE-1998-Pnueli #deduction
Deductive vs. Model-Theoretic Approaches to Formal Verification (AP), p. 301.
CAVCAV-1998-AbdullaJKP #approach #partial order #reduction
A General Approach to Partial Order Reductions in Symbolic Verification (PAA, BJ, MK, DP), pp. 379–390.
CAVCAV-1998-Balarin #approach #concurrent #correctness #modelling
Correctness of the Concurrent Approach to Symbolic Verification of Interleaved Models (FB), pp. 391–402.
CAVCAV-1998-BelluominiM #using
Verification of Timed Systems Using POSETs (WB, CJM), pp. 403–415.
CAVCAV-1998-BensalemLO98a #invariant #named
InVeST: A Tool for the Verification of Invariants (SB, YL, SO), pp. 505–510.
CAVCAV-1998-Bolignano #encryption #model checking #protocol
Integrating Proof-Based and Model-Checking Techniques for the Formal Verification of Cryptographic Protocols (DB), pp. 77–87.
CAVCAV-1998-Bouali
XEVE, an ESTEREL Verification Environment (AB), pp. 500–504.
CAVCAV-1998-BrockmeyerW #design #realtime
Real-Time Verification of Statemate Designs (UB, GW), pp. 537–541.
CAVCAV-1998-ChenB #float
Verification of Floating-Point Adders (YAC, REB), pp. 488–499.
CAVCAV-1998-EmersonN #protocol
Verification of Parameterized Bus Arbitration Protocol (EAE, KSN), pp. 452–463.
CAVCAV-1998-FeltyHS #protocol
Protocol Verification in Nuprl (APF, DJH, FAS), pp. 428–439.
CAVCAV-1998-FerrariGMPR #mobile #process
Verifying Mobile Processes in the HAL Environment (GLF, SG, UM, MP, GR), pp. 511–515.
CAVCAV-1998-McMillan #algorithm #composition #implementation #model checking
Verification of an Implementation of Tomasulo’s Algorithm by Compositional Model Checking (KLM), pp. 110–121.
CAVCAV-1998-NalumasuGMG #approach #memory management #model checking #modelling #multi
The “Test Model-Checking” Approach to the Verification of Formal Memory Models of Multiprocessors (RN, RG, AM, GG), pp. 464–476.
CAVCAV-1998-SawadaH #execution #precise
Processor Verification with Precise Exeptions and Speculative Execution (JS, WAHJ), pp. 135–146.
CAVCAV-1998-SkakkebaekJD #execution #incremental #using
Formal Verification of Out-of-Order Execution Using Incremental Flushing (JUS, RBJ, DLD), pp. 98–109.
CAVCAV-1998-SternD #in memory #memory management #using
Using Magnatic Disk Instead of Main Memory in the Murphi Verifier (US, DLD), pp. 172–183.
CAVCAV-1998-WolperB #infinity
Verifying Systems with Infinite but Regular State Spaces (PW, BB), pp. 88–97.
ISSTAISSTA-1998-BultanGL #approach #constraints #integer
Verifying Systems with Integer Constraints and Boolean Predicates: A Composite Approach (TB, RG, CL), pp. 113–123.
LICSLICS-1998-Alfaro #behaviour #how #probability
How to Specify and Verify the Long-Run Average Behavior of Probabilistic Systems (LdA), pp. 454–465.
LICSLICS-1998-FagesRS #concurrent #constraints #semantics #source code
Phase Semantics and Verification of Concurrent Constraint Programs (FF, PR, SS), pp. 141–152.
ICDARICDAR-1997-KashiHNT #markov #online #using
On-line Handwritten Signature Verification using Hidden Markov Model Features (RSK, JH, WLN, WT), pp. 253–257.
ICDARICDAR-1997-MadhvanathKGS #agile
The HOVER System for Rapid Holistic Verification of Off-lineHandwritten Phrases (SM, EK, VG, SNS), pp. 855–860.
ICDARICDAR-1997-MartensC97a #online
On-line Signature Verification: Discrimination Emphasised (RM, LJMC), pp. 657–660.
ICDARICDAR-1997-SabourinDW #matrix
Shape Matrices as a Mixed Shape Factor for Off-line Signature Verification (RS, JPD, ESW), pp. 661–666.
ICDARICDAR-1997-SchmidtK #automation #personalisation
Establishment of Personalized Templates for Automatic Signature Verification (CS, KFK), pp. 263–267.
ICDARICDAR-1997-Wirtz #prototype
Average prototypes for stroke-based signature verification (BW), pp. 268–272.
ICDARICDAR-1997-YamazakiK
Proposal for a Text-Indicated Writer Verification Method (YY, NK), pp. 709–713.
ICDARICDAR-1997-ZhouGS #performance #recognition
A High Performance Hand-printed Numeral Recognition System with Verification Module (JZ, QG, CYS), pp. 293–297.
FMFME-1997-AyadiB #empirical #encryption #protocol
Verification of Cryptographic Protocols: An Experiment (MMA, DB), pp. 358–377.
FMFME-1997-DoldHPR #optimisation
Formal Verification of Transformations for Peephole Optimization (AD, FWvH, HP, HR), pp. 459–472.
FMFME-1997-Kellomaki #using
Verification of Reactive Systems Using DisCo and PVS (PK), pp. 589–604.
FMFME-1997-MichelW #composition #framework #specification
A Framework for Modular Formal Specification and Verification (PM, VW), pp. 533–552.
FMFME-1997-MokkedemFJ #protocol #specification
A TLA Solution to the Specification and Verification of the RLP1 Retransmission Protocol (AM, MJF, RdBJ), pp. 398–417.
AdaEuropeAdaEurope-1997-PierceAWSCG #performance #realtime #requirements
Capturing and Verifying Performance Requirements for Hard Real Time Systems (RHP, SA, RW, JS, HC, JG), pp. 137–148.
PLDIPLDI-1997-JensenJKS #automation #higher-order #logic #monad #pointer #source code #using
Automatic Verification of Pointer Programs using Monadic Second-Order Logic (JLJ, MEJ, NK, MIS), pp. 226–236.
POPLPOPL-1997-Halbwachs #automation #linear #network #process
Automatic Verification of Parameterized Linear Networks of Processes (DL, NH, PR), pp. 346–357.
AdaTRI-Ada-1997-RileyDP #analysis #implementation
An Instance of the Application Download Pattern: The SPAIDS Software Loader/Verifier Domain Analysis and Implementation (JDR, SD, WP), pp. 273–278.
ASEASE-1997-DevanbuS #automation #hardware #research #using
Research Directions for Automated Software Verification: Using Trusted Hardware (PTD, SGS), pp. 274–279.
ASEASE-1997-FenselS #architecture #knowledge-based #using
Using KIV to Specify and Verify Architectures of Knowledge-Based Systems (DF, AS), p. 71–?.
ASEASE-1997-MaharajB #on the #refinement #specification
On the Verification of VDM Specification and Refinement with PVS (SM, JB), p. 280–?.
ASEASE-1997-Pecheur #distributed #specification #using
Specification and Verification of the Co4 Distributed Knowledge System using LOTOS (CP), pp. 63–70.
ESECESEC-FSE-1997-CheungGK #analysis #composition #liveness #reachability #using
Verification of Liveness Properties Using Compositional Reachability Analysis (SCC, DG, JK), pp. 227–243.
ESECESEC-FSE-1997-DevanbuS #encryption #test coverage
Cryptographic Verification of Test Coverage Claims (PTD, SGS), pp. 395–413.
ICSEICSE-1997-HeitmeyerKL #requirements #specification #tool support #validation
The SCR Method for Formally Specifying, Verifying, and Validating Requirements: Tool Support (CLH, JK, BGL), pp. 610–611.
ICSEICSE-1997-NaumovichCOD #concurrent
Verification of Concurrent Software with FLAVERS (GN, LAC, LJO, MBD), pp. 594–595.
DACDAC-1997-AmonBHL #diagrams #using
Symbolic Timing Verification of Timing Diagrams using Presburger Formulas (TA, GB, TH, JL), pp. 226–231.
DACDAC-1997-DagaS #design #interface
Interface Timing Verification Drives System Design (AJD, PS), pp. 240–245.
DACDAC-1997-Dai
Chip Parasitic Extraction and Signal Integrity Verification (WWMD), pp. 717–719.
DACDAC-1997-JangQKP #case study
Formal Verification of FIRE: A Case Study (JYJ, SQ, MK, CP), pp. 173–177.
DACDAC-1997-Kurshan
Formal Verification in a Commercial Setting (RPK), pp. 258–262.
DACDAC-1997-NelsonJB #execution
Formal Verification of a Superscalar Execution Unit (KLN, AJ, REB), pp. 161–166.
DACDAC-1997-PandeyRBA #evaluation #using
Formal Verification of Content Addressable Memories Using Symbolic Trajectory Evaluation (MP, RR, REB, MSA), pp. 167–172.
DACDAC-1997-WalterLDLMKW #approach #multi #random #simulation
Hierarchical Random Simulation Approach for the Verification of S/390 CMOS Multiprocessors (JAW, JL, GD, BL, HJM, KWK, BW), pp. 89–94.
DACDAC-1997-YimHPCYOPK #design
A C-Based RTL Design Verification Methodology for Complex Microprocessor (JSY, YHH, CJP, HC, WSY, HSO, ICP, CMK), pp. 83–88.
DATEEDTC-1997-CabodiCLQ #synthesis
Verification and synthesis of counters based on symbolic techniques (GC, PC, LL, SQ), pp. 176–181.
DATEEDTC-1997-GibsonA #concurrent #design
Practical concurrent ASIC and system design and verification (IG, CA), pp. 532–536.
DATEEDTC-1997-GirodiasC #constraints #correlation #interface #logic programming #using
Interface timing verification with delay correlation using constraint logic programming (PG, EC), pp. 12–19.
DATEEDTC-1997-HendricxC #approach
A symbolic core approach to the formal verification of integrated mixed-mode applications (SH, LJMC), pp. 432–436.
DATEEDTC-1997-UrsuGZ #automaton #design #logic #specification #using
Design and verification of the sequential systems automata using temporal logic specifications (AU, GG, SZ), p. 623.
DATEEDTC-1997-WalrathVB #analysis #partial evaluation #performance #using
Performance verification using partial evaluation and interval analysis (JW, RV, WB), p. 622.
TACASTACAS-1997-AlfaroM #visual notation
Visual Verification of Reactive Systems (LdA, ZM, HBS, TEU), pp. 334–350.
TACASTACAS-1997-Berry #hardware #optimisation #source code #synthesis
Hardware and Software Synthesis, Optimization, and Verification from Esterel Programs (GB), pp. 1–3.
TACASTACAS-1997-FerrariFGMPR #automation #mobile #process
An Automated Based Verification Environment for Mobile Processes (GLF, GF, SG, UM, MP, GR), pp. 275–289.
TACASTACAS-1997-PhilippsS
Formal Verification of Statecharts with Instantaneous Chain Reaction (JP, PS), pp. 224–238.
TAPSOFTTAPSOFT-1997-AndersenSM #comparison #composition
A Comparison of Modular Verification Techniques (HRA, JS, NM), pp. 550–564.
TAPSOFTTAPSOFT-1997-LevinP #sequence chart
Verification of Message Sequence Charts via Template Matching (VL, DAP), pp. 652–666.
CADECADE-1997-Schumann #automation #encryption #protocol
Automatic Verification of Cryptographic Protocols with SETHEO (JS), pp. 87–100.
CAVCAV-1997-Berry #design
Boolean and 2-adic Numbers Based Techniques for Verifying Synchronous Design (GB), p. 303.
CAVCAV-1997-Bolignano #encryption #towards
Towards a Mechanization of Cryptographic Protocal Verification (DB), pp. 131–142.
CAVCAV-1997-Boralv #industrial #tool support
The Industrial Success of Verification Tools Based on Stålmarck’s Method (AB), pp. 7–10.
CAVCAV-1997-BozgaMPY #automaton
Some Progress in the Symbolic Verification of Timed Automata (MB, OM, AP, SY), pp. 179–190.
CAVCAV-1997-CamposCM #approach #realtime
The Verus Tool: A Quantitative Approach to the Formal Verification of Real-Time Systems (SVAC, EMC, MM), pp. 452–455.
CAVCAV-1997-CimattiGPPPRTY #certification #embedded #safety
A Provably Correct Embedded Verifier for the Certification of Safety Critical Software (AC, FG, PP, BP, JP, DR, PT, BY), pp. 202–213.
CAVCAV-1997-Hughes #approach
Formal Verification of Digital Systems, from ASICs to HW/SW Codesign — a Pragmatic Approach (RBH), pp. 3–6.
CAVCAV-1997-Kaivola #composition #using
Using Compositional Preorders in the Verification of Sliding Window Protocal (RK), pp. 48–59.
CAVCAV-1997-Marschner #challenge #industrial #tool support
Practical Challenges for Industrial Formal Verification Tools (FEM), pp. 1–2.
CAVCAV-1997-PandeyB #evaluation #symmetry
Exploiting Symmetry When Verifying Transitor-Level Circuits by Symbolic Trajectory Evaluation (MP, REB), pp. 244–255.
CAVCAV-1997-Rowe #case study
Formal Verification — Applications & Case Studies (MR), p. 11.
CAVCAV-1997-Saidi #automation #deduction #invariant
The Invariant Checker: Automated Deductive Verification of Reactive Systems (HS), pp. 436–439.
CAVCAV-1997-SawadaH #approach #pipes and filters
Trace Table Based Approach for Pipeline Microprocessor Verification (JS, WAHJ), pp. 364–375.
CAVCAV-1997-Sistla #automaton #invariant #linear #network #using
Parametrized Verification of Linear Networks Using Automata as Invariants (APS), pp. 412–423.
CAVCAV-1997-SistlaMG #liveness #model checking #named #symmetry
SMC: A Symmetry Based Model Checker for Verification of Liveness Properties (APS, LM, VG), pp. 464–467.
CAVCAV-1997-SternD
Parallelizing the Murphi Verifier (US, DLD), pp. 256–278.
CAVCAV-1997-TasiranB #case study #composition #named
STARI: A Case Study in Compositional and Hierarchical Timing Verification (ST, RKB), pp. 191–201.
CAVCAV-1997-TurkPP #process #testing
Verification of a Chemical Process Leak Test Procedure (ALT, STP, GJP), pp. 84–94.
CAVCAV-1997-YuanSAA #on the
On Combining Formal and Informal Verification (JY, JS, JAA, AA), pp. 376–387.
FMFME-1996-HavelundS #model checking #protocol #proving #theorem proving
Experiments in Theorem Proving and Model Checking for Protocol Verification (KH, NS), pp. 662–681.
FMFME-1996-HutterLSSSW #deduction
Deduction in the Verification Support Environment (VSE) (DH, BL, CS, JHS, WS, AW), pp. 268–286.
FMFME-1996-KannikeswaranRFAW #algorithm #specification
Formal Specification and Verification of the pGVT Algorithm (BK, RR, PF, PA, PAW), pp. 405–424.
FMFME-1996-PuglieseT #automation
Automatic Verification of a Hydroelectric Power Plant (RP, ET), pp. 425–444.
FMFME-1996-ValmariS #liveness #safety #visual notation
Visual Verification of Safety and Liveness (AV, MS), pp. 228–247.
FMFME-1996-WangL #concurrent #realtime
Procedure-Level Verification of Real-time Concurrent Systems (FW, CTDL), pp. 682–701.
ICPRICPR-1996-HarukiHYY #3d #automation #using
Automatic seal verification using three-dimensional reference seals (HH, TH, HY, KY), pp. 199–203.
ICPRICPR-1996-JainH #online
On-line fingerprint verification (AKJ, LH), pp. 596–600.
ICPRICPR-1996-LeeL
An off-line method for human signature verification (LLL, MGL), pp. 195–198.
ICPRICPR-1996-MartensC #online
On-line signature verification by dynamic time-warping (RM, LJMC), pp. 38–42.
ICPRICPR-1996-NakajimaTKY #analysis #layout #process
Analysis of address layout on Japanese handwritten mail-a hierarchical process of hypothesis verification (NN, TT, TK, KY), pp. 726–731.
ICPRICPR-1996-SabourinGP
Pattern spectrum as a local shape factor for off-line signature verification (RS, GG, FJP), pp. 43–48.
ICPRICPR-1996-West #refinement
Assessing feature importance for verification and pose refinement (GAWW), pp. 30–34.
ICPRICPR-1996-WuCY96a #feature model
Facial feature extraction and face verification (HW, QC, MY), pp. 484–488.
SEKESEKE-1996-ZengTW #specification #testing
Verification Criterion Directed Testing for Formal Specifications (ZZ, JJPT, TJW), pp. 393–399.
LOPSTRLOPSTR-1996-CortesiCR #automation #prolog #source code
Specification-Based Automatic Verification of Prolog Programs (AC, BLC, SR), pp. 38–57.
LOPSTRLOPSTR-1996-FribourgR #constraints
Symbolic Verification with Gap-Order Constraints (LF, JR), pp. 20–37.
FSEFSE-1996-NaumovichCO #analysis #communication #data flow #protocol #using
Verification of Communication Protocols Using Data Flow Analysis (GN, LAC, LJO), pp. 93–105.
DACDAC-1996-BalarinHJLS #embedded #network
Formal Verification of Embedded Systems based on CFSM Networks (FB, HH, AJ, LL, ALSV), pp. 568–571.
DACDAC-1996-BeerBEL #named
RuleBase: An Industry-Oriented Formal Verification Tool (IB, SBD, CE, AL), pp. 655–660.
DACDAC-1996-Burch
Techniques for Verifying Superscalar Microprocessors (JRB), pp. 552–557.
DACDAC-1996-CasaubieilhMBBPRBEMBB #functional
Functional Verification Methodology of Chameleon Processor (FC, AM, MB, MB, FP, FR, MB, JE, GM, GB, CB), pp. 421–426.
DACDAC-1996-DesaiY #cpu #design #simulation #using
A Systematic Technique for Verifying Critical Path Delays in a 300MHz Alpha CPU Design Using Circuit Simulation (MPD, YTY), pp. 125–130.
DACDAC-1996-Eiriksson #design
Integrating Formal Verification Methods with A Conventional Project Design Flow (ÁTE), pp. 666–671.
DACDAC-1996-FujimotoK #design
VLSI Design and System Level Verification for the Mini-Disc (TF, TK), pp. 491–496.
DACDAC-1996-GanapathyNJFWN #functional #hardware
Hardware Emulation for Functional Verification of K5 (GG, RN, GJ, DF, MW, JN), pp. 315–318.
DACDAC-1996-HosseiniMK #analysis #code generation #functional
Code Generation and Analysis for the Functional Verification of Microprocessors (AH, DM, PK), pp. 305–310.
DACDAC-1996-HuangCC #fault
Error Correction Based on Verification Techniques (SYH, KCC, KTC), pp. 258–261.
DACDAC-1996-KantrowitzN #analysis #correctness #simulation #what
I’m Done Simulating: Now What? Verification Coverage Analysis and Correctness Checking of the DECchip 21164 Alpha Microprocessor (MK, LMN), pp. 325–330.
DACDAC-1996-LevittO #pipes and filters #scalability
A Scalable Formal Verification Methodology for Pipelined Microprocessors (JRL, KO), pp. 558–563.
DACDAC-1996-MonacoHR #functional
Functional Verification Methodology for the PowerPC 604 Microprocessor (JM, DH, RR), pp. 319–324.
DACDAC-1996-PandeyRBB #array #evaluation #using
Formal Verification of PowerPC Arrays Using Symbolic Trajectory Evaluation (MP, RR, DLB, REB), pp. 649–654.
DACDAC-1996-PopescuM #design
Innovative Verification Strategy Reduces Design Cycle Time for High-End Sparc Processor (VP, BM), pp. 311–314.
DACDAC-1996-Sangiovanni-VincentelliMS
Verification of Electronic Systems (ALSV, PCM, AS), pp. 106–111.
DACDAC-1996-SawantG
RTL Emulation: The Next Leap in System Verification (SS, PG), pp. 233–235.
DACDAC-1996-SemenovY #petri net #using
Verification of asynchronous circuits using Time Petri Net unfolding (ALS, AY), pp. 59–62.
TACASTACAS-1996-ChouP #model checking #partial order #reduction
Formal Verification of a Partial-Order Reduction Technique for Model Checking (CTC, DP), pp. 241–257.
TACASTACAS-1996-CleavelandLNS #distributed #modelling
Priorities for Modeling and Verifying Distributed Systems (RC, GL, VN, SS), pp. 278–297.
TACASTACAS-1996-FocardiG #automation #composition #security
Automatic Compositional Verification of Some Security Properties (RF, RG), pp. 167–186.
TACASTACAS-1996-KowalewskiP #composition #framework #modelling #realtime
Timed Condition/Event Systems: A Framework for Modular Discrete Models of Chemical Plants and Verification of Their Real-Time Discrete Control (SK, JP), pp. 225–240.
CADECADE-1996-HomeierM #recursion
Mechanical Verification of Mutually Recursive Procedures (PVH, DFM), pp. 201–215.
CAVCAV-1996-AlfaroM #diagrams
Temporal Verification by Diagram Transformations (LdA, ZM), pp. 288–299.
CAVCAV-1996-AmbroiseR #named #simulation
Marella: A Tool for Simulation and Verification (DA, BR), pp. 458–461.
CAVCAV-1996-AnonBCCLSTXZ #design #tool support
MDG Tools for the Verification of RTL Designs (KDA, NB, EC, FC, ML, XS, ST, YX, ZZ), pp. 433–436.
CAVCAV-1996-AzizSSB #markov
Verifying Continuous Time Markov Chains (AA, KS, VS, RKB), pp. 269–276.
CAVCAV-1996-BengtssonGKLLPY #protocol #using
Verification of an Audio Protocol with Bus Collision Using UPPAAL (JB, WODG, KJK, KGL, FL, PP, WY), pp. 244–256.
CAVCAV-1996-BerregebBR #automation #commutative #induction
Automated Verification by Induction with Associative-Commutative Operators (NB, AB, MR), pp. 220–231.
CAVCAV-1996-BjornerBCCKMSU #named #realtime
STeP: Deductive-Algorithmic Verification of Reactive and Real-Time Systems (NB, AB, EYC, MC, AK, ZM, HS, TEU), pp. 415–418.
CAVCAV-1996-BoigelotG #communication #infinity #protocol #using
Symbolic Verification of Communication Protocols with Infinite State Spaces Using QDDs (BB, PG), pp. 1–12.
CAVCAV-1996-BraytonHSSACEKKPQRSSSV #named #synthesis
VIS: A System for Verification and Synthesis (RKB, GDH, ALSV, FS, AA, STC, SAE, SPK, YK, AP, SQ, RKR, SS, TRS, GS, TV), pp. 428–432.
CAVCAV-1996-CamposG #analysis #model checking
Selective Quantitative Analysis and Interval Model Checking: Verifying Different Facets of a System (SVAC, OG), pp. 257–268.
CAVCAV-1996-CapellmannDFGNO #abstraction #behaviour #case study #detection #interactive #network
Verification by Behaviour Abstraction — A Case Study of Service Interaction Detection in Intelligent Telephone Networks (CC, RD, FFV, RGE, UN, PO), pp. 466–469.
CAVCAV-1996-ClarkeGZ #algorithm #proving #theorem proving #using
Verifying the SRT Division Algorithm Using Theorem Proving Techniques (EMC, SMG, XZ), pp. 111–122.
CAVCAV-1996-Dill
The Murphi Verification System (DLD), pp. 390–393.
CAVCAV-1996-EmersonN #automation
Automatic Verification of Parameterized Synchronous Systems (EAE, KSN), pp. 87–98.
CAVCAV-1996-FernandezGKMMS #named #protocol #validation
CADP — A Protocol Validation and Verification Toolbox (JCF, HG, AK, LM, RM, MS), pp. 437–440.
CAVCAV-1996-FernandezJJV #generative #on the fly #testing #using
Using On-The-Fly Verification Techniques for the Generation of test Suites (JCF, CJ, TJ, CV), pp. 348–359.
CAVCAV-1996-Fujita
Verification of Arithmetic Circuits by Comparing Two Similar Circuits (MF), pp. 159–168.
CAVCAV-1996-Gonthier #concurrent #garbage collection #safety
Verifying the Safety of a Practical Concurrent Garbage Collector (GG), pp. 462–465.
CAVCAV-1996-GrafS #invariant #proving #theorem proving #using
Verifying Invariants Using theorem Proving (SG, HS), pp. 196–207.
CAVCAV-1996-Greenstreet #difference #equation #safety
Verifying Safety Properties of Differential Equations (MRG), pp. 277–287.
CAVCAV-1996-IpD #component
Verifying Systems with Replicated Components in Murphi (CNI, DLD), pp. 147–158.
CAVCAV-1996-KapurS #multi #product line
Mechanically Verifying a Family of Multiplier Circuits (DK, MS), pp. 135–146.
CAVCAV-1996-KochUW
Verification Support Environment (FAK, MU, SW), pp. 454–457.
CAVCAV-1996-KupfermanV96a
Verification of Fair Transisiton Systems (OK, MYV), pp. 372–382.
CAVCAV-1996-MerinoT #analysis #communication #integration #named #protocol
EVP: Integration of FDTs for the Analysis and Verification of Communication Protocols (PM, JMT), pp. 406–410.
CAVCAV-1996-ParkD #distributed #protocol #transaction
Protocol Verification by Aggregation of Distributed Transactions (SP, DLD), pp. 300–310.
CAVCAV-1996-PnueliS #algorithm #deduction #framework #platform
A Platform for Combining Deductive with Algorithmic Verification (AP, ES), pp. 184–195.
CAVCAV-1996-RuessSS #composition
Modular Verification of SRT Division (HR, NS, MKS), pp. 123–134.
CAVCAV-1996-ShuklaHR #game studies #model checking
HORNSAT, Model Checking, Verification and games (SKS, HBHI, DJR), pp. 99–110.
ISSTAISSTA-1996-BarjaktarovicCJ #functional #kernel #protocol #specification #using
Formal Specification and Verification of the Kernel Functional Unit of the OSI Session Layer Protocol and Service Using CCS (MB, SKC, KJ), pp. 270–279.
ISSTAISSTA-1996-BultanFG #composition #model checking
Compositional Verification by Model Checking for Counter-Examples (TB, JF, RG), pp. 224–238.
ISSTAISSTA-1996-CallahanM #approach #multi #protocol #reliability #validation
An Approach to Verification and Validation of a Reliable Multicasting Protocol (JRC, TLM), pp. 187–194.
LICSLICS-1996-GodefroidL #protocol #queue
Symbolic Protocol Verification With Queue BDDs (PG, DEL), pp. 198–206.
ICDARICDAR-v1-1995-BaeF #automation #parallel
Parallelism in dynamic time warping for automatic signature verification (YJB, MCF), pp. 426–429.
ICDARICDAR-v1-1995-BauerW #automation #parametricity #personalisation #reduction
Parameter reduction and personalized parameter selection for automatic signature verification (FB, BW), pp. 183–186.
ICDARICDAR-v1-1995-MurshedBS #approach
Off-line signature verification, without a priori knowledge of class /spl omega//sub 2/. A new approach (NAM, FB, RS), pp. 191–196.
ICDARICDAR-v1-1995-SabourinG #approach #classification #evaluation #multi
An extended-shadow-code based approach for off-line signature verification. II. Evaluation of several multi-classifier combination strategies (RS, GG), pp. 197–201.
ICDARICDAR-v1-1995-Wirtz
Stroke-based time warping for signature verification (BW), pp. 179–182.
ICDARICDAR-v1-1995-XiaoD #online
A hierarchical on-line Chinese signature verification system (XHX, RWD), pp. 202–205.
ICDARICDAR-v2-1995-DrouhardSG #case study #classification #comparative #nearest neighbour #network #using
Comparative study of the k nearest neighbour, threshold and neural network classifiers for handwritten signature verification using an enhanced directional PDF (JPD, RS, MG), pp. 807–810.
ICDARICDAR-v2-1995-GaoDC #automation
A system for automatic Chinese seal imprint verification (WG, SD, XC), pp. 660–664.
ICDARICDAR-v2-1995-KimPK #online #personalisation #set
Applying personalized weights to a feature set for on-line signature verification (SHK, MSP, JK), pp. 882–885.
ICDARICDAR-v2-1995-Lee
Neural approaches for human signature verification (LLL), pp. 1055–1058.
CSEETCSEE-1995-Shepard #education #on the #validation
On Teaching Software Verification and Validation (TS), pp. 375–385.
SEKESEKE-1995-Lin #protocol
Formal Verification of the File Transfer Protocol (FL), pp. 117–122.
SEKESEKE-1995-LinL #message passing #model checking #protocol
Formal Verification of a Message-Passing Protocol with Model Checking (AL, FL), pp. 296–302.
ECOOPECOOP-1995-ArditiC #framework #object-oriented
An Object-Oriented Framework for the Formal Verification of Processors (LA, HC), pp. 215–234.
PPDPPLILP-1995-Hatcliff #correctness
Mechanically Verifying the Correctness of an Offline Partial Evaluator (JH), pp. 279–298.
POPLPOPL-1995-BouajjaniEH #composition #infinity #parallel #process
Verifying Infinite State Processes with Sequential and Parallel Composition (AB, RE, PH), pp. 95–106.
ESECESEC-1995-TuyaSC #model checking #modelling #safety #using
Using a Symbolic Model Checker for Verify Safety Properties in SA/RT Models (JT, LS, JAC), pp. 59–75.
ICSEICSE-1995-KangK #named #realtime #specification
PARTS: A Temporal Logic-Based Real-Time Software Specification and Verification Method (KCK, KIK), pp. 169–176.
ASEKBSE-1995-KraanB #case study #framework #logic #tool support
Logical Frameworks as a Basis for Verification Tools: A Case Study (IK, PB), pp. 36–43.
SACSAC-1995-Obaidat
A verification methodology for computer systems users (MSO), pp. 258–262.
DACDAC-1995-AharonGLLMMMS #functional #generative
Test Program Generation for Functional Verification of PowerPC Processors in IBM (AA, DG, ML, YL, YM, CM, MM, GS), pp. 279–285.
DACDAC-1995-BryantC #diagrams
Verification of Arithmetic Circuits with Binary Moment Diagrams (REB, YAC), pp. 535–541.
DACDAC-1995-JainMF #learning
Advanced Verification Techniques Based on Learning (JJ, RM, MF), pp. 420–426.
DACDAC-1995-Kimura
Residue BDD and Its Application to the Verification of Arithmetic Circuits (SK), pp. 542–545.
DACDAC-1995-MalleyD #logic
Logic Verification Methodology for PowerPC Microprocessors (CHM, MD), pp. 234–240.
DACDAC-1995-ReddyKP #framework #novel #synthesis
Novel Verification Framework Combining Structural and OBDD Methods in a Synthesis Environment (SMR, WK, DKP), pp. 414–419.
TACASTACAS-1995-CleavelandMS #generative #tool support
A Front-End Generator for Verification Tools (RC, EM, SS), pp. 153–173.
TAPSOFTTAPSOFT-1995-AndersenBNPP
The HOL-UNITY Verification System (FA, UB, KN, KDP, JSP), pp. 795–796.
CAVCAV-1995-BasinK #hardware #higher-order #logic #monad #using
Hardware Verification using Monadic Second-Order Logic (DAB, NK), pp. 31–41.
CAVCAV-1995-BouajjaniR #hybrid #linear #subclass
Verifying ω-Regular Properties for a Subclass of Linear Hybrid Systems (AB, RR), pp. 437–450.
CAVCAV-1995-Bryant #multi
Multipliers and Dividers: Insights on Arithmetic Circuits Verification (REB), pp. 1–3.
CAVCAV-1995-DillW #approximate #realtime
Verification of Real-Time Systems by Successive Over and Under Approximation (DLD, HWT), pp. 409–422.
CAVCAV-1995-EirikssonM #analysis #case study #design #using
Using Formal Verification/Analysis Methods on the Critical Path in System Design: A Case Study (ÁTE, KLM), pp. 367–380.
CAVCAV-1995-FidgeKU #realtime
Interactively Verifying a Simple Real-time Scheduler (CJF, PK, MU), pp. 395–408.
CAVCAV-1995-GribomontR #named
CAVEAT: Technique and Tool for Computer Aided VErification And Transformation (EPG, DR), pp. 70–83.
CAVCAV-1995-JagadeesanPO #safety #source code
Safety Property Verification of ESTEREL Programs and Applications to Telecommunications Software (LJJ, CP, JVO), pp. 127–140.
CAVCAV-1995-JonssonK #algorithm #distributed #infinity #safety
Verifying Safety Properties of a Class of Infinite-State Distributed Algorithms (BJ, LK), pp. 42–53.
CAVCAV-1995-McMillan #using
Trace Theoretic Verification of Asynchronous Circuits Using Unfoldings (KLM), pp. 180–195.
ICLPILPS-1995-PedroM #approach #logic programming
An Approach to Verification in Contextual Logic Programming (VP, LM), p. 634.
LICSLICS-1995-BouajjaniEH #on the #problem #process
On the Verification Problem of Nonregular Properties for Nonregular Processes (AB, RE, PH), pp. 123–133.
LICSLICS-1995-Tronci #functional #hardware #logic programming
Hardware Verification, Boolean Logic Programming, Boolean Functional Programming (ET), pp. 408–418.
ICSMEICSM-1994-DalyBMRW #maintenance #replication
Verification of Results in Software Maintenance Through External Replication (JWD, AB, JM, MR, MW), pp. 50–57.
ICALPICALP-1994-AbdullaJ #decidability #problem #source code
Undecidable Verification Problems for Programs with Unreliable Channels (PAA, BJ), pp. 316–327.
FMFME-1994-CuellarWB #design #effectiveness #industrial
Combining the Design of Industrial Systems with Effective Verification Techniques (JC, IW, DB), pp. 639–658.
FMFME-1994-Evans #concurrent #specification #using
Specifying & Verifying Concurrent Systems Using Z (AE), pp. 366–380.
FMFME-1994-Lindsay #on the
On transferring VDM verification techniques to Z (PAL), pp. 190–213.
FMFME-1994-MartinT
Verification Techniques for LOTOS (UM, MT), pp. 83–92.
ICGTTAGT-1994-Barthelmann #process #specification
Process Specification and Verification (KB), pp. 225–239.
SEKESEKE-1994-ArfaFMMS #process
A process for verification based inspections (LBAR, MF, RM, AM, DRS), pp. 100–107.
POPLPOPL-1994-Muller #calculus #staging
A Staging Calculus and its Application to the Verification of Translators (RM), pp. 389–396.
SASSAS-1994-HalbwachsPR #approximate #hybrid #linear
Verification of Linear Hybrid Systems by Means of Convex Approximations (NH, YEP, PR), pp. 223–237.
FSEFSE-1994-DwyerC #analysis #concurrent #data flow #source code
Data Flow Analysis for Verifying Properties of Concurrent Programs (MBD, LAC), pp. 62–75.
SACSAC-1994-Takaoka #graph #parallel
Parallel program verification with directed graphs (TT), pp. 462–466.
SACSAC-1994-WongM #learning #specification
Specification and verification of learning (KWW, RAM), pp. 6–9.
DACDAC-1994-AzizBCHKKRSSTWBS #named
HSIS: A BDD-Based Environment for Formal Verification (AA, FB, STC, RH, TK, SCK, RKR, TRS, VS, ST, HYW, RKB, ALSV), pp. 454–459.
DACDAC-1994-BeattyB #simulation #using
Formally Verifying a Microprocessor Using a Simulation Methodology (DLB, REB), pp. 596–602.
DACDAC-1994-BhagwatiD #automation #pipes and filters
Automatic Verification of Pipelined Microprocessors (VB, SD), pp. 603–608.
DACDAC-1994-GuptaS #automation #design #multi
Automated Multi-Cycle Symbolic Timing Verification of Microprocessor-Based Designs (APG, DPS), pp. 113–119.
DACDAC-1994-HuYD #performance
New Techniques for Efficient Verification with Implicitly Conjoined BDDs (AJH, GY, DLD), pp. 276–282.
DACDAC-1994-KuehlmannCSL #fault
Error Diagnosis for Transistor-Level Verification (AK, DIC, AS, DPL), pp. 218–224.
DACDAC-1994-VerlindKJLM #abstraction #communication #performance
A Time Abstraction Method for Efficient Verification of Communicating Systems (EV, TK, GGdJ, BL, HDM), pp. 609–614.
DACDAC-1994-WalkupB #interface #synthesis
Interface Timing Verification with Application to Synthesis (EAW, GB), pp. 106–112.
DATEEDAC-1994-CamuratiCPBS #design #modelling
System-Level Modeling and Verification: a Comprehensive Design Methodology (PC, FC, PP, CB, BS), pp. 636–640.
DATEEDAC-1994-NguyenTDTV #cpu #logic #synthesis
Logic Synthesis and Verification of the CPU and Caches of a Mainframe System (HNN, JPT, LD, MT, PV), pp. 60–64.
DATEEDAC-1994-SchneiderKK
Control Path Oriented Verification of Sequential Generic Circuits with Control and Data Path (KS, TK, RK), pp. 648–652.
STOCSTOC-1994-Kurshan #complexity
The complexity of verification (RPK), pp. 365–371.
CAVCAV-1994-BeerBGGY #hardware
Methodology and System for Practical Formal Verification of Reactive Hardware (IB, SBD, DG, RG, MY), pp. 182–193.
CAVCAV-1994-BoigelotW #set
Symbolic Verification with Periodic Sets (BB, PW), pp. 55–67.
CAVCAV-1994-BouajjaniER #hybrid #linear #using
Verification of Context-Free Timed Systems Using Linear Hybrid Observers (AB, RE, RR), pp. 118–131.
CAVCAV-1994-BurchD #automation #pipes and filters
Automatic verification of Pipelined Microprocessor Control (JRB, DLD), pp. 68–80.
CAVCAV-1994-CyrlukN #hardware #logic
Ground Temporal Logic: A Logic for Hardware Verification (DC, PN), pp. 247–259.
CAVCAV-1994-GorrieriS #realtime #using
Real-Time System Verification using P/T Nets (RG, GS), pp. 14–26.
CAVCAV-1994-Graf #abstraction #distributed #memory management #using
Verification of a Distributed Cache Memory by Using Abstractions (SG), pp. 207–219.
CAVCAV-1994-NaikS #model checking #modelling #protocol #using
Modeling and Verification of a Real Life Protocol Using Symbolic Model Checking (VGN, APS), pp. 194–206.
CAVCAV-1994-OliveroSY #abstraction #hybrid #linear #using
Using Abstractions for the Verification of Linear Hybrid Systems (AO, JS, SY), pp. 81–94.
CAVCAV-1994-RokickiM #automation
Automatic Verification of Timed Circuits (TR, CJM), pp. 468–480.
CAVCAV-1994-SimoneR #composition #reduction #semantics
Compositional Semantics of ESTEREL and Verification by Compositional Reductions (RdS, AR), pp. 441–454.
ISSTAISSTA-1994-ChechikG #automation #implementation #requirements
Automatic Verification of Requirements Implementation (MC, JDG), pp. 1–14.
ISSTAISSTA-1994-Marcus #composition #correctness #testing
The Incorporation of Testing into Verification: Direct, Modular, and Hierarchical Correctness Degrees (LM), p. 197.
LICSLICS-1994-ChangMP #composition #realtime
Compositional Verification of Real-Time Systems (EYC, ZM, AP), pp. 458–465.
LICSLICS-1994-Clarke #automation #concurrent #finite
Automatic Verification of Finite-State Concurrent Systems (EMC), p. 126.
ICDARICDAR-1993-ChangWS
Dynamic handwritten Chinese signature verification (HDC, JFW, HMS), pp. 258–261.
ICDARICDAR-1993-SabourinCG #approach
An extended-shadow-code based approach for off-line signature verification (RS, MC, GG), pp. 1–5.
ICDARICDAR-1993-TokahashiG #linear #recognition
Recognition enhancement by linear tournament verification (HT, TDG), pp. 585–588.
SIGMODSIGMOD-1993-GuptaW #constraints #database #distributed
Local Verification of Global Integrity Constraints in Distributed Databases (AG, JW), pp. 49–58.
FMFME-1993-OwreRSH #architecture #fault tolerance #lessons learnt
Formal Verification for Fault-Tolerant Architectures: Some Lessons Learned (SO, JMR, NS, FWvH), pp. 482–500.
FMFME-1993-RaoPS #compilation #development #tool support
Verification Tools in the Development of Provably Correct Compilers (MRKKR, PKP, RKS), pp. 442–461.
HCIHCI-ACS-1993-HollywellH #set #validation
A Tool Set for the Verification and Early Validation of a Control Room Computer-Based Display System for Sizewell “B” Nuclear Power Station (PDH, EMH), pp. 133–138.
CAiSECAiSE-1993-BrockersG #process
Computer-Aided Verification of Software Process Model Properties (AB, VG), pp. 521–546.
SEKESEKE-1993-KushnerE #experience #rule-based
Experience Verifying a Rule-based Program as Part of a Cleanroom Project: AOEXPERT/MVS (TRK, DSE), pp. 445–452.
SEKESEKE-1993-Zhang #knowledge base
Perspectives in Knowledge Base Verification (DZ), pp. 396–405.
AdaTRI-Ada-1993-TurnerH #abstraction #ada #generative
Ada Abstraction, Generation, Verification (JAT, TLH), pp. 323–329.
SASWSA-1993-Fernandez #abstract interpretation
Abstract Interpretation and Verification of Reactive Systems (JCF), pp. 60–71.
ESECESEC-1993-GhezziFB #overview #realtime #specification
Real-Time Systems: A Survey of Approaches to Formal Specification and Verification (CG, MF, CB), pp. 11–36.
SACSAC-1993-HankleyT #specification
A Verification Helper for Task Specifications (WH, PT), pp. 193–202.
DACDAC-1993-KawarabayashiSS
A Verification Technique for Gated Clock (MK, NVS, ALSV), pp. 123–127.
DACDAC-1993-LiuCS #behaviour #simulation #using
Analog System Verification in the Presence of Parasitics Using Behavioral Simulation (EWYL, HCC, ALSV), pp. 159–163.
PDPPDP-1993-BijnensJB #memory management #parallel
Load balanced parallel program verification on a shared memory machine (SB, WJ, YB), pp. 161–168.
TAPSOFTTAPSOFT-1993-Arnold #comparison
Verification and Comparison of Transition Systems (AA), pp. 121–135.
CAVCAV-1993-Brayton #design #logic #synthesis
Logic Synthesis and Design Verification (RKB), pp. 1–2.
CAVCAV-1993-CourcoubetisDJ
Verification of timing Properties of VHDL (CC, WD, BJ), pp. 225–236.
CAVCAV-1993-GodefroidP #dependence #partial order
Refining Dependencies Improves Partial-Order Verification Methods (PG, DP), pp. 438–449.
CAVCAV-1993-Gordon #imperative #source code
A Verifier and Timing Analyser for Simple Imperative Programs (MJCG), p. 320.
CAVCAV-1993-GrafL
A Tool for Symbolic Program Verification and Abstration (SG, CL), pp. 71–84.
CAVCAV-1993-HuD #invariant #performance #using
Efficient Verification with BDDs using Implicitly Conjoined Invariants (AJH, DLD), pp. 3–14.
CAVCAV-1993-Hungar #model checking #parallel #process #proving #theorem proving
Combining Model Checking and Theorem Proving to Verify Parallel Processes (HH), pp. 154–165.
CAVCAV-1993-JourdanMO #realtime #source code
Verifying Quantitative Real-Time Properties of Synchronous Programs (MJ, FM, AO), pp. 347–358.
CAVCAV-1993-KurshanL #multi
Verification of a Multiplier: 64 Bits and Beyond (RPK, LL), pp. 166–179.
CAVCAV-1993-KuttyRMDM #concurrent #logic #tool support #visual notation
A Graphical Interval Logic Toolset for Verifying Concurrent Systems (GK, YSR, LEM, LKD, PMMS), pp. 138–153.
CAVCAV-1993-LincolnR #algorithm #consistency #fault #hybrid #interactive
The Formal Verification of an Algorithm for Interactive Consistency under a Hybrid Fault Model (PL, JMR), pp. 292–304.
CAVCAV-1993-ProbstL #automaton #behaviour
Verifying Timed Behavior Automata with Input/Output Critical Races (DKP, HFL), pp. 424–437.
CAVCAV-1993-RhoS #automation #generative #invariant #network
Automatic Generation of Network Invariants for the Verification of Iterative Sequential Systems (JKR, FS), pp. 123–137.
CAVCAV-1993-Shankar #realtime #using
Verification of Real-Time Systems Using PVS (NS), pp. 280–291.
CAVCAV-1993-Valmari #on the fly #set
On-the-Fly Verification with Stubborn Sets (AV), pp. 397–408.
CAVCAV-1993-YonedaSSC #parallel #performance #realtime
Efficient Verification of Parallel Real-Time Systems (TY, AS, BHS, EMC), pp. 321–346.
ICTSSIWPTS-1993-Drira #graph #trade-off
The Refusal Graph: a Tradeoff between Verification and Test (KD), pp. 297–312.
HTHT-ECHT-1992-StottsFR #automaton
Hyperdocuments as Automata: Trace-Based Browsing Property Verification (PDS, RF, JCR), pp. 272–281.
CSEETSEI-1992-CardowL #education #validation
Teaching Software Verification and Validation to Software Practitioner (JEC, DRL), pp. 167–179.
ICALPICALP-1992-SantisPY #bound #proving #statistics
One-Message Statistical Zero-Knowledge Proofs and Space-Bounded Verifier (ADS, GP, MY), pp. 28–40.
ICSEICSE-1992-FernandezGMRRS #source code
A Toolbox for the Verification of LOTOS Programs (JCF, HG, LM, AR, CR, JS), pp. 246–259.
DACDAC-1992-AmonB #approach
An Approach to Symbolic Timing Verification (TA, GB), pp. 410–413.
DACDAC-1992-DevadasKMW #logic
Certified Timing Verification and the Transition Delay of a Logic Circuit (SD, KK, SM, ARW), pp. 549–555.
DACDAC-1992-LaiS #diagrams #multi
Edge-Valued Binary Decision Diagrams for Multi-Level Hierarchical Verification (YTL, SS), pp. 608–613.
DACDAC-1992-RhoS #induction
Inductive Verification of Iterative Systems (JKR, FS), pp. 628–633.
CADECADE-1992-OwreRS #named #prototype
PVS: A Prototype Verification System (SO, JMR, NS), pp. 748–752.
CADECADE-1992-WangG #automation #named
RVF: An Automated Formal Verification System (TCW, AG), pp. 735–739.
CAVCAV-1992-AagaardL #case study #logic #synthesis
Verifying a Logic Synthesis Tool in Nuprl: A Case Study in Software Verification (MA, ML), pp. 69–81.
CAVCAV-1992-AlurIKY #approximate
Timing Verification by Successive Approximation (RA, AI, RPK, MY), pp. 137–150.
CAVCAV-1992-BalarinS
A Verification Strategy for Timing-Constrained Systems (FB, ALSV), pp. 151–163.
CAVCAV-1992-Corbett #integer #liveness #programming #safety
Verifying General Safety and Liveness Propterties with Integer Programming (JCC), pp. 357–369.
CAVCAV-1992-CourcoubetisDCT #realtime
Verification with Real-Time COSPAN (CC, DLD, MC, PT), pp. 274–287.
CAVCAV-1992-De-LeonG #abstraction #composition #distributed #realtime
Modular Abstractions for Verifying Real-Time Distributed Systems (HDL, OG), pp. 2–15.
CAVCAV-1992-EngbergGL #concurrent
Mechanical Verification of Concurrent Systems with TLA (UE, PG, LL), pp. 44–55.
CAVCAV-1992-FischerST #algebra #case study #distributed #process
Verification in Process Algebra of the Distributed Control of Track Vehicles — A Case Study (SF, AS, DT), pp. 192–205.
CAVCAV-1992-HamaguchiHY #branch #design #logic #using
Design Verification of a Microprocessor Using Branching Time Regular Temporal Logic (KH, HH, SY), pp. 206–219.
CAVCAV-1992-HiguchiSSFK #communication #finite #invariant #state machine
A Verification Procedure via Invariant for Extended Communicating Finite-State Machines (MH, OS, HS, MF, TK), pp. 384–395.
CAVCAV-1992-HuDDY #specification
Higher-Level Specification and Verification with BDDs (AJH, DLD, AJD, CHY), pp. 82–95.
CAVCAV-1992-JainKG #scalability #towards
Towards a Verification Technique for Large Synchronous Circuits (PJ, PK, GG), pp. 109–122.
CAVCAV-1992-Lamport
Computer-Hindered Verification (Humans Can Do It Too) (LL), p. 1.
CAVCAV-1992-McMillan #explosion #problem #using
Using Unfoldings to Avoid the State Explosion Problem in the Verification of Asynchronous Circuits (KLM), pp. 164–177.
CAVCAV-1992-MeryM #ide #interactive #named #specification
Crocos: An Integrated Environment for Interactive Verification of SDL Specifications (DM, AM), pp. 343–356.
CAVCAV-1992-ProbstL #automaton #behaviour #constraints
Verifying Timed Behavior Automata with Nonbinary Delay Constraints (DKP, HFL), pp. 123–136.
LICSLICS-1992-PfenningH #compilation
Compiler Verification in LF (JH, FP), pp. 407–418.
ICALPICALP-1991-FixFG #composition
Program Composition and Modular Verification (LF, NF, OG), pp. 93–114.
FMVDME-1991-Puccetti #development
The Integrated Software Development and Verification System ATES (AP), pp. 629–644.
ECOOPECOOP-1991-Wills #smalltalk
Capsules and Types in Fresco: Program Verification in Smalltalk (AW), pp. 59–76.
PEPMPEPM-1991-McNerney #abstract interpretation #compilation #correctness #using
Verifying the Correctness of Compiler Transformations on Basic Blocks using Abstract Interpretation (TSM), pp. 106–115.
DACDAC-1991-BenkoskiS #layout #synthesis
The Role of Timing Verification in Layout Synthesis (JB, AJS), pp. 612–619.
DACDAC-1991-BryantBS #evaluation #hardware
Formal Hardware Verification by Symbolic Ternary Trajectory Evaluation (REB, DLB, CJHS), pp. 397–402.
DACDAC-1991-Burch #multi #using
Using BDDs to Verify Multipliers (JRB), pp. 408–412.
DACDAC-1991-DoukasL #constraints #named
CLOVER: A Timing Constraints Verification System (DD, ASL), pp. 662–667.
DACDAC-1991-PanBGGY #design
Timing Verification on a 1.2M-Device Full-Custom CMOS Design (JP, LLB, JG, WJG, YTY), pp. 551–554.
TAPSOFTADC-1991-BouajjaniS #finite
Verification for Finite Systems (Extended Abstract) (AB, JS), pp. 55–57.
TAPSOFTCCPSD-1991-CamilleriIN #algebra #automation #interactive #process
Combining Interaction and Automation in Process Algebra Verification (AJC, PI, MN), pp. 283–296.
CAVCAV-1991-BarbeauB #approach #fault #petri net #using
The Lotos Model of a Fault Protected System and its Verification Using a Petri Net Based Approach (MB, GvB), pp. 103–113.
CAVCAV-1991-ChristoffC #algorithm #performance #probability #process
Efficient Algorithms for Verification of Equivalences for Probabilistic Processes (LC, IC), pp. 310–321.
CAVCAV-1991-FernandezM #behaviour #on the fly
“On the Fly” Verification of Behavioural Equivalences and Preorders (JCF, LM), pp. 181–191.
CAVCAV-1991-GabrielianI #realtime #specification
Verifying Properties of HMS Machine Specifications of Real-Time Systems (AG, RI), pp. 421–431.
CAVCAV-1991-GodefroidW #concurrent #partial order #performance #safety #using
Using Partial Orders for the Efficient Verification of Deadlock Freedom and Safety Properties (PG, PW), pp. 332–342.
CAVCAV-1991-Goldschlag #liveness #safety
Mechanically Verifying Safety and Liveness Properties of Delay Insensitive Circuits (DMG), pp. 354–364.
CAVCAV-1991-HamaguchiHY #branch #logic #model checking #using
Formal Verification of Speed-Dependent Asynchronous Cicuits Using Symbolic Model Checking of branching Time Regular Temporal Logic (KH, HH, SY), pp. 410–420.
CAVCAV-1991-HiraishiHOY #logic #model checking
Vectorized Symbolic Model Checking of Computation Tree Logic for Sequential Machine Verification (HH, KH, HO, SY), pp. 214–224.
CAVCAV-1991-JardJ #algorithm #bound #on the fly
Bounded-memory Algorithms for Verification On-the-fly (CJ, TJ), pp. 192–202.
CAVCAV-1991-NicolaFGR #behaviour #concurrent #framework #logic
An Action Based Framework for Verifying Logical and Behavioural Properties of Concurrent Systems (RDN, AF, SG, GR), pp. 37–47.
CAVCAV-1991-SegerJ #using
A Two-Level Formal Verification Methodology using HOL and COSMOS (CJHS, JJJ), pp. 299–309.
CAVCAV-1991-Sistla #automation
Automatic Temporal Verification of Buffer Systems (APS, LDZ), pp. 59–69.
CAVCAV-1991-VemuriS #design
Temporal Precondition Verification of Design Transformations (RV, AS), pp. 125–135.
SEKESEKE-1990-ShiM #requirements #towards #validation
Toward Verification and Validation of Software Requirements (GMS, IM), pp. 251–259.
ICSEICSE-1990-GabrielianF #multi #realtime #specification
Multi-Level Specification and Verification of Real-Time Software (AG, MKF), pp. 52–62.
DACDAC-1990-BurchCMD #model checking #using
Sequential Circuit Verification Using Symbolic Model Checking (JRB, EMC, KLM, DLD), pp. 46–51.
DACDAC-1990-CarlsonR #algorithm #design #evaluation #parallel #performance
Design and Performance Evaluation of New Massively Parallel VLSI Mask Verification Algorithms in JIGSAW (ECC, RAR), pp. 253–259.
DACDAC-1990-GhoshDN
Verification of Interacting Sequential Circuits (AG, SD, ARN), pp. 213–219.
DACDAC-1990-MartelloLC #using
Timing Verification Using HDTV (ARM, SPL, DMC), pp. 118–123.
CADECADE-1990-HeiselRS #proving #theorem proving
Tactical Theorem Proving in Program Verification (MH, WR, WS), pp. 117–131.
CADECADE-1990-KaflZ #proving #theorem proving
The Theorem Prover of the Program Verifier Tatzelwurm (TK, NZ), pp. 657–658.
CADECADE-1990-Kaufmann #lisp #named
RCL: A Lisp Verification System (MK), pp. 659–660.
CAVCAV-1990-BryantS #modelling #using
Formal Verification of Digital Circuits Using Symbolic Ternary System Models (REB, CJHS), pp. 33–43.
CAVCAV-1990-Burch #liveness #safety
Verifying Liveness Properties by Verifying Safety Properties (JRB), pp. 224–232.
CAVCAV-1990-CoudertMB #diagrams
Verifying Temporal Properties of Sequential Machines Without Building their State Diagrams (OC, JCM, CB), pp. 23–32.
CAVCAV-1990-CourcoubetisVWY #algorithm #memory management #performance
Memory Efficient Algorithms for the Verification of Temporal Properties (CC, MYV, PW, MY), pp. 233–242.
CAVCAV-1990-Eveking #automation #hardware
Automatic Verification of Extensions of Hardware Descriptions (HE), pp. 2–12.
CAVCAV-1990-Godefroid #automation #partial order #using
Using Partial Orders to Improve Automatic Verification Methods (PG), pp. 176–185.
CAVCAV-1990-LaiPD #on the #protocol #using
On Using Protean To Verify ISO FTAM Protocol (RL, KRP, TSD), pp. 126–135.
CAVCAV-1990-Langevin #automation #calculus
Automated RTL Verification Based on Predicate Calculus (ML), pp. 116–125.
CAVCAV-1990-LloretAV #communication #composition #design #petri net #protocol #using
Compositional Design and Verification of Communication Protocols, Using Labelled Petri Nets (JCL, PA, FV), pp. 96–105.
CAVCAV-1990-LoewensteinD #higher-order #logic #multi #protocol #simulation #using
Verification of a Multiprocessor Cache Protocol Using Simulation Relations and Higher-Order Logic (PL, DLD), pp. 302–311.
CAVCAV-1990-MorrisH #execution #symbolic computation
Program Verification by Symbolic Execution of Hyperfinite Ideal Machines (JMM, MH), pp. 322–332.
CAVCAV-1990-NakamuraKFT #logic #using
A Data Path Verifier for Register Transfer Level Using Temporal Logic Language Tokio (HN, YK, MF, HT), pp. 76–85.
CAVCAV-1990-ShurekG #composition #framework
The Modular Framework of Computer-Aided Verification (GS, OG), pp. 214–223.
LICSLICS-1990-WandW #source code
Conditional λ-Theories and the Verification of Static Properties of Programs (MW, ZYW), pp. 321–332.
SEKESEKE-1989-Meyers #performance
Performance Verification of the AT&T 5ESSOR Switch (MNM), p. 60.
SEKESEKE-1989-Tang #automation #concurrent #infinity #source code
Temporal Theory for Automatic Verification of Infinite State Concurrent Programs (TGT), pp. 134–139.
DACDAC-1989-BenkoskiS #interactive #modelling #multi
Timing Verification by Formal Signal Interaction Modeling in a Multi-level Timing Simulator (JB, AJS), pp. 668–673.
DACDAC-1989-IshiuraTY #behaviour #logic #simulation
Time-Symbolic Simulation for Accurate Timing Verification of Asynchronous Behavior of Logic Circuits (NI, MT, SY), pp. 497–502.
DACDAC-1989-LiawTL #named
VVDS: A Verification/Diagnosis System for VHDL (HTL, KTT, CSL), pp. 435–440.
DACDAC-1989-NowakM #code generation #hardware
Verification of Hardware Descriptions by Retargetable Code Generation (LN, PM), pp. 441–447.
DACDAC-1989-RoyA #approach #novel #using
A Novel Approach to Accurate Timing Verification Using RTL Descriptions (KR, JAA), pp. 638–641.
DACDAC-1989-WeninVCLG #layout #rule-based
Rule-based VLSI Verification System Constrained by Layout Parasitics (JW, JV, MVC, JL, PG), pp. 662–667.
TAPSOFTCCIPL-1989-AntonB #petri net #process #protocol #specification
Formulas, Processes, and Petri-Nets Applied to the Specification and Verification of a HDLC Protocol (MDA, MB), pp. 140–154.
TAPSOFTCCIPL-1989-BossiC #correctness #logic programming #source code
Verifying Correctness of Logic Programs (AB, NC), pp. 96–110.
TAPSOFTCCIPL-1989-PenaA #data type #specification
Specification and Verification of TCSP Systems by Means of Partial Abstract Data Types (RP, LMA), pp. 328–344.
STOCSTOC-1989-Kenyon-MathieuK #partial order
Verifying Partial Orders (CKM, VK), pp. 367–374.
CSLCSL-1989-AntoniouS #on the
On the Verification of Modules (GA, VS), pp. 16–35.
ICALPICALP-1988-Tel #protocol
Assertional Verification of a Timer Based Protocol (GT), pp. 600–614.
ICSEICSE-1988-ChaLS #analysis #fault #safety #using
Safety Verification in Murphy Using Fault Tree Analysis (SSC, NGL, TJS), pp. 377–387.
ICSEICSE-1988-CraigenKMNPS #named
m-EVES: A Tool for Verifying Software (DC, SK, IM, AN, BP, MS), pp. 324–333.
DACDAC-1988-AugustinGHLS #design #using
Verification of VHDL Designs Using VAL (LMA, BAG, YH, DCL, AGS), pp. 48–53.
DACDAC-1988-BeeceDPV
The IBM Engineering Verification Engine (DKB, GD, GP, FV), pp. 218–224.
DACDAC-1988-CarlsonR
Mask Verification on the Connection Machine (ECC, RAR), pp. 134–140.
DACDAC-1988-HenkelG #layout #named #set
RISCE — A Reduced Instruction Set Circuit Extractor for Hierarchical VLSI Layout Verification (VH, UG), pp. 465–470.
DACDAC-1988-NarendranS #image
Formal Verification of the Sobel Image Processing Chip (PN, JS), pp. 211–217.
DACDAC-1988-Papaspyrdis #prolog
A Prolog-Based Connectivity Verification Tool (ACP), pp. 523–527.
DACDAC-1988-Savir #design #why
Why Partial Design Verification Works Better Than It Should (JS), pp. 704–707.
DACDAC-1988-StavridouBE #case study #comparative #hardware #specification
Formal Specification and Verification of Hardware: A Comparative Case Study (VS, HB, DAE), pp. 197–204.
DACDAC-1988-VisweswariahCC #development
Model Development and Verification for High Level Analog Blocks (CV, RC, CFC), pp. 376–382.
DACDAC-1988-WallaceS #named
ATV: An Abstract Timing Verifier (DEW, CHS), pp. 154–159.
ESOPESOP-1988-GoughB #semantics
A Semantics Driven Temporal Verification System (GG, HB), pp. 21–33.
CADECADE-1988-HeiselRS #implementation
Implementing Verification Strategies in the KIV-System (MH, WR, WS), pp. 131–140.
ICALPICALP-1987-LarsenM #bisimulation #protocol #using
Verifying a Protocol Using Relativized Bisimulation (KGL, RM), pp. 126–135.
POPLPOPL-1987-MannaP #concurrent #source code #specification
Specification and Verification of Concurrent Programs By Forall-Automata (ZM, AP), pp. 1–12.
ESECESEC-1987-Conradi #documentation #experience #fault #fortran #source code
Experience with Fortran Verifier. A Tool for Documentation and Error Diagnosis of Fortran-77 Programs (RC), pp. 263–275.
ESECESEC-1987-Craigen
Strengths and Weaknesses of Program Verification Systems (DC), pp. 396–404.
DACDAC-1987-ChandrasekharPC #design #hardware #term rewriting
Application of Term Rewriting Techniques to Hardware Design Verification (MSC, JPP, KWC), pp. 277–282.
DACDAC-1987-DevadasMN #abstraction #on the
On the Verification of Sequential Machines at Differing Levels of Abstraction (SD, HKTM, ARN), pp. 271–276.
DACDAC-1987-MaDSW #algorithm #implementation #logic #parallel
Logic Verification Algorithms and Their Parallel Implementation (HKTM, SD, ALSV, RW), pp. 283–290.
DACDAC-1987-TouKFH #approach #automation #database #knowledge base
Knowledge Based Approach for the Verification of CAD Database Generated by an Automated Schematic Capture System (JYT, WHK, KCF, CLH), pp. 713–720.
DACDAC-1987-Weise #functional
Functional Verification of MOS Circuits (DW), pp. 265–270.
DACDAC-1987-WuWN #automation #design #representation #rule-based
A Rule-Based Circuit Representation for Automated CMOS Design and Verification (CFEW, ASW, LMN), pp. 786–792.
CSLCSL-1987-HeiselRS #logic #using
Program Verification Using Dynamic Logic (MH, WR, WS), pp. 102–117.
LICSLICS-1987-Vardi #concurrent #framework #source code
Verification of Concurrent Programs: The Automata-Theoretic Framework (MYV), pp. 167–176.
AdaCRAI-1986-Krieg-Bruckner #integration
Integration of Program Construction and Verification: The PROSPECTRA Methodology (BKB), pp. 173–194.
DACDAC-1986-BarzilaiBHIS #analysis #fault #named #performance
SLS — a fast switch level simulator for verification and fault coverage analysis (ZB, DKB, LMH, VSI, GMS), pp. 164–170.
DACDAC-1986-Ghosh #approach #fault #functional #rule-based #simulation
A rule-based approach to unifying functional and fault simulation and timing verification (SG), pp. 677–682.
DACDAC-1986-GlesnerSS #compilation #named #statistics
SCAT — a new statistical timing verifier in a silicon compiler system (MG, JS, RBS), pp. 220–226.
DACDAC-1986-HwangKN #modelling
An accuration delay modeling technique for switch-level timing verification (SHH, YHK, ARN), pp. 227–233.
DACDAC-1986-Lamey #design #prototype
Early verification of prototype tooling for IC designs (PL), pp. 819–822.
DACDAC-1986-OdawaraTOOZ #comparison #logic
A logic verifier based on Boolean comparison (GO, MT, OO, TO, ZqZ), pp. 208–214.
DACDAC-1986-SupowitF
A new method for verifying sequential circuits (KJS, SJF), pp. 200–207.
DACDAC-1986-WallaceS #modelling #plugin
Plug-in timing models for an abstract timing verifier (DEW, CHS), pp. 683–689.
CADECADE-1986-HahnleHRS #interactive #logic
An Interactive Verification System Based on Dynamic Logic (RH, MH, WR, WS), pp. 306–315.
CADECADE-1986-Kafl #linear #reasoning
Program Verifier “Tatzelwurm”: Reasoning about Systems of Linear Inequalities (TK), pp. 300–305.
CADECADE-1986-KanamoriF #induction #prolog #source code
Formulation of Induction Formulas in Verification of Prolog Programs (TK, HF), pp. 281–299.
ICLPICLP-1986-KanamoriS86 #execution #prolog #source code #using
Verification of Prolog Programs Using an Extension of Execution (TK, HS), pp. 475–489.
LICSLICS-1986-Browne #algorithm #automation #finite #logic #using
An Improved Algorithm for the Automatic Verification of Finite State Systems Using Temporal Logic (MCB), pp. 260–266.
LICSLICS-1986-MakowskyS #equivalence #higher-order #on the #semantics #standard
On the Equivalence of Weak Second Order and Nonstandard Time Semantics For Various Program Verification Systems (JAM, IS), pp. 293–300.
LICSLICS-1986-PnueliZ #probability
Probabilistic Verification by Tableaux (AP, LDZ), pp. 322–331.
LICSLICS-1986-VardiW #approach #automation
An Automata-Theoretic Approach to Automatic Program Verification (MYV, PW), pp. 332–344.
SIGMODSIGMOD-1985-Kung #constraints #database #on the
On Verification of Database Temporal Constraints (DCK), pp. 169–179.
FPCAFPCA-1985-Dybjer85 #logic
Program Verification in a Logical Theory of Constructions (PD), pp. 334–349.
DACDAC-1985-MokkaralaFA #approach #functional #simulation
A unified approach to simulation and timing verification at the functional level (VRM, AF, RA), pp. 757–761.
DACDAC-1985-Simmons #design #prototype
Early verification of prototype tooling for IC designs (JPSJ), p. 161.
DACDAC-1985-Wong
Hierarchical circuit verification (YW), pp. 695–701.
PODSPODS-1984-StempleS #database #specification
Specification and Verification of Abstract Database Types (DWS, TS), pp. 248–257.
VLDBVLDB-1984-Kung #database #framework #specification
A Temporal Framework for Database Specification and Verification (DCK), pp. 91–99.
ICALPICALP-1984-RonRP #csp #hardware #implementation
A Hardware Implementation of the CSP Primitives and its Verification (DR, FR, AP), pp. 423–435.
POPLPOPL-1984-ShashaPE #network #protocol
Temporal Verification of Carrier-Sense Local Area Network Protocols (DS, AP, WE), pp. 54–65.
ICSEICSE-1984-AzemaJSM #distributed #petri net #prolog #specification #using
Specification and Verification of Distributed Systems Using PROLOG Interpreded Petri Nets (PA, GJ, ES, MM), pp. 510–519.
ICSEICSE-1984-MiliD
A System for Classifying Program Verification Methods: Assigning Meanings to Program Verification Methods (AM, JD), pp. 499–509.
DACDAC-1984-KawamuraTH #functional #memory management
Functional verification of memory circuits from mask artwork data (MK, HT, KH), pp. 228–234.
DACDAC-1984-Marvik #layout
A method for IC layout verification (OAM), pp. 708–709.
DACDAC-1984-MarW #performance
Performance verification of circuits (JM, YPW), pp. 479–483.
DACDAC-1984-Milne #hardware
A model for hardware description and verification (GJM), pp. 251–257.
DACDAC-1984-ParkerKM #design #synthesis
A general methodology for synthesis and verification of register-transfer designs (ACP, FJK, MJM), pp. 329–335.
DACDAC-1984-ThamWW #design #functional #multi #simulation
Functional design verification by multi-level simulation (KT, RW, DW), pp. 473–478.
DACDAC-1984-Wagner #layout
Hierarchical layout verification (TJW), pp. 484–489.
DACDAC-1984-Wilkins #design
Module design verification system (LW), pp. 282–287.
DACDAC-1984-WojcikKS #automation #design #reasoning
A formal design verification system based on an automated reasoning system (ASW, JKJ, NCES), pp. 641–647.
ICLPILPC-1984-BoscoGG84 #concurrent #logic #process #prolog #specification
A Prolog System for the Verification of Concurrent Processes Against Temporal Logic Specifications (PGB, GG, EG), pp. 219–229.
POPLPOPL-1983-ClarkeES #approach #automation #concurrent #finite #logic #specification #using
Automatic Verification of Finite State Concurrent Systems Using Temporal Logic Specifications: A Practical Approach (EMC, EAE, APS), pp. 117–126.
POPLPOPL-1983-NagleJ #automation #embedded #proving #realtime
Practical Program Verification: Automatic Program Proving for Real-Time Embedded Software (JN, SJ), pp. 48–58.
POPLPOPL-1983-Nelson #invariant #reachability
Verifying Reachability Invariants of Linked Structures (GN), pp. 38–47.
DACDAC-1983-Barke #layout
A layout verification system for analog bipolar integrated circuits (EB), pp. 353–359.
DACDAC-1983-Dunn #design #overview
An overview of the design and verification subsystem of the Engineering Design System (LND), pp. 237–238.
DACDAC-1983-RimkusWCM #design
Structured design verification: Function and timing (CJR, MRW, DDC, FJM), pp. 246–252.
DACDAC-1983-ShellyT #statistics
Statistical techniques of timing verification (JHS, DRT), pp. 396–402.
DACDAC-1983-SomenziGMP #testing
A new integrated system for PLA testing and verification (FS, SG, MM, PP), pp. 57–63.
DACDAC-1983-Ulrich #concurrent #design #simulation
A design verification methodology based on concurrent simulation and clock suppression (EU), pp. 709–712.
DACDAC-1983-UmrigarP #design #hardware #realtime
Formal verification of a real-time hardware design (ZDU, VP), pp. 221–227.
DACDAC-1983-Wojcik #design
Formal design verification of digital systems (ASW), pp. 228–234.
SOSPSOSP-1983-Silverman #kernel #operating system #security
Reflections on the Verification of the Security of an Operating System Kernel (JMS), pp. 143–154.
POPLPOPL-1982-HailpernO #composition #concurrent #source code
Modular Verification of Concurrent Programs (BH, SSO), pp. 322–336.
ICSEICSE-1982-AgusaOO #requirements
Verification System for Formal Requirements Description (KA, AO, YO), pp. 120–126.
DACDAC-1982-Kaplan #strict
A “non-restrictive” artwork verification program for printed circuit boards (DK), pp. 551–558.
DACDAC-1982-MaruyamaUKS #design #hardware
A verification technique for hardware designs (FM, TU, NK, TS), pp. 832–841.
DACDAC-1982-McCluskey #testing
Verification testing (EJM), pp. 495–500.
DACDAC-1982-Monachino #design #scalability
Design verification system for large-scale LSI designs (MM), pp. 83–90.
DACDAC-1982-NomuraSTAY
Timing verification system based on delay time hierarchical nature (MN, SS, NT, TA, AY), pp. 622–628.
DACDAC-1982-PitchumaniS #design #formal method
A formal method for computer design verification (VP, EPS), pp. 809–814.
DACDAC-1982-Sr #analysis
Timing Verification and the Timing Analysis program (RBHS), pp. 594–604.
DACDAC-1982-TakashimaMCY #source code
Programs for verifying circuit connectivity of mos/lsi mask artwork (MT, TM, TC, KY), pp. 544–550.
CADECADE-1982-ShostakSM #logic #named #specification
STP: A Mechanized Logic for Specification and Verification (RES, RLS, PMMS), pp. 32–49.
CADECADE-1982-SterlingB
Meta-Level Inference and Program Verification (LS, AB), pp. 144–150.
ICALPICALP-1981-MoriconiS #automation #generative #hoare #logic
Automatic Construction of Verification Condition Generators From Hoare Logics (MM, RLS), pp. 363–377.
POPLPOPL-1981-KatayamaH #attribute grammar
Verification of Attribute Grammars (TK, YH), pp. 177–186.
POPLPOPL-1981-Polak #semantics
Program Verification Based on Denotational Semantics (WP), pp. 149–158.
DACDAC-1981-AblasserJ #layout #recognition
Circuit recognition and verification based on layout information (IA, UJ), pp. 684–689.
DACDAC-1981-BradyS #layout #optimisation
Verification and optimization for LSI & PCB layout (HNB, RJSI), pp. 365–371.
DACDAC-1981-Cory #functional #simulation
Symbolic simulation for functional verification with ADLIB and SDL (WEC), pp. 82–89.
DACDAC-1981-EdmondsonJ #layout #low cost
A low cost hierarchical system for VLSI layout and verification (THE, RMJ), pp. 505–510.
DACDAC-1981-NgGK #parametricity
A timing verification system based on extracted MOS/VLSI circuit parameters (PN, WG, RK), pp. 288–292.
DACDAC-1981-SasakiYAHKS #design #scalability
Hierarchical design verification for large digital systems (TS, AY, TA, KH, SK, SS), pp. 105–112.
DACDAC-1981-SoleckyP #testing
Test data verification — not just the final step for test data before release for production testing (PS, RLP), pp. 881–890.
DACDAC-1981-Ward
A total verification of printed circuit artwork (MAW), pp. 720–725.
DACDAC-1981-Williams #automation #layout
Automatic VLSI layout verification (LW), pp. 726–732.
DACDAC-1981-YoshidaOG #named
PANAMAP-B: A mask verification system for bipolar IC (JY, TO, YG), pp. 690–695.
SOSPSOSP-1981-Rushby #design
Design and Verification of Secure Systems (JMR), pp. 12–21.
POPLPOPL-1980-Pratt #on the #specification
On Specifying Verifiers (VRP), pp. 106–116.
SOSPSOSP-J-1979-WalkerKP80 #kernel #security #specification
Specification and Verification of the UCLA Unix Security Kernel (BJW, RAK, GJP), pp. 118–131.
DACDAC-1980-CoryC #correctness #design
Developments in verification of design correctness (WEC, WMvC), pp. 156–164.
DACDAC-1980-KoppelmanM #logic
Verifying deep logic hierarchies with ALEX (GMK, KM), pp. 328–335.
DACDAC-1980-McGrathW #design #layout
Design integrity and immunity checking: A new look at layout verification and design rule checking (EJM, TW), pp. 263–268.
DACDAC-1980-McWilliams #constraints #scalability
Verification of timing constraints on large digital systems (TMM), pp. 139–147.
DACDAC-1980-SasakiYKNTN #logic #named #scalability
MIXS: A mixed level simulator for large digital system logic verification (TS, AY, SK, TN, KT, NN), pp. 626–633.
CADECADE-1980-Furtek #constraints #distributed #formal method #realtime #specification #using
Specification and Verification of Real-Time, Distributed Systems Using the Theory of Constraints (FCF), pp. 110–125.
VLDBVLDB-1979-BaldisseraCPB #database #design #interactive #specification
Interactive Specification and Formal Verification of User’s Views in Data Bases Design (CB, SC, GP, GB), pp. 262–272.
FMFM-1979-Moriconi #design #interactive #network
Interactive Design and Verification: A Message Switching Network Example (MM), pp. 355–388.
ICSEICSE-1979-Ploedereder #program analysis
Pragmatic Techniques for Program Analysis and Verification (EP), pp. 63–72.
DACDAC-1979-AkinoSKN #simulation
Circuit simulation and timing verification based on MOS/LSI mask information (TA, MS, YK, TN), pp. 88–94.
DACDAC-1979-Darringer #hardware
The application of program verification techniques to hardware verification (JAD), pp. 375–381.
DACDAC-1979-KawatoSMU #design #scalability #using
Design and verification of large-scale computers by using DDL (NK, TS, FM, TU), pp. 360–366.
DACDAC-1979-LeinwandL #abstraction #design #functional
Design verification based on functional abstraction (SML, TL), pp. 353–359.
DACDAC-1979-OhnoMS #logic #scalability #using
Logic verification system for very large computers using LSI’s (YO, MM, KS), pp. 367–374.
SOSPSOSP-1979-WalkerKP #kernel #security #specification
Specification and Verification of the UCLA Unix Security Kernel (BJW, RAK, GJP), pp. 64–65.
POPLPOPL-1978-Mizell #aspect-oriented #concurrent #design
Verification and Design Aspects of “True” Concurrency (DWM), pp. 171–175.
ICSEICSE-1978-AzemaAB #approach #bottom-up #communication #design
Design and Verification of Communication Procedures: A Bottom-Up Approach (PA, JMA, BB), pp. 168–174.
ICSEICSE-1978-BrownF #approach #graph
A Graph Theoretic Approach to the Verification of Program Structures (JRB, KFF), pp. 136–141.
ICSEICSE-1978-King
Prospects for Program Verification (JCK), p. 71.
ICSEICSE-1978-StephensT #requirements
Requirements Expression and Verification Aid (SAS, LLT), pp. 101–108.
DACDAC-1978-WilcoxRC #design
Design rule verification based on one dimensional scans (PSW, HR, DMC), pp. 285–289.
DACDAC-1978-Wold #analysis #design #performance
Design verification and performance analysis (MAW), pp. 264–270.
VLDBVLDB-1977-Bubenko #aspect-oriented #modelling #validation
Validation and Verification Aspects of Information Modeling (JABJ), pp. 556–566.
DACDAC-1977-Kovijanic #generative #testing
A new look at test generation and verification (PGK), pp. 58–63.
DACDAC-1977-Krohn #design #scalability
Design verification of large scientific computers (HEK), pp. 354–361.
DACDAC-1977-Noon #design #logic #validation
A Design Verification and Logic Validation System (WAN), pp. 362–368.
DACDAC-1977-ThomasS #automation #design #performance
Measuring designer performance to verify design automation systems (DET, DPS), pp. 411–418.
ICALPICALP-1976-Cartwright #data type #lisp #source code
User-Defined Data Types as an Aid to Verifying LISP Programs (RC), pp. 228–256.
ICALPICALP-1976-Emden #source code
Verification Conditions as Programs (MHvE), pp. 99–119.
AdaDIPL-1976-London #design
Remarks on the impact of program verification on language design (RLL), pp. 313–320.
POPLPOPL-1976-GriffithsP #process #specification
Verifying Formal Specifications of Synchronous Processes (PPG, CJP), pp. 192–208.
ICSEICSE-1976-EhrenbergerRO #program analysis
Program Analysis — A Method for the Verification of Software for the Control of a Nuclear Reactor (WDE, GR, KO), pp. 611–616.
ICSEICSE-1976-KarpL #implementation #monitoring
Verification of Fairness in an Implementation of Monitors (RAK, DCL), pp. 40–46.
ICSEICSE-1976-Panzl #approach #testing
Test Procedures: A New Approach to Software Verification (DJP), pp. 477–485.
ICSEICSE-1976-PhillipsB #design #realtime
Design and Verification of Real-Time Systems (JVP, THB), pp. 124–131.
ICSEICSE-1976-ReynoldsY #induction
Induction as the Basis for Program Verification (CR, RTY), p. 389.
ICSEICSE-1976-SaxenaB #monitoring #specification
Verification of a Monitor Specification (ARS, THB), pp. 53–59.
ICSEICSE-1976-WensleyGLS #analysis #design #fault tolerance
The Design, Analysis, and Verification of the SIFT Fault-Tolerant System (JHW, MWG, KNL, RES), pp. 458–469.
ICSEICSE-1976-WulfLS #source code
An Introduction to the Construction and Verification of Alphard Programs (WAW, RLL, MS), p. 390.
SOSPSOSP-J-1975-OwickiG76 #approach #axiom #parallel #source code
Verifying Properties of Parallel Programs: An Axiomatic Approach (SSO, DG), pp. 279–285.
DACDAC-1976-AzemaVD #design #hardware #petri net #simulation
Petri nets as a common tool for design verification and hardware simulation (PA, RV, MD), pp. 109–116.
DACDAC-1976-Yeh #approach
An approach to program verification (RTY), pp. 295–300.
STOCSTOC-1976-Owicki #consistency #deduction #parallel #source code
A Consistent and Complete Deductive System for the Verification of Parallel Programs (SSO), pp. 73–86.
DACDAC-1975-BairdC #design
An artwork design verification system (HSB, YEC), pp. 414–420.
STOCSTOC-1973-Miller #fault #towards
Toward Mechanical Verification of Properties of Roundoff Error Propagation (WM), pp. 50–58.

Bibliography of Software Language Engineering in Generated Hypertext (BibSLEIGH) is created and maintained by Dr. Vadim Zaytsev.
Hosted as a part of SLEBOK on GitHub.