BibSLEIGH
BibSLEIGH corpus
BibSLEIGH tags
BibSLEIGH bundles
BibSLEIGH people
CC-BY
Open Knowledge
XHTML 1.0 W3C Rec
CSS 2.1 W3C CanRec
email twitter
Used together with:
clock (40)
document (34)
detect (21)
imag (19)
estim (17)

Stem skew$ (all stems)

134 papers:

DACDAC-2015-HanLKNL #framework #multi #optimisation #reduction
A global-local optimization framework for simultaneous multi-mode multi-corner clock skew variation reduction (KH, JL, ABK, SN, JL), p. 6.
SIGMODSIGMOD-2015-DugganPBS #array #database #optimisation
Skew-Aware Join Optimization for Array Databases (JD, OP, LB, MS), pp. 123–135.
SIGMODSIGMOD-2015-LiCP #encoding
A Padded Encoding Scheme to Accelerate Scans by Leveraging Skew (YL, CC, JMP), pp. 1509–1524.
VLDBVLDB-2015-Liroz-GistauAV #execution #named #parallel #performance
FP-Hadoop: Efficient Execution of Parallel Jobs Over Skewed Data (MLG, RA, PV), pp. 1856–1867.
CASECASE-2014-GargSYPCHPAG #analysis #automation #reachability
Exact reachability analysis for planning skew-line needle arrangements for automated brachytherapy (AG, TS, GY, SP, JAMC, ICH, JP, AA, KYG), pp. 524–531.
CASECASE-2014-HuangYTC #design #identification
Design of client device identification by clock skew in clouds (DJH, KTY, WCT, GMC), pp. 1133–1138.
DATEDATE-2014-VijaykumarV #analysis #canonical #statistics #using
Statistical static timing analysis using a skew-normal canonical delay model (MV, VV), pp. 1–6.
PODSPODS-2014-BeameKS #parallel #query
Skew in parallel query processing (PB, PK, DS), pp. 212–223.
SIGMODSIGMOD-2014-ElmeleegyOR #distributed #memory management #named #pipes and filters #using
SpongeFiles: mitigating data skew in mapreduce using distributed memory (KE, CO, BR), pp. 551–562.
CIKMCIKM-2014-ChengKWT #parallel #robust
Robust and Skew-resistant Parallel Joins in Shared-Nothing Systems (LC, SK, TEW, GT), pp. 1399–1408.
ICPRICPR-2014-KleberDS #documentation #estimation #image #robust
Robust Skew Estimation of Handwritten and Printed Documents Based on Grayvalue Images (FK, MD, RS), pp. 3020–3025.
SIGIRSIGIR-2014-KaneT
Skewed partial bitvectors for list intersection (AK, FWT), pp. 263–272.
DACDAC-2013-KimJK #algorithm #problem
An optimal algorithm of adjustable delay buffer insertion for solving clock skew variation problem (JK, DJ, TK), p. 6.
DATEDATE-2013-TengT #array #design #reduction
Sparse-rotary oscillator array (SROA) design for power and skew reduction (YT, BT), pp. 1229–1234.
ICDARICDAR-2013-ChenL #identification
Alternatives for Page Skew Compensation in Writer Identification (JC, DPL), pp. 927–931.
ICDARICDAR-2013-DingsAE #approach #segmentation
A Locale Group Based Line Segmentation Approach for Non Uniform Skewed and Curved Arabic Handwritings (LD, AAH, ME), pp. 803–806.
ICDARICDAR-2013-PapandreouG #estimation #word
A Coarse to Fine Skew Estimation Technique for Handwritten Words (AP, BG), pp. 225–229.
ICDARICDAR-2013-PapandreouGLS #contest #documentation #estimation #image
ICDAR 2013 Document Image Skew Estimation Contest (DISEC 2013) (AP, BG, GL, NS), pp. 1444–1448.
CASECASE-2012-GargSBCHPSG #automation #towards
Initial experiments toward automated robotic implantation of skew-line needle arrangements for HDR brachytherapy (AG, TS, DB, JAMC, ICH, JP, DS, KG), pp. 26–33.
DATEDATE-2012-YeYZX #scheduling
Clock skew scheduling for timing speculation (RY, FY, HZ, QX), pp. 929–934.
SIGMODSIGMOD-2012-KwonBHR #named #pipes and filters
SkewTune: mitigating skew in mapreduce applications (YK, MB, BH, JAR), pp. 25–36.
SIGMODSIGMOD-2012-PavloCZ #automation #clustering #database #parallel
Skew-aware automatic database partitioning in shared-nothing, parallel OLTP systems (AP, CC, SBZ), pp. 61–72.
VLDBVLDB-2012-KwonBHR #pipes and filters
SkewTune in Action: Mitigating Skew in MapReduce Applications (YK, MB, BH, JAR), pp. 1934–1937.
CIKMCIKM-2012-LeeH #distributed #robust
Robust distributed indexing for locality-skewed workloads (MWL, SwH), pp. 1342–1351.
DACDAC-2011-LiLZ #multi #scheduling
Optimal multi-domain clock skew scheduling (LL, YL, HZ), pp. 152–157.
DATEDATE-2011-LuHCT #bound
Steiner tree based rotary clock routing with bounded skew and capacitive load balancing (JL, VH, XC, BT), pp. 455–460.
DATEDATE-2011-ZhiLZYZZ #algorithm #multi #performance #scheduling
An efficient algorithm for multi-domain clock skew scheduling (YZ, WSL, HZ, CY, HZ, XZ), pp. 1364–1369.
ICDARICDAR-2011-AlaeiPNK #documentation #estimation
A Painting Based Technique for Skew Estimation of Scanned Documents (AA, UP, PN, FK), pp. 299–303.
ICDARICDAR-2011-Epshtein #documentation #using
Determining Document Skew Using Inter-line Spaces (BE), pp. 27–31.
ICDARICDAR-2011-PapandreouG #detection #novel
A Novel Skew Detection Technique Based on Vertical Projections (AP, BG), pp. 384–388.
PPoPPPPoPP-2011-StrzodkaSP
Time skewing made simple (RS, MS, DP), pp. 295–296.
DACDAC-2010-KaoCTC #detection #performance
An efficient phase detector connection structure for the skew synchronization system (YCK, HMC, KTT, SCC), pp. 729–734.
DATEDATE-2010-CanedoYK #parallel #pipes and filters #simulation
Skewed pipelining for parallel simulink simulations (AC, TY, HK), pp. 891–896.
DATEDATE-2010-LongM10a #dependence #scheduling
Inversed Temperature Dependence aware clock skew scheduling for sequential circuits (JL, SOM), pp. 1657–1660.
DATEDATE-2010-LungZCC #optimisation
Clock skew optimization considering complicated power modes (CLL, ZYZ, CHC, SCC), pp. 1474–1479.
DATEDATE-2010-TieDWC #performance #reduction #scheduling
Dual-Vth leakage reduction with Fast Clock Skew Scheduling Enhancement (MT, HD, TW, XC), pp. 520–525.
DATEDATE-2010-WuM #scheduling
Clock skew scheduling for soft-error-tolerant sequential circuits (KCW, DM), pp. 717–722.
SIGMODSIGMOD-2010-RohKCSK
Hierarchically organized skew-tolerant histograms for geographic data objects (YJR, JHK, YDC, JHS, MHK), pp. 627–638.
ICPRICPR-2010-KonyaES #detection #documentation #image #performance
Fast Seamless Skew and Orientation Detection in Document Images (IVK, SE, CS), pp. 1924–1928.
SACSAC-2010-Kavallieratou #detection #segmentation
Text line detection and segmentation: uneven skew angles and hill-and-dale writing (EK), pp. 59–60.
DACDAC-2009-OnaissiHN #optimisation #process
Clock skew optimization via wiresizing for timing sign-off covering all process corners (SO, KRH, FNN), pp. 196–201.
DATEDATE-2009-BaeMV #scheduling
Exploiting clock skew scheduling for FPGA (SB, PM, NV), pp. 1524–1529.
DATEDATE-2009-ChakrabortyGRP #analysis #optimisation
Analysis and optimization of NBTI induced clock skew in gated clock trees (AC, GG, AR, DZP), pp. 296–299.
DATEDATE-2009-MohammadZadehMJZ #multi #network
Multi-domain clock skew scheduling-aware register placement to optimize clock distribution network (NM, MM, AJ, MSZ), pp. 833–838.
DRRDRR-2009-BeusekomSB #detection #documentation #image #independence
Resolution Independent Skew and Orientation Detection for document images (JvB, FS, TMB), pp. 1–10.
ICDARICDAR-2009-SadriC #approach #documentation #optimisation
A New Approach for Skew Correction of Documents Based on Particle Swarm Optimization (JS, MC), pp. 1066–1070.
VLDBVLDB-2009-XuK #parallel #performance
Efficient Outer Join Data Skew Handling in Parallel DBMS (YX, PK), pp. 1390–1396.
DACDAC-2008-ChangHHLWL
Type-matching clock tree for zero skew clock gating (CMC, SHH, YKH, JZL, HPW, YSL), pp. 714–719.
DACDAC-2008-NiM #power management #reduction #scheduling
Leakage power-aware clock skew scheduling: converting stolen time into leakage power reduction (MN, SOM), pp. 610–613.
DACDAC-2008-WangLZTYTCN #scheduling
Timing yield driven clock skew scheduling considering non-Gaussian distributions of critical path delays (YW, WSL, XZ, JT, CY, JT, WC, JN), pp. 223–226.
DATEDATE-2008-ChattopadhyayZ #debugging #online
Built-in Clock Skew System for On-line Debug and Repair (AC, ZZ), pp. 248–251.
SIGMODSIGMOD-2008-XuKZC #parallel
Handling data skew in parallel joins in shared-nothing systems (YX, PK, XZ, LC), pp. 1043–1052.
ICMLICML-2008-KondorB #graph
The skew spectrum of graphs (RK, KMB), pp. 496–503.
ICPRICPR-2008-RicamatoMT #comparison #empirical
MCS-based balancing techniques for skewed classes: An empirical comparison (MTR, CM, FT), pp. 1–4.
ICPRICPR-2008-SeiffertKHN #classification #named #performance
RUSBoost: Improving classification performance when training data is skewed (CS, TMK, JVH, AN), pp. 1–4.
DACDAC-2007-RoyMC #nondeterminism
Effects of Coupling Capacitance and Inductance on Delay Uncertainty and Clock Skew (AR, NHM, MHC), pp. 184–187.
DACDAC-2007-SeomunKS
Skewed Flip-Flop Transformation for Minimizing Leakage in Sequential Circuits (JS, JK, YS), pp. 103–106.
DATEDATE-2007-LinFYL #design #encryption #hardware
Overcoming glitches and dissipation timing skews in design of DPA-resistant cryptographic hardware (KJL, SCF, SHY, CCL), pp. 1265–1270.
ICDARICDAR-2007-EgoziDCF #algorithm #detection
An EM Based Algorithm for Skew Detection (AE, ID, JC, MCF), pp. 277–281.
ICDARICDAR-2007-LuWT #detection #documentation #performance
Fast and Accurate Detection of Document Skew and Orientation (SJL, JW, CLT), pp. 684–688.
ICDARICDAR-2007-SuZHZ07a #detection
Skew Detection for Chinese Handwriting by Horizontal Stroke Histogram (THS, TWZ, HJH, YZ), pp. 899–903.
DACDAC-2006-WangDC #approach #named #scheduling #tool support
ExtensiveSlackBalance: an approach to make front-end tools aware of clock skew scheduling (KW, LD, XC), pp. 951–954.
DACDAC-2006-WongC #matrix #performance
A fast passivity test for descriptor systems via structure-preserving transformations of Skew-Hamiltonian/Hamiltonian matrix pencils (NW, CKC), pp. 261–266.
DATEDATE-2006-ChakrabortySDMMP #bound #optimisation
Thermal resilient bounded-skew clock tree optimization methodology (AC, PS, KD, AM, EM, MP), pp. 832–837.
DATEDATE-2006-KimH
Associative skew clock routing for difficult instances (MSK, JH), pp. 762–767.
DATEDATE-2006-VenkataramanHLS #optimisation
Integrated placement and skew optimization for rotary clocking (GV, JH, FL, CCNS), pp. 756–761.
SIGMODSIGMOD-2006-KornMW #data type #modelling
Modeling skew in data streams (FK, SM, YW), pp. 181–192.
ICPRICPR-v1-2006-BartelsWM #generative #using
DTM Generation from LIDAR Data using Skewness Balancing (MB, HW, DCM), pp. 566–569.
ICPRICPR-v2-2006-DhandraMHH #approach #detection #documentation #image
Skew Detection in Binary Image Documents Based on Image Dilation and Region labeling Approach (BVD, VSM, MH, RH), pp. 954–957.
DACDAC-2005-HuangNL #scheduling
Race-condition-aware clock skew scheduling (SHH, YTN, FPL), pp. 475–478.
DocEngDocEng-2005-AvilaL #algorithm #detection #documentation #image #performance
A fast orientation and skew detection algorithm for monochromatic document images (BTÁ, RDL), pp. 118–126.
ICDARICDAR-2005-AminW #detection #documentation #robust
Robust Skew Detection in mixed Text/Graphics Documents (AA, SW), pp. 247–251.
ICDARICDAR-2005-DongPKS #word
Cursive word skew/slant corrections based on Radon transform (JxD, DP, AK, CYS), pp. 478–483.
ICDARICDAR-2005-ShivakumaraKVRN #documentation #estimation #image #using #word
A New Moments based Skew Estimation Technique using Pixels in the Word for Binary Document Images (PS, GHK, HSV, SR, MRRN), pp. 151–156.
ICDARICDAR-2005-YuanT #documentation #estimation
Skew Estimation for Scanned Documents from “Noises” (BY, CLT), pp. 277–281.
ICMLICML-2005-RayP
Generalized skewing for functions with continuous and nominal attributes (SR, DP), pp. 705–712.
ICMLICML-2005-RosellHRP #learning #why
Why skewing works: learning difficult Boolean functions with greedy tree learners (BR, LH, SR, DP), pp. 728–735.
ICSTSAT-J-2004-Sinopalnikov05 #random #satisfiability
Satisfiability Threshold of the Skewed Random k-SAT (DAS), pp. 263–275.
DACDAC-2004-RajaramHM #variability
Reducing clock skew variability via cross links (AR, JH, RNM), pp. 18–23.
DACDAC-2004-WangM #constraints #power management
Buffer sizing for clock power minimization subject to general skew constraints (KW, MMS), pp. 159–164.
DRRDRR-2004-Najman #documentation #estimation #using
Using mathematical morphology for document skew estimation (LN), pp. 182–191.
ICGTICGT-2004-EhrenfeuchtHHR
Embedding in Switching Classes with Skew Gains (AE, JH, TH, GR), pp. 257–270.
ICMLICML-2004-RayP #algorithm
Sequential skewing: an improved skewing algorithm (SR, DP).
ICDARICDAR-2003-LuT03a #approach #documentation #estimation #nearest neighbour
Improved Nearest Neighbor Based Approach to Accurate Document Skew Estimation (YL, CLT), pp. 503–507.
ICDARICDAR-2003-ShiG #detection #documentation #fuzzy #image #using
Skew Detection for Complex Document Images Using Fuzzy Runlength (ZS, VG), pp. 715–719.
ICDARICDAR-2003-YuanT #detection #documentation #named
Skewscope: The Textual Document Skew Detector (BY, CLT), pp. 49–53.
ICALPICALP-2003-DrosteK
Skew and Infinitary Formal Power Series (MD, DK), pp. 426–438.
SACSAC-2003-ChenL #clustering #dataset #visualisation
Cluster Rendering of Skewed Datasets via Visualization (KC, LL), pp. 909–916.
SIGMODSIGMOD-2002-LiGS
Skew handling techniques in sort-merge join (WL, DG, RTS), pp. 169–180.
ICPRICPR-v1-2002-ZhuY #classification #documentation
A New Textual/Non-Textual Classifier for Document Skew Correction (XZ, XY), pp. 480–482.
ICDARICDAR-2001-PalMC #detection #documentation #multi
Multi-Skew Detection of Indian Script Documents (UP, MM, BBC), pp. 292–296.
KDDKDD-2001-BiFK #mining
The “DGX” distribution for mining massive, skewed data (ZB, CF, FK), pp. 17–26.
DACDAC-2000-LiuNPS
Impact of interconnect variations on the clock skew of a gigahertz microprocessor (YL, SRN, LTP, AJS), pp. 168–171.
ICPRICPR-v3-2000-ShenIT00b #detection #robust #symmetry
Robust Detection of Skewed Symmetries (DS, HHSI, EKT), pp. 7022–7025.
ICPRICPR-v4-2000-OkunP #analysis #automation #documentation #evaluation #generative #layout
Automatic Ground-Truth Generation for Skew-Tolerance Evaluation of Document Layout Analysis Methods (OO, MP), pp. 4376–4379.
DACDAC-1999-LiuPF #performance #scheduling
Maximizing Performance by Retiming and Clock Skew Scheduling (XL, MCP, EGF), pp. 231–236.
ICDARICDAR-1999-ChenD #algorithm #detection #documentation #image #robust
A Robust Skew Detection Algorithm for Grayscale Document Image (MC, XD), pp. 617–620.
ICDARICDAR-1999-JiangBW #clustering #detection #documentation #image #nearest neighbour
Skew Detection of Document Images by Focused Nearest-Neighbor Clustering (XJ, HB, DWK), pp. 629–632.
ICDARICDAR-1999-LehalD #detection #documentation
A Range Free Skew Detection Technique for Digitized Gurmukhi Script Documents (GSL, RD), pp. 147–152.
ICDARICDAR-1999-MaY #documentation #estimation #image
An Enhanced Skew Angle Estimation Technique for Binary Document Images (HM, ZY), pp. 165–168.
ICDARICDAR-1999-MoritaFBGS
Mathematical Morphology and Weighted Least Squares to Correct Handwriting Baseline Skew (MEM, JF, FB, SJAG, RS), pp. 430–433.
ICDARICDAR-1999-OkunPS #documentation #estimation #image #robust
Robust Skew Estimation on Low-Resolution Document Images (OO, MP, JJS), pp. 621–624.
ICDARICDAR-1999-SteinherzIR #analysis #component #detection
Skew Detection via Principal Components Analysis (TS, NI, ER), pp. 153–156.
CIKMCIKM-1998-HaradaAOT #mining #parallel
Dynamic Skew Handling in Parallel Mining of Association Rules (LH, NA, KO, RT), pp. 76–85.
DACDAC-1997-KahngT #bound
More Practical Bounded-Skew Clock Routing (ABK, CWAT), pp. 594–599.
ICDARICDAR-1997-Ali #documentation #image
An Object/Segment Oriented Skew-Correction Technique for Document Images (MBHA), pp. 671–674.
ICDARICDAR-1997-Antonacopoulos #estimation
Local Skew Angle Estimation from Background Space in Text Regions (AA), pp. 684–688.
ICDARICDAR-1997-BagdanovK #algorithm #estimation #image
Projection profile based skew estimation algorithm for JBIG compressed images (ADB, JK), pp. 401–406.
ICDARICDAR-1997-SunS #documentation #image #using
Skew and Slant Correction for Document Images Using Gradient Direction (CS, DS), pp. 142–146.
DACDAC-1996-NevesF #process #scheduling
Optimal Clock Skew Scheduling Tolerant to Process Variations (JLN, EGF), pp. 623–628.
DACDAC-1996-XiD #design #power management
Useful-Skew Clock Routing With Gate Sizing for Low Power Design (JGX, WWMD), pp. 383–388.
SIGMODSIGMOD-1996-GangulyGMS #estimation
Bifocal Sampling for Skew-Resistant Join Size Estimation (SG, PBG, YM, AS), pp. 271–281.
VLDBVLDB-1996-FaloutsosMS #modelling #multi #using
Modeling Skewed Distribution Using Multifractals and the “80-20” Law (CF, YM, AS), pp. 307–317.
ICPRICPR-1996-JiangHF #approach #detection #documentation #performance
A fast approach to detect and correct skew documents (HFJ, CCH, KCF), pp. 742–746.
ICPRICPR-1996-MinCL #documentation #estimation #performance #reduction
A data reduction method for efficient document skew estimation based on Hough transformation (YM, SBC, YL), pp. 732–736.
DACDAC-1995-DeokarS #fresh look #optimisation
A Fresh Look at Retiming Via Clock Skew Optimization (RBD, SSS), pp. 310–315.
DACDAC-1995-HuangKT #bound #on the #problem
On the Bounded-Skew Clock and Steiner Routing Problems (DJHH, ABK, CWAT), pp. 508–513.
ICDARICDAR-v2-1995-ChenHP95a #automation #documentation #estimation #image
Automatic text skew estimation in document images (SSC, RMH, ITP), pp. 1153–1156.
ICDARICDAR-v2-1995-RondelB #documentation #estimation #image #multi
Cooperation of multi-layer perceptrons for the estimation of skew angle in text document images (NR, GB), pp. 1141–1144.
ICDARICDAR-v2-1995-Smith #algorithm #detection #performance
A simple and efficient skew detection algorithm via text row accumulation (RS), pp. 1145–1148.
ICDARICDAR-v2-1995-YuTS #detection #documentation
Document skew detection based on the fractal and least squares method (CLY, YYT, CYS), pp. 1149–1152.
DACDAC-1994-Edahiro #algorithm #performance
An Efficient Zero-Skew Routing Algorithm (ME), pp. 375–380.
DACDAC-1994-ZhuW94a
Clock Skew Minimization During FPGA Placement (KZ, DFW), pp. 232–237.
DACDAC-1993-Edahiro #algorithm #clustering #optimisation
A Clustering-Based Optimization Algorithm in Zero-Skew Routings (ME), pp. 612–616.
DACDAC-1993-PullelaMP #optimisation #reliability #using
Reliable Non-Zero Skew Clock Trees Using Wire Width Optimization (SP, NM, LTP), pp. 165–170.
ICDARICDAR-1993-CullenE #documentation #image #segmentation
Weak model-dependent page segmentation and skew correction for processing document images (JFC, KE), pp. 757–760.
ICDARICDAR-1993-Ishitani #complexity #detection #documentation
Document skew detection based on local region complexity (YI), pp. 49–52.
DACDAC-1992-ChaoHH
Zero Skew Clock Net Routing (THC, YCH, JMH), pp. 518–523.
VLDBVLDB-1992-DeWittNSS #parallel
Practical Skew Handling in Parallel Joins (DJD, JFN, DAS, SS), pp. 27–40.
VLDBVLDB-1992-FaloutsosJ #on the
On B-Tree Indices for Skewed Distributions (CF, HVJ), pp. 363–374.
VLDBVLDB-1990-DanDY #data access
The Effect of Skewed Data Access on Buffer Hits and Data Contention an a Data Sharing Environment (AD, DMD, PSY), pp. 419–431.
VLDBVLDB-1990-KitsuregawaO #database #parallel #robust
Bucket Spreading Parallel Hash: A New, Robust, Parallel Hash Join Method for Data Skew in the Super Database Computer (SDC) (MK, YO), pp. 210–221.
VLDBVLDB-1988-Lynch #database #estimation #optimisation #query #scalability
Selectivity Estimation and Query Optimization in Large Databases with Highly Skewed Distribution of Column Values (CAL), pp. 240–251.
ICSEICSE-1981-Hulten #data access
An Index Organization for Applications with Highly Skewed Access Patterns (CH), pp. 71–78.

Bibliography of Software Language Engineering in Generated Hypertext (BibSLEIGH) is created and maintained by Dr. Vadim Zaytsev.
Hosted as a part of SLEBOK on GitHub.