BibSLEIGH
BibSLEIGH corpus
BibSLEIGH tags
BibSLEIGH bundles
BibSLEIGH people
CC-BY
Open Knowledge
XHTML 1.0 W3C Rec
CSS 2.1 W3C CanRec
email twitter
Used together with:
base (15)
secur (12)
system (12)
model (10)
databas (7)

Stem multilevel$ (all stems)

68 papers:

ICMLICML-c1-2014-NguyenPNVB #clustering #multi #parametricity
Bayesian Nonparametric Multilevel Clustering with Group-Level Contexts (TVN, DQP, XN, SV, HB), pp. 288–296.
ICPRICPR-2014-BeraM #multi #realtime #using
Realtime Multilevel Crowd Tracking Using Reciprocal Velocity Obstacles (AB, DM), pp. 4164–4169.
IFLIFL-2013-AljabriLT #design #haskell #implementation #parallel
The Design and Implementation of GUMSMP: a Multilevel Parallel Haskell Implementation (MA, HWL, PWT), p. 37.
KDIRKDIR-KMIS-2013-SmirnovSK #approach #multi #self #smarttech
Multilevel Self-Organization in Smart Environment — Service-Oriented Approach (AVS, NS, AK), pp. 290–297.
DACDAC-2012-OuCC #constraints #multi
Non-uniform multilevel analog routing with matching constraints (HCO, HCCC, YWC), pp. 549–554.
DATEDATE-2012-Voyiatzis #concurrent #logic #monitoring #multi
Input vector monitoring on line concurrent BIST based on multilevel decoding logic (IV), pp. 1251–1256.
CSCWCSCW-2012-KeeganGC #analysis #collaboration #editing #multi #network #statistics #wiki
Do editors or articles drive collaboration?: multilevel statistical network analysis of wikipedia coauthorship (BK, DG, NSC), pp. 427–436.
ICPRICPR-2012-AttamimiNN #markov #multi #recognition #using
Hierarchical multilevel object recognition using Markov model (MA, TN, TN), pp. 2963–2966.
WICSAWICSA-2011-PetrovBN #analysis #architecture #design #enterprise #multi
The Need for a Multilevel Context-Aware Software Architecture Analysis and Design Method with Enterprise and System Architecture Concerns as First Class Entities (PP, UB, RLN), pp. 147–156.
CASECASE-2011-PampuriSFN #multi
Multilevel Lasso applied to Virtual Metrology in semiconductor manufacturing (SP, AS, GF, GDN), pp. 244–249.
SACSAC-2011-RodriguezSV #approach #composition #execution #multi
Executable model composition: a multilevel approach (CR, MES, JV), pp. 877–884.
ESEC-FSEESEC-FSE-2011-DemuthLE #consistency #flexibility #modelling #multi
Cross-layer modeler: a tool for flexible multilevel modeling with consistency checking (AD, RELH, AE), pp. 452–455.
CASECASE-2010-SchirruPN10a #multi #process #statistics
Multilevel statistical process control of asynchronous multi-stream processes in semiconductor manufacturing (AS, SP, GDN), pp. 57–62.
CSCWCSCW-2010-CollierH #multi #peer-to-peer
Sending mixed signals: multilevel reputation effects in peer-to-peer lending markets (BCC, RH), pp. 197–206.
CIKMCIKM-2010-FangS #approximate #information retrieval #matrix #multi
Hypergraph-based multilevel matrix approximation for text information retrieval (HrF, YS), pp. 1597–1600.
CIKMCIKM-2010-FangSS #clustering #learning #multi
Multilevel manifold learning with application to spectral clustering (HrF, SS, YS), pp. 419–428.
ICPRICPR-2010-ZengM #detection #multi #people #robust
Robust Head-Shoulder Detection by PCA-Based Multilevel HOG-LBP Detector for People Counting (CZ, HM), pp. 2069–2072.
DACDAC-2009-JiangHCC #multi
Spare-cell-aware multilevel analytical placement (ZWJ, MKH, YWC, KYC), pp. 430–435.
HCIDHM-2009-DzaackU #analysis #modelling #multi #performance #safety
Multilevel Analysis of Human Performance Models in Safety-Critical Systems (JD, LU), pp. 375–383.
CIKMCIKM-2009-GuoZGZS #categorisation #multi #semantics
Product feature categorization with multilevel latent semantic association (HG, HZ, ZG, XZ, ZS), pp. 1087–1096.
SLESLE-2009-MalletLAGT #automation #domain model #implementation #modelling #multi #process
An Automated Process for Implementing Multilevel Domain Models (FM, FL, CA, SG, FT), pp. 314–333.
CASECASE-2006-EurschHSZMK #automation #concept #multi
A Multilevel Automation Concept for the Target-Based Production of Radioisotopes for Cancer Treatment (AE, MH, MS, MFZ, JM, EK), pp. 51–56.
DACDAC-2006-LaiR #megamodelling #multi #performance #robust
A multilevel technique for robust and efficient extraction of phase macromodels of digitally controlled oscillators (XL, JSR), pp. 1017–1022.
DACDAC-2006-YangCGJ #algorithm #matrix #parallel #rank #scalability
A parallel low-rank multilevel matrix compression algorithm for parasitic extraction of electrically large structures (CY, SC, DG, VJ), pp. 1053–1056.
DATEDATE-2006-KavousianosKN #multi #performance #testing #using
Efficient test-data compression for IP cores using multilevel Huffman coding (XK, EK, DN), pp. 1033–1038.
SACSAC-2006-CorreaZS #multi #operating system
Operating system multilevel load balancing (MC, AFZ, RS), pp. 1467–1471.
DACDAC-2005-GopeCJ #3d #multi #named #performance
DiMES: multilevel fast direct solver based on multipole expansions for parasitic extraction of massively coupled 3D microelectronic structures (DG, IC, VJ), pp. 159–162.
DACDAC-2005-HoCCC #architecture #multi
Multilevel full-chip routing for the X-based architecture (TYH, CFC, YWC, SJC), pp. 597–602.
ICEISICEIS-v1-2005-KaurSS #algorithm #concurrent #database #distributed #multi
Secure Concurrency Control Algorithm for Multilevel Secure Distributed Database Systems (NK, RS, HKS), pp. 267–272.
KDDKDD-2005-DhillonGK #algorithm #clustering #graph #kernel #multi #performance
A fast kernel-based multilevel algorithm for graph clustering (ISD, YG, BK), pp. 629–634.
MLDMMLDM-2005-SharmaVTV #approach #database #mining #multi #novel
A Novel Approach of Multilevel Positive and Negative Association Rule Mining for Spatial Databases (LKS, OPV, UST, RV), pp. 620–629.
DACDAC-2004-GopeCJ #matrix #modelling #multi #performance #rank
A fast parasitic extractor based on low-rank multilevel matrix compression for conductor and dielectric modeling in microelectronics and MEMS (DG, SC, VJ), pp. 794–799.
DATEDATE-v2-2004-XiongH #multi
Full-Chip Multilevel Routing for Power and Signal Integrity (JX, LH), pp. 1116–1123.
ICPRICPR-v2-2004-WuLM #image #interactive #metric #multi #named #retrieval
WillHunter: Interactive Image Retrieval with Multilevel Relevance Measurement (HW, HL, SM), pp. 1009–1012.
DACDAC-2003-CongY #multi
Multilevel global placement with retiming (JC, XY), pp. 208–213.
DACDAC-2003-LeeCHY #multi #scalability #using
Multilevel floorplanning/placement for large-scale modules using B*-trees (HCL, YWC, JMH, HHY), pp. 812–817.
SEKESEKE-2002-TortoraSVD #learning #multi
A multilevel learning management system (GT, MS, GV, PD), pp. 541–547.
UMLUML-2001-AtkinsonK #metamodelling #multi
The Essence of Multilevel Metamodeling (CA, TK), pp. 19–33.
ICPRICPR-v4-2000-GotoA #multi
Character Pattern Extraction Based on Local Multilevel Thresholding and Region Growing (HG, HA), pp. 4430–4433.
DACDAC-1999-KarypisK #clustering #multi
Multilevel k-way Hypergraph Partitioning (GK, VK), pp. 343–348.
CAiSECAiSE-1999-KangFSKM #multi #workflow
A Multilevel Secure Workflow Management System (MHK, JNF, APS, KK, JAM), pp. 271–285.
DACDAC-1998-ChouW #equation #multi #parametricity
Multilevel Integral Equation Methods for the Extraction of Substrate Coupling Parameters in Mixed-Signal IC’s (MC, JW), pp. 20–25.
DACDAC-1998-KravetsS #logic #multi #named #synthesis
M32: A Constructive multilevel Logic Synthesis System (VNK, KAS), pp. 336–341.
DACDAC-1997-AlpertHK #clustering #multi
Multilevel Circuit Partitioning (CJA, JHH, ABK), pp. 530–533.
DACDAC-1997-KarypisAKS #clustering #multi
Multilevel Hypergraph Partitioning: Application in VLSI Domain (GK, RA, VK, SS), pp. 526–529.
SACSAC-1997-Panda #approach #multi #transaction
An alternative approach to serialization of multilevel secure Transactions (BP), pp. 134–135.
DACDAC-1996-TsaiM #logic #multi #synthesis
Multilevel Logic Synthesis for Arithmetic Functions (CCT, MMS), pp. 242–247.
VLDBVLDB-1996-Cuppens #analysis #database #logic #multi #query
Querying a Multilevel Database: A Logical Analysis (FC), pp. 484–494.
ICPRICPR-1996-LuoTB #algorithm #fuzzy #multi
A new multilevel line-based stereo vision algorithm based on fuzzy techniques (AL, WT, HB), pp. 383–387.
ICDARICDAR-v2-1995-DeforgesB #detection #documentation #image #multi #performance #robust #segmentation
Segmentation of Complex Documents Multilevel Images: A Robust and Fast Text Bodies-Headers Detection and Extraction Scheme 770 (OD, DB), pp. 770–773.
DATEEDAC-1994-BurgunDGPS #complexity #logic #multi #synthesis
Multilevel Logic Synthesis of Very High Complexity Circuits (LB, ND, AG, EP, CS), p. 669.
CIKMCIKM-1994-PissinouMP #framework #modelling #multi #towards
Towards a Framework for Integrating Multilevel Secure Models and Temporal Data Models (NP, KM, EKP), pp. 280–287.
SACSAC-1994-PandaPH #database #multi #query #transaction
Secure transaction management and query processing in multilevel secure database systems (BP, WP, RAH), pp. 363–368.
CIKMCIKM-1993-Anderson #approach #database #deduction #knowledge base #multi #problem #statistics
A Dynamic Knowledge Based Approach to the Problem of Deduction in a Non-Statistical Multilevel Secure Database (MA), pp. 154–163.
DACDAC-1992-HsuS #algebra #logic #multi #synthesis
Coalgebraic Division for Multilevel Logic Synthesis (WJH, WZS), pp. 438–442.
DACDAC-1991-MontessoroG #concurrent #fault #multi #named #performance #simulation
Creator: General and Efficient Multilevel Concurrent Fault Simulation (PLM, SG), pp. 160–163.
SIGMODSIGMOD-1991-JajodiaS #multi #relational #towards
Towards a Multilevel Secure Relational Data Model (SJ, RSS), pp. 50–59.
DACDAC-1990-AbouzeidSSP #multi #synthesis
Multilevel Synthesis Minimizing the Routing Factor (PA, KS, GS, FP), pp. 365–368.
SIGMODSIGMOD-1990-BadrinathR #concurrent #evaluation #multi #performance #protocol #semantics
Performance Evaluation of Semantics-based Multilevel Concurrency Control Protocols (BRB, KR), pp. 163–172.
SIGMODSIGMOD-1990-KoganJ #architecture #concurrent #database #multi
Concurrency Control in Multilevel-Secure Databases Based on Replicated Architecture (BK, SJ), pp. 153–162.
SIGMODSIGMOD-1987-Morgenstern #database #knowledge base #multi #security
Security and Inference in Multilevel Database and Knowledge-Base Systems (MM), pp. 357–373.
PODSPODS-1986-GuchtF #multi #relational
Some Classes of Multilevel Relational Structures (DVG, PCF), pp. 60–69.
DACDAC-1982-NestorT #design #implementation #multi #representation #simulation
Defining and implementing a multilevel design representation with simulation applications (JAN, DET), pp. 740–746.
PODSPODS-1982-Lynch #multi
Multilevel Atomicity (NAL), pp. 63–69.
ICGTGG-1978-Ollongren #graph grammar #multi #on the
On Multilevel-Graph Grammars (AO), pp. 341–349.
SOSPSOSP-1977-FeiertagLR #design #multi #proving #security
Proving Multilevel Security of a System Design (RJF, KNL, LR), pp. 57–65.
ICSEICSE-1976-BillawalaST #data type #multi #simulation
A Data Structure and Drive Mechanism for a Table-Driven Simulation System Employing Multilevel Structural Representations of Digital Systems (NB, SAS, EWT), pp. 151–157.
SIGMODSIGFIDET-1970-BracchiFS #data type #multi
A Multilevel Data Structure for Complex Hierarchies of Interrelated Data (GB, DF, MS), pp. 246–275.

Bibliography of Software Language Engineering in Generated Hypertext (BibSLEIGH) is created and maintained by Dr. Vadim Zaytsev.
Hosted as a part of SLEBOK on GitHub.