BibSLEIGH
BibSLEIGH corpus
BibSLEIGH tags
BibSLEIGH bundles
BibSLEIGH people
EDIT!
CC-BY
Open Knowledge
XHTML 1.0 W3C Rec
CSS 2.1 W3C CanRec
email twitter
low cost
Google low cost

Tag #low cost

163 papers:

CGOCGO-2020-ParkLZM #fault #predict
Low-cost prediction-based fault protection strategy (SP, SL, ZZ, SAM), pp. 30–42.
ICMLICML-2019-Wang
Gaining Free or Low-Cost Interpretability with Interpretable Partial Substitute (TW), pp. 6505–6514.
CASECASE-2019-GuoWYGMA #robust
Blue Gripper: A Robust, Low-Cost, and Force-Controlled Robot Hand (MG, PW, BY, DVG, SM, PA), pp. 1505–1510.
CASECASE-2019-WiggertABCVVG #delivery #named #open source #precise
RAPID-MOLT: A Meso-scale, Open-source, Low-cost Testbed for Robot Assisted Precision Irrigation and Delivery (MW, LA, RB, SC, JV, SV, KG), pp. 1489–1496.
CCCC-2019-RenwickSF #c++ #embedded #exception
Low-cost deterministic C++ exceptions for embedded systems (JR, TS, BF), pp. 76–86.
ICFP-2018-DiehlFS #dependent type #reuse
Generic zero-cost reuse for dependent types (LD, DF, AS), p. 30.
CASECASE-2018-SiguenzaLC #design #development #hybrid
Design and Development of a Low Cost Hybrid Sensor System for Welding Manufacturing (MS, PL, FC), pp. 574–579.
ICSTICST-2018-SchwarzlH #framework #platform #testing
Systematic Test Platform Selection: Reducing Costs for Testing Software-Based Automotive E/E Systems (CS, JH), pp. 374–383.
CoGVS-Games-2017-KontadakisCPM #3d #using
Gamified 3D orthopaedic rehabilitation using low cost and portable inertial sensors (GK, DC, DP, KM), pp. 165–168.
ECIRECIR-2017-HuiB17a
Low-Cost Preference Judgment via Ties (KH, KB), pp. 626–632.
ICSTICST-2017-GambiGZ #exclamation #in the cloud #testing
O!Snap: Cost-Efficient Testing in the Cloud (AG, AG, AZ), pp. 454–459.
JCDLJCDL-2016-HinzeBCD #effectiveness #library #metadata #semantics
Low-cost Semantic Enhancement to Digital Library Metadata and Indexing: Simple Yet Effective Strategies (AH, DB0, SJC, JSD), pp. 93–102.
ICPRICPR-2016-TianMO #detection #embedded #recognition #using
Spoofing detection for embedded face recognition system using a low cost stereo camera (GT, TM, YO), pp. 1017–1022.
ASPLOSASPLOS-2016-AwadMHSH #in memory #memory management
Silent Shredder: Zero-Cost Shredding for Secure Non-Volatile Main Memory Controllers (AA, PKM, SH, YS, WH), pp. 263–276.
CASECASE-2016-LiSXSL #framework #hybrid #using
A hybrid model and kinematic-free control framework for a low-cost deformable manipulator using in home service (GL, LS0, SX, DS, JL), pp. 1002–1007.
CBSECBSE-2015-DanielsPCJH #component #metadata #named #pervasive
Refraction: Low-Cost Management of Reflective Meta-Data in Pervasive Component-Based Applications (WD, JP, DC, WJ, DH), pp. 27–36.
SIGITESIGITE-2015-BradyWGAW #learning #programmable #smarttech
The CCL-Parallax Programmable Badge: Learning with Low-Cost, Communicative Wearable Computers (CEB, DW, KG, GA, UW), pp. 139–144.
CHI-PLAYCHI-PLAY-2015-HarmsSWKG #experience #gamification #online #user interface
Low-Cost Gamification of Online Surveys: Improving the User Experience through Achievement Badges (JH, DS, CW, KK, TG), pp. 109–113.
HCIHCI-IT-2015-BhanushaliMV #embedded #gesture #interface #recognition
A Dome-Shaped Interface Embedded with Low-Cost Infrared Sensors for Car-Game Control by Gesture Recognition (JB, SPM, KV), pp. 575–583.
HCIHIMI-IKC-2015-Bretschneider-Hagemes #development #mobile #multi #question #simulation
Development of a New Low Cost Driving Simulation for Assessing Multidimensional Task Loads Caused by Mobile ICT at Drivers’ Workplaces. — Objective-Fidelity Beats Equipment-Fidelity? (MBH), pp. 173–179.
KDDKDD-2015-BabaKNKGIAKIHKS #predict
Predictive Approaches for Low-Cost Preventive Medicine Program in Developing Countries (YB, HK, YN, EK, PPG, RIM, AA, MK, SI, TH, MK, SS, KK, KT, MS, MB, NU, MK, NN), pp. 1681–1690.
ASEASE-2015-SarkarGSAC #configuration management #performance #predict
Cost-Efficient Sampling for Performance Prediction of Configurable Systems (T) (AS, JG, NS, SA, KC), pp. 342–352.
SACSAC-2015-XiongN #estimation #power management
Cost-efficient and attack-resilient approaches for state estimation in power grids (KX, PN), pp. 2192–2197.
DACDAC-2015-CampbellVPC #detection #fault #synthesis
High-level synthesis of error detecting cores through low-cost modulo-3 shadow datapaths (KAC, PV, DZP, DC), p. 6.
DATEDATE-2015-HernandezA #safety
Low-cost checkpointing in automotive safety-relevant systems (CH, JA), pp. 91–96.
DATEDATE-2015-ParkAHYL #big data #energy #gpu #memory management #performance
Memory fast-forward: a low cost special function unit to enhance energy efficiency in GPU for big data processing (EP, JA, SH, SY, SL), pp. 1341–1346.
HPCAHPCA-2015-IslamMRW
Paying to save: Reducing cost of colocation data center via rewards (MAI, AHM, SR, XW), pp. 235–245.
PDPPDP-2015-ByholmJALLP #in the cloud
Cost-Efficient, Utility-Based Caching of Expensive Computations in the Cloud (BB, FJ, AA, SL, JL, IP), pp. 505–513.
PODSPODS-2014-BenediktCT #generative #proving
Generating low-cost plans from proofs (MB, BtC, ET), pp. 200–211.
VLDBVLDB-2014-CaoYX #database #performance
Realization of the Low Cost and High Performance MySQL Cloud Database (WC, FY, JX), pp. 1742–1747.
EDMEDM-2014-Brawner
Data Sharing: Low-Cost Sensors for Affect and Cognition (KWB), pp. 349–350.
ICFPICFP-2014-BreitnerEJW #haskell
Safe zero-cost coercions for Haskell (JB, RAE, SLPJ, SW), pp. 189–202.
CoGCIG-2014-GaudlB #behaviour #biology #modelling #realtime
Extended ramp goal module: Low-cost behaviour arbitration for real-time controllers based on biological models of dopamine cells (SEG, JJB), pp. 1–8.
CHICHI-2014-GocTIK #3d #interactive #mobile
A low-cost transparent electric field sensor for 3d interaction on mobile devices (MLG, ST, SI, CK), pp. 3167–3170.
HCIDHM-2014-FacoettiVCR #modelling
A Low Cost Haptic Mouse for Prosthetic Socket Modeling (GF, AV, GC, CR), pp. 508–515.
SEKESEKE-2014-KaurKF #mining #using #web
Using Web Mining to Support Low Cost Historical Vehicle Traffic Analytics (CK, DK, BHF), pp. 365–370.
SACSAC-2014-KlingerLRMLGSFM
A low cost digital operating room (AK, GLdL, VR, GM, GL, VG, FSdS, MDF, MBM), pp. 36–37.
CASECASE-2014-VaskeviciusP0 #locality #recognition
Fitting superquadrics in noisy, partial views from a low-cost RGBD sensor for recognition and localization of sacks in autonomous unloading of shipping containers (NV, KP, AB), pp. 255–262.
DACDAC-2014-GuinZFT
Low-cost On-Chip Structures for Combating Die and IC Recycling (UG, XZ, DF, MT), p. 6.
DACDAC-2014-ZalmanM #communication
A Secure but still Safe and Low Cost Automotive Communication Technique (RZ, AM), p. 5.
DATEDATE-2014-FarbehM #architecture #fault tolerance #memory management #named
PSP-Cache: A low-cost fault-tolerant cache memory architecture (HF, SGM), pp. 1–4.
DATEDATE-2014-LinZH
A low-cost radiation hardened flip-flop (YL, MZ, BH), pp. 1–6.
DATEDATE-2014-NathanS #detection #fault #named
Nostradamus: Low-cost hardware-only error detection for processor cores (RN, DJS), pp. 1–6.
HPDCHPDC-2014-XueYQHD #concurrent #graph #named #performance
Seraph: an efficient, low-cost system for concurrent graph processing (JX, ZY, ZQ, SH, YD), pp. 227–238.
CAVCAV-2014-BrazdilKKN
Minimizing Running Costs in Consumption Systems (TB, DK, AK, PN), pp. 457–472.
SIGITESIGITE-2013-HillC #development #education #framework #hardware #open source #platform #programming #student #using
Using a low-cost open source hardware development platform in teaching young students programming skills (LWH, SC), pp. 63–68.
CHICHI-2013-ZhuZ #agile #automation #named #prototype #tool support
AutoGami: a low-cost rapid prototyping toolkit for automated movable paper craft (KZ, SZ), pp. 661–670.
CASECASE-2013-RockeyPN #evaluation
An evaluation of low-cost sensors for smart wheelchairs (CAR, EMP, WSN), pp. 249–254.
CASECASE-2013-WeeklyRZBNS #detection #matter
Low-cost coarse airborne particulate matter sensing for indoor occupancy detection (KW, DR, LZ, AMB, WWN, CJS), pp. 32–37.
DACDAC-2013-YuanX #fault #logic #named #scalability
InTimeFix: a low-cost and scalable technique for in-situ timing error masking in logic circuits (FY, QX), p. 6.
DATEDATE-2013-AnanthanarayananGP #detection #fault #set #using
Low cost permanent fault detection using ultra-reduced instruction set co-processors (SA, SG, HDP), pp. 933–938.
DATEDATE-2013-GuoYZC #hybrid
Low cost power failure protection for MLC NAND flash storage systems with PRAM/DRAM hybrid buffer (JG, JY, YZ, YC), pp. 859–864.
DATEDATE-2013-HuYH0 #concurrent #multi #named #thread
Orchestrator: a low-cost solution to reduce voltage emergencies for multi-threaded applications (XH, GY, YH, XL), pp. 208–213.
DATEDATE-2013-PorcarelliBBP #industrial #monitoring
Perpetual and low-cost power meter for monitoring residential and industrial appliances (DP, DB, DB, GP), pp. 1155–1160.
HPCAHPCA-2013-LeeKSLSM #architecture #latency
Tiered-latency DRAM: A low latency and low cost DRAM architecture (DL, YK, VS, JL, LS, OM), pp. 615–626.
LCTESLCTES-2013-KhudiaM #control flow #using
Low cost control flow protection using abstract control signatures (DSK, SAM), pp. 3–12.
PDPPDP-2013-PawinskiS #distributed #project management
Cost-Efficient Project Management Based on Distributed Processing Model (GP, KS), pp. 157–163.
LATALATA-2012-AbdullaAS #automaton #problem #reachability
The Minimal Cost Reachability Problem in Priced Timed Pushdown Systems (PAA, MFA, JS), pp. 58–69.
CSCWCSCW-2012-JuAL #game studies #using
Using low cost game controllers to capture data for 6th grade science labs (WJ, UA, SL), pp. 1115–1124.
KDDKDD-2012-Holada
Maximizing return and minimizing cost with the right decision management systems (RH), p. 407.
DACDAC-2012-ChajiJ
Generic low-cost characterization of Vth and mobility variations in LTPS TFTs for non-uniformity calibration of active-matrix OLED displays (GRC, JJ), pp. 182–187.
DACDAC-2012-ChenHKYW
Age-based PCM wear leveling with nearly zero search cost (CHC, PCH, TWK, CLY, CYMW), pp. 453–458.
DACDAC-2012-ForoutanSP #3d #interface #using
Cost-efficient buffer sizing in shared-memory 3D-MPSoCs using wide I/O interfaces (SF, AS, FP), pp. 366–375.
DATEDATE-2012-AkbariSFB #3d #named #performance #reliability
AFRA: A low cost high performance reliable routing for 3D mesh NoCs (SA, AS, MF, RB), pp. 332–337.
DATEDATE-2012-VeljkovicRV #generative #implementation #on the fly #random #testing
Low-cost implementations of on-the-fly tests for random number generators (FV, VR, IV), pp. 959–964.
STOCSTOC-2012-Vegh #algorithm #polynomial #problem
Strongly polynomial algorithm for a class of minimum-cost flow problems with separable convex objectives (LAV), pp. 27–40.
SIGITESIGITE-2011-Cosgrove #learning #network
Bringing together a low-cost networking learning environment (SRC), pp. 101–106.
ICALPICALP-v1-2011-Laekhanukit #algorithm #approximate #set
An Improved Approximation Algorithm for Minimum-Cost Subset k-Connectivity — (BL), pp. 13–24.
CHICHI-2011-CervantesWNS
Infrastructures for low-cost laptop use in Mexican schools (RC, MW, BAN, NS), pp. 945–954.
CHICHI-2011-ParuthiT #internet
Utilizing DVD players as low-cost offline internet browsers (GP, WT), pp. 955–958.
HCIDUXU-v2-2011-WynnS #data analysis #video
Motivating Change and Reducing Cost with the Discount Video Data Analysis Technique (JW, JDS), pp. 321–328.
HCIHCI-ITE-2011-BoulabiarBPC #interactive
A Low-Cost Natural User Interaction Based on a Camera Hand-Gestures Recognizer (MIB, TB, FP, GC), pp. 214–221.
HCIHCI-ITE-2011-TangTLCLL #gesture
Virtual Mouse: A Low Cost Proximity-Based Gestural Pointing Device (SKT, WCT, WWL, KCC, STL, YPL), pp. 491–499.
CIKMCIKM-2011-LianLC #consistency #database #probability
Cost-efficient repair in inconsistent probabilistic databases (XL, YL, LC), pp. 1731–1736.
DACDAC-2011-LiLWCDCHCLHHMBWTWKHC #interface #testing
A low-cost wireless interface with no external antenna and crystal oscillator for cm-range contactless testing (CFL, CYL, CHW, SLC, LMD, CCC, HJH, MYC, JJL, SYH, PCH, HPM, JCB, CWW, CCT, CHW, YSK, CTH, TYC), pp. 771–776.
DACDAC-2011-LiuYX
Re-synthesis for cost-efficient circuit-level timing speculation (YL, FY, QX), pp. 158–163.
DATEDATE-2011-BalasubramanianSMNDKMPPVT #power management #robust
Circuit and DFT techniques for robust and low cost qualification of a mixed-signal SoC with integrated power management system (LB, PS, RKM, PN, RKD, ADK, SM, SP, HP, RCV, ST), pp. 551–554.
DATEDATE-2011-HaronH #fault tolerance #hybrid
Cost-efficient fault-tolerant decoder for hybrid nanoelectronic memories (NZH, SH), pp. 265–268.
DATEDATE-2011-HuangWSLXL #embedded
A specialized low-cost vectorized loop buffer for embedded processors (LH, ZW, LS, HL, NX, CL), pp. 1200–1203.
DATEDATE-2011-KaraklajicFSV #detection #fault #using
Low-cost fault detection method for ECC using Montgomery powering ladder (DK, JF, JMS, IV), pp. 1016–1021.
DATEDATE-2011-MaW #detection #fault #named
LOEDAR: A low cost error detection and recovery scheme for ECC (KM, KW), pp. 1010–1015.
DATEDATE-2011-PalframanKL #detection #fault
Time redundant parity for low-cost transient error detection (DJP, NSK, MHL), pp. 52–57.
LCTESLCTES-2011-ChangH #algorithm
A low-cost wear-leveling algorithm for block-mapping solid-state disks (LPC, LCH), pp. 31–40.
CHICHI-2010-HarrisonH #interactive #mobile #multi #named
Minput: enabling interaction on small mobile devices with high-precision, low-cost, multipoint optical tracking (CH, SEH), pp. 1661–1664.
CHICHI-2010-KuznetsovP #named #persuasion
UpStream: motivating water conservation with low-cost water flow sensing and persuasive displays (SK, EP), pp. 1851–1860.
CHICHI-2010-SambasivanCT #community #named
ViralVCD: tracing information-diffusion paths with low cost media in developing communities (NS, EC, KT), pp. 2607–2610.
ICPRICPR-2010-GiotHR #2d #multimodal #recognition
Low Cost and Usable Multimodal Biometric System Based on Keystroke Dynamics and 2D Face Recognition (RG, BH, CR), pp. 1128–1131.
SIGIRSIGIR-2010-CarteretteKY #evaluation #information retrieval
Low cost evaluation in information retrieval (BC, EK, EY), p. 903.
SIGIRSIGIR-2010-YangMSM #quality
Collecting high quality overlapping labels at low cost (HY, AM, KMS, SM), pp. 459–466.
SACSAC-2010-OssaPSG #algorithm #graph #predict #web
Referrer graph: a low-cost web prediction algorithm (BdlO, AP, JS, JAG), pp. 831–838.
DACDAC-2010-YinL #monitoring
Exploiting reconfigurability for low-cost in-situ test and monitoring of digital PLLs (LY, PL), pp. 929–934.
DATEDATE-2010-HallerB #performance
High-speed clock recovery for low-cost FPGAs (IH, ZFB), pp. 610–613.
DATEDATE-2010-PakerEB #algorithm #architecture #multi #standard
A low cost multi-standard near-optimal soft-output sphere decoder: Algorithm and architecture (ÖP, SE, AB), pp. 1402–1407.
HPCAHPCA-2010-MerinoPG #adaptation #architecture #named
ESP-NUCA: A low-cost adaptive Non-Uniform Cache Architecture (JM, VP, JÁG), pp. 1–10.
HPDCHPDC-2010-MontanerSD #memory management
A practical way to extend shared memory support beyond a motherboard at low cost (HM, FS, JD), pp. 155–166.
ICDARICDAR-2009-AbdulkaderC #fault #learning #multi #using
Low Cost Correction of OCR Errors Using Learning in a Multi-Engine Environment (AA, MRC), pp. 576–580.
HCIHCI-NIMT-2009-BittnerS #named
VersaPatch: A Low Cost 2.5D Capacitive Touch Sensor (RB, MS), pp. 407–416.
HCIHCI-NIMT-2009-WangCKZCXS #flexibility #user interface
Low Cost Flexible Wrist Touch UI Solution (BW, CC, EK, TZ, HC, LX, AOS), pp. 533–541.
CIKMCIKM-2009-MargaritisA #online
Low-cost management of inverted files for online full-text search (GM, SVA), pp. 455–464.
PLDIPLDI-2009-MehraraHHM #hardware #memory management #transaction #using
Parallelizing sequential applications on commodity hardware using a low-cost software transactional memory (MM, JH, PCH, SAM), pp. 166–176.
DACDAC-2009-JiangCCH #design
Matching-based minimum-cost spare cell selection for design changes (IHRJ, HYC, LGC, HBH), pp. 408–411.
DATEDATE-2009-ReordaVMR #embedded
A low-cost SEE mitigation solution for soft-processors embedded in Systems on Pogrammable Chips (MSR, MV, CM, RR), pp. 352–357.
FoSSaCSFoSSaCS-2009-AbdullaM #petri net #reachability
Minimal Cost Reachability/Coverability in Priced Timed Petri Nets (PAA, RM), pp. 348–363.
DATEDATE-2008-VemuJAPG #concurrent #detection #fault #logic
A low-cost concurrent error detection technique for processor control logic (RV, AJ, JAA, SP, RG), pp. 897–902.
TPDLECDL-2007-PuginBF #adaptation #music
Reducing Costs for Digitising Early Music with Dynamic Adaptation (LP, JAB, IF), pp. 471–474.
HCIDHM-2007-YaoMW #3d #using
Low Cost 3D Shape Acquisition System Using Strip Shifting Pattern (LY, LM, DW), pp. 276–285.
HCIHCI-MIE-2007-KimKK #algorithm #architecture
Human-Aided Cleaning Algorithm for Low-Cost Robot Architecture (SK, KK, THK), pp. 366–375.
DATEDATE-2007-AnisN #architecture #debugging #interactive #using
Interactive presentation: Low cost debug architecture using lossy compression for silicon debug (EA, NN), pp. 225–230.
DATEDATE-2007-GburzynskiKO #ad hoc #network #performance #protocol
A tiny and efficient wireless ad-hoc protocol for low-cost sensor networks (PG, BK, WO), pp. 1557–1562.
DATEDATE-2007-MehraraASCBA #fault
Low-cost protection for SER upsets and silicon defects (MM, MA, SS, KC, VB, TMA), pp. 1146–1151.
ASPLOSASPLOS-2006-ShyamCPBA #fault #pipes and filters
Ultra low-cost defect protection for microprocessor pipelines (SS, KC, SP, VB, TMA), pp. 73–82.
DACDAC-2006-ZhouP #agile #embedded #realtime
Rapid and low-cost context-switch through embedded processor customization for real-time and control applications (XZ, PP), pp. 352–357.
DATEDATE-2006-OmanaCRM #detection #fault #reliability
Low-cost and highly reliable detector for transient and crosstalk faults affecting FPGA interconnects (MO, JMC, DR, CM), pp. 170–175.
DATEDATE-2006-SrinivasanTC #automation #multi #online #platform
Online RF checkers for diagnosing multi-gigahertz automatic test boards on low cost ATE platforms (GS, FT, AC), pp. 658–663.
DATEDATE-DF-2006-DielissenHB
Low cost LDPC decoder for DVB-S2 (JD, AH, VB), pp. 130–135.
DATEDATE-DF-2006-SamaPFBR #3d #named #power management
3dID: a low-power, low-cost hand motion capture device (MS, VP, EF, LB, BR), pp. 136–141.
ECIRECIR-2005-FergusonGWS #named
Físréal: A Low Cost Terabyte Search Engine (PF, CG, PW, AFS), pp. 520–522.
SACSAC-2005-LiuSC #distributed #nondeterminism #query
Cost-efficient processing of MIN/MAX queries over distributed sensors with uncertainty (ZL, KCS, JC), pp. 634–641.
DACDAC-2005-NedevschiPB #hardware #power management #recognition #speech #user interface
Hardware speech recognition for user interfaces in low cost, low power devices (SN, RKP, EAB), pp. 684–689.
DATEDATE-2005-KeezerGMT #multi #using
Low-Cost Multi-Gigahertz Test Systems Using CMOS FPGAs and PECL (DCK, CG, AMM, NT), pp. 152–157.
DATEDATE-2005-NegreirosCS #evaluation #using
Noise Figure Evaluation Using Low Cost BIST (MN, LC, AAS), pp. 158–163.
DATEDATE-2005-NolletAMV #migration
Low Cost Task Migration Initiation in a Heterogeneous MP-SoC (VN, PA, JYM, DV), pp. 252–253.
CAiSECAiSE-2004-SebastianiGM #modelling #satisfiability
Simple and Minimum-Cost Satisfiability for Goal Models (RS, PG, JM), pp. 20–35.
ICMLICML-2004-LingYWZ
Decision trees with minimal costs (CXL, QY, JW, SZ).
DATEDATE-DF-2004-JuniorC #design #statistics
Highly Digital, Low-Cost Design of Statistic Signal Acquisition in SoCs (AAdSJ, LC), pp. 10–15.
DATEDATE-DF-2004-SchmittR #design #prototype #using #verification
Verification of a Microcontroller IP Core for System-on-a-Chip Designs Using Low-Cost Prototyping Environments (SS, WR), pp. 96–101.
DATEDATE-v1-2004-ChenG #adaptation #bias #performance #power management #reduction
A Low Cost Individual-Well Adaptive Body Bias (IWABB) Scheme for Leakage Power Reduction and Performance Enhancement in the Presence of Intra-Die Variations (TWC, JG), pp. 240–245.
DATEDATE-v1-2004-NegreirosCS #testing
Low Cost Analog Testing of RF Signal Paths (MN, LC, AAS), pp. 292–297.
DATEDATE-v1-2004-WinkelmannTSF #verification
Cost-Efficient Block Verification for a UMTS Up-Link Chip-Rate Coprocessor (KW, HJT, DS, GF), pp. 162–167.
ICDARICDAR-2003-BrittoSSSB #algorithm #clustering #parallel #using
A Low-Cost Parallel K-Means VQ Algorithm Using Cluster Computing (AdSBJ, PSLdS, RS, SdRSdS, DLB), pp. 839–843.
DACDAC-2003-NegreirosCS
Ultimate low cost analog BIST (MN, LC, AAS), pp. 570–573.
DATEDATE-2003-KranitisXGPZ #self
Low-Cost Software-Based Self-Testing of RISC Processor Cores (NK, GX, DG, AMP, YZ), pp. 10714–10719.
DATEDATE-2003-SyalH #algorithm #fault #identification #novel
A Novel, Low-Cost Algorithm for Sequentially Untestable Fault Identification (MS, MSH), pp. 10316–10321.
DACDAC-2002-AbramoviciYR
Low-cost sequential ATPG with clock-control DFT (MA, XY, EMR), pp. 243–248.
STOCSTOC-2002-CheriyanVV #algorithm #approximate
Approximation algorithms for minimum-cost k-vertex connected subgraphs (JC, SV, AV), pp. 306–312.
SACSAC-2001-ZaninGA #adaptation #named #network
ADAPT — a low-cost videoconference model for personal computers running on IP networks (FAZ, LZG, MJBA), pp. 446–447.
PLDIPLDI-2000-GhemawatRS #analysis #interprocedural
Field analysis: getting useful and low-cost interprocedural information (SG, KHR, DJS), pp. 334–344.
STOCSTOC-2000-FeigenbaumPS #cost analysis
Sharing the cost of muliticast transmissions (JF, CHP, SS), pp. 218–227.
SACSAC-1999-HarwoodS #network
A Method of Trading Diameter for Reduced Degree to Construct Low Cost Interconnection Networks (AH, HS), pp. 474–480.
LCTESLCTES-1999-EcksteinK
Minimizing Cost of Local Variables Access for DSP-Processors (EE, AK), pp. 20–27.
VLDBVLDB-1998-GrovlenHT #query
Low-Cost Compensation-Based Query Processing (ØG, SOH, ØT), pp. 182–193.
ICPRICPR-1998-ArandaCGS #architecture #distance
Low cost architecture for structure measure distance computation (JA, JC, AG, AS), pp. 1592–1594.
SACSAC-1998-Sibai98a #multi #network #scalability
The hyper-ring network: a cost-efficient topology for scalable multicomputers (FNS), pp. 607–612.
DACDAC-1998-GhoshDJ #performance #testing
A Fast and Low Cost Testing Technique for Core-Based System-on-Chip (IG, SD, NKJ), pp. 542–547.
PDPPDP-1997-ChiolaC #named #network
GAMMA: A low-cost network of workstations based on active messages (GC, GC), pp. 78–83.
SACSAC-1996-CucuccioGS #fuzzy #logic #performance
W.A.R.P and FUZZYSTUDIO: an easy and fast way to implement, With low cost, fuzzy logic control systems (AC, BG, GS), pp. 580–585.
VLDBVLDB-1994-OzdenBRS #database
A Low-Cost Storage Server for Movie on Demand Databases (, AB, RR, AS), pp. 594–605.
PLDIPLDI-1994-KurlanderF
Zero-cost Range Splitting (SMK, CNF), pp. 257–265.
DACDAC-1994-AsharM #set
Implicit Computation of Minimum-Cost Feedback-Vertex Sets for Partial Scan and Other Applications (PA, SM), pp. 77–80.
DACDAC-1994-FangG #testing
Clock Grouping: A Low Cost DFT Methodology for Delay Testing (WCF, SKG), pp. 94–99.
DATEEDAC-1994-LinGB #generative #novel
A Low Cost BIST Methodology and Associated Novel Test Pattern Generator (SPL, SKG, MAB), pp. 106–112.
SACSAC-1993-Sen #probability #set #using
Minimal Cost Set Covering Using Probabilistic Methods (SS), pp. 157–164.
VLDBVLDB-1990-GrayHW #array #reliability #throughput
Parity Striping of Disk Arrays: Low-Cost Reliable Storage with Acceptable Throughput (JG, BH, MW), pp. 148–161.
STOCSTOC-1988-GoldbergT
Finding Minimum-Cost Circulations by Canceling Negative Cycles (AVG, RET), pp. 388–397.
DACDAC-1987-KrasniewskiP #self
Circular Self-Test Path: A Low-Cost BIST Technique (AK, SP), pp. 407–415.
STOCSTOC-1987-GoldbergT #approximate #problem
Solving Minimum-Cost Flow Problems by Successive Approximation (AVG, RET), pp. 7–18.
DACDAC-1982-MatsudaFTMNKG #design #layout #named
LAMBDA: A quick, low cost layout design system for master-slice LSI s (TM, TF, KT, HM, HN, FK, SG), pp. 802–808.
DACDAC-1982-SmithW #data transformation #design
A low cost, transportable, data management system for LSI/VLSI design (DCS, BSW), pp. 283–290.
DACDAC-1981-EdmondsonJ #layout #verification
A low cost hierarchical system for VLSI layout and verification (THE, RMJ), pp. 505–510.
DACDAC-1979-Meyer #interactive #performance
A low cost satellite for fast interactive graphics in a time-sharing environment (BM), pp. 39–44.
DACDAC-1976-Feller #automation #layout
Automatic layout of low-cost quick-turnaround random-logic custom LSI devices (AF), pp. 79–85.
DACDAC-1972-Mattison #quality
A high quality, low cost router for MOS/LSI (RLM), pp. 94–103.
DACDAC-1969-Martin #generative
A low cost plotting system for generating electrical/electronic drawings (CDM), pp. 385–394.

Bibliography of Software Language Engineering in Generated Hypertext (BibSLEIGH) is created and maintained by Dr. Vadim Zaytsev.
Hosted as a part of SLEBOK on GitHub.