BibSLEIGH
BibSLEIGH corpus
BibSLEIGH tags
BibSLEIGH bundles
BibSLEIGH people
CC-BY
Open Knowledge
XHTML 1.0 W3C Rec
CSS 2.1 W3C CanRec
email twitter
Used together with:
design (9)
high (8)
level (8)
perform (7)
model (7)

Stem microarchitectur$ (all stems)

56 papers:

DACDAC-2014-CongLXZ #architecture #clustering #reuse
An Optimal Microarchitecture for Stencil Computation Acceleration Based on Non-Uniform Partitioning of Data Reuse Buffers (JC, PL, BX, PZ), p. 6.
DACDAC-2014-HenkelBZRS #architecture #dependence #multi
Multi-Layer Dependability: From Microarchitecture to Application Level (JH, LB, HZ, SR, MS), p. 6.
HPCAHPCA-2014-ElTantawyMOA #architecture #control flow #gpu #multi #performance #scalability
A scalable multi-path microarchitecture for efficient GPU control flow (AE, JWM, MO, TMA), pp. 248–259.
DACDAC-2013-AncajasNCR #architecture
HCI-tolerant NoC router microarchitecture (DMA, JMN, KC, SR), p. 10.
DACDAC-2012-CongL #architecture #metric #optimisation #synthesis
A metric for layout-friendly microarchitecture optimization in high-level synthesis (JC, BL), pp. 1239–1244.
HPCAHPCA-2012-AhnCK #approach #architecture #network #scalability
Network within a network approach to create a scalable high-radix router microarchitecture (JHA, SC, JK), pp. 455–466.
DACDAC-2011-KatzRZS #architecture #behaviour #generative #learning #quality
Learning microarchitectural behaviors to improve stimuli generation quality (YK, MR, AZ, GS), pp. 848–853.
DACDAC-2011-ZhuDC #architecture #cpu #gpu #named
Hermes: an integrated CPU/GPU microarchitecture for IP routing (YZ, YD, YC), pp. 1044–1049.
HPCAHPCA-2011-JacobsonBBAE #abstraction #architecture #modelling #scalability
Abstraction and microarchitecture scaling in early-stage power modeling (HMJ, AB, PB, EA, RJE), pp. 394–405.
DACDAC-2010-OzdemirPDMLC #3d #architecture #parametricity
Quantifying and coping with parametric variations in 3D-stacked microarchitectures (SO, YP, AD, GM, GHL, ANC), pp. 144–149.
DATEDATE-2010-AziziMSPH #architecture #design #framework
An integrated framework for joint design space exploration of microarchitecture and circuits (OA, AM, JPS, SJP, MH), pp. 250–255.
DATEDATE-2010-OmsCBK #architecture #automation #pipes and filters
Automatic microarchitectural pipelining (MGO, JC, DB, MK), pp. 961–964.
WCREWCRE-2010-Sabane #architecture #testing
Improving System Testability and Testing with Microarchitectures (AS), pp. 309–312.
CAVCAV-2010-ChatterjeeK #architecture #automation #communication #generative #induction #invariant #modelling
Automatic Generation of Inductive Invariants from High-Level Microarchitectural Models of Communication Fabrics (SC, MK), pp. 321–338.
HPCAHPCA-2009-LiRKHA #architecture #fault #hardware #modelling
Accurate microarchitecture-level fault modeling for studying hardware faults (MLL, PR, URK, SKSH, SVA), pp. 105–116.
HPCAHPCA-2009-SridharanK #architecture #dependence
Eliminating microarchitectural dependency from Architectural Vulnerability (VS, DRK), pp. 117–128.
DACDAC-2008-DongWSXLC #3d #architecture #evaluation #memory management #ram
Circuit and microarchitecture evaluation of 3D stacking magnetic RAM (MRAM) as a universal memory replacement (XD, XW, GS, YX, HHL, YC), pp. 554–559.
DATEDATE-2008-MarculescuN #architecture #challenge #design #variability
Design Variability: Challenges and Solutions at Microarchitecture-Architecture Level (DM, SRN).
ASPLOSASPLOS-2008-LeeB #adaptation #architecture #performance #roadmap
Efficiency trends and limits from comprehensive microarchitectural adaptivity (BCL, DMB), pp. 36–47.
HPCAHPCA-2008-LeeB #architecture #design #optimisation
Roughness of microarchitectural design topologies and its implications for optimization (BCL, DMB), pp. 240–251.
DACDAC-2007-LimKK #architecture #communication #distributed #synthesis
Interconnect and Communication Synthesis for Distributed Register-File Microarchitecture (KHL, YK, TK), pp. 765–770.
DATEDATE-2007-FeiS #architecture #monitoring #set
Microarchitectural support for program code integrity monitoring in application-specific instruction set processors (YF, ZJS), pp. 815–820.
DATEDATE-2007-MogalB #architecture #reduction
Microarchitecture floorplanning for sub-threshold leakage reduction (HM, KB), pp. 1238–1243.
CGOCGO-2007-AletaCGK #architecture #clustering
Heterogeneous Clustered VLIW Microarchitectures (AA, JMC, AG, DRK), pp. 354–366.
CGOCGO-2007-VaswaniTSJ #architecture #compilation #empirical #modelling #optimisation
Microarchitecture Sensitive Empirical Models for Compiler Optimizations (KV, MJT, YNS, PJJ), pp. 131–143.
HPCAHPCA-2007-LeeB #architecture #design #modelling
Illustrative Design Space Studies with Microarchitectural Regression Models (BCL, DMB), pp. 340–351.
HPCAHPCA-2007-PuttaswamyL #3d #architecture
Thermal Herding: Microarchitecture Techniques for Controlling Hotspots in High-Performance 3D-Integrated Processors (KP, GHL), pp. 193–204.
DATEDATE-2006-HealyVEBLLL #architecture #performance #trade-off
Microarchitectural floorplanning under performance and thermal tradeoff (MBH, MV, ME, CSB, SKL, HHSL, GHL), pp. 1288–1293.
ASPLOSASPLOS-2006-LeeB #architecture #modelling #performance #predict
Accurate and efficient regression modeling for microarchitectural performance and power prediction (BCL, DMB), pp. 185–194.
DACDAC-2005-MarculescuT #architecture #energy #perspective #variability
Variability and energy awareness: a microarchitecture-level perspective (DM, ET), pp. 11–16.
DACDAC-2005-NookalaCLS #approach #architecture #design #statistics #using
Microarchitecture-aware floorplanning using a statistical design of experiments approach (VN, YC, DJL, SSS), pp. 579–584.
DACDAC-2005-ZykovMJVS #architecture #novel #performance #trade-off
High performance computing on fault-prone nanotechnologies: novel microarchitecture techniques exploiting reliability-delay trade-offs (AVZ, EM, MFJ, GdV, AS), pp. 270–273.
HPCAHPCA-2005-BalasubramonianMRV #architecture #performance
Microarchitectural Wire Management for Performance and Power in Partitioned Architectures (RB, NM, KR, VV), pp. 28–39.
DACDAC-2004-Austin #architecture #design #robust
Designing robust microarchitectures (TMA), p. 78.
DACDAC-2004-EkpanyapongMWLL #architecture #design
Profile-guided microarchitectural floorplanning for deep submicron processor design (ME, JRM, TW, HHSL, SKL), pp. 634–639.
DATEDATE-v1-2004-DensmoreRS #architecture #development #framework #refinement
Microarchitecture Development via Metropolis Successive Platform Refinement (DD, SR, ALSV), pp. 346–351.
CGOCGO-2004-AlmogRSS #architecture #energy #optimisation
Specialized Dynamic Optimizations for High-Performance Energy-Efficient Microarchitecture (YA, RR, NS, AS), pp. 137–150.
DACDAC-2003-AtasuPI #architecture #automation #constraints
Automatic application-specific instruction-set extensions under microarchitectural constraints (KA, LP, PI), pp. 256–261.
DACDAC-2003-BorkarKNTKD #architecture #parametricity
Parameter variations and impact on circuits and microarchitecture (SB, TK, SN, JT, AK, VD), pp. 338–342.
DACDAC-2003-CongJRR #architecture #evaluation #physics
Microarchitecture evaluation with physical planning (JC, AJ, GR, MR), pp. 32–35.
OOPSLAOOPSLA-2003-EeckhoutGB #architecture #how #java #source code #virtual machine
How java programs interact with virtual machines at the microarchitectural level (LE, AG, KDB), pp. 169–186.
HPCAHPCA-2003-SakamotoKIAUMK #analysis #architecture #enterprise #performance
Microarchitecture and Performance Analysis of a SPARC-V9 Microprocessor for Enterprise Server Systems (MS, AK, AI, TA, HU, KM, YK), pp. 141–152.
HPCAHPCA-2002-GrochowskiAT #architecture #power management #simulation
Microarchitectural Simulation and Control of di/dt-induced Power Supply Voltage Variation (EG, DA, VT), pp. 7–16.
DACDAC-2001-PetrovO #architecture #embedded
Speeding Up Control-Dominated Applications through Microarchitectural Customizations in Embedded Processors (PP, AO), pp. 512–517.
DATEDATE-2001-IyerM #architecture #power management #scalability
Power aware microarchitecture resource scaling (AI, DM), pp. 190–196.
HPCAHPCA-2001-LeeSNT #architecture #stack
Stack Value File: Custom Microarchitecture for the Stack (HHSL, MS, CJN, GST), pp. 5–14.
CAVCAV-2001-JhalaM #architecture #composition #model checking #verification
Microarchitecture Verification by Compositional Model Checking (RJ, KLM), pp. 396–410.
CAVCAV-2000-HosabettuGS #architecture #exception #verification
Verifying Advanced Microarchitectures that Support Speculation and Exceptions (RH, GG, MKS), pp. 521–537.
ICFPICFP-1999-LaunchburyLC #architecture #design #haskell #on the
On Embedding a Microarchitectural Design Language within Haskell (JL, JRL, BC), pp. 60–69.
CAVCAV-1999-MatthewsL #algebra #architecture
Elementary Microarchitecture Algebra (JM, JL), pp. 288–300.
HPCAHPCA-1997-JanikLM #architecture #pipes and filters
Advances of the Counterflow Pipeline Microarchitecture (KJJ, SLL, MFM), pp. 230–236.
DACDAC-1996-IyerK #architecture #named #self #synthesis
Introspection: A Low Overhead Binding Technique During Self-Diagnosing Microarchitecture Synthesis (BI, RK), pp. 137–142.
DACDAC-1994-HarrisO #architecture #concurrent #design #synthesis
Microarchitectural Synthesis of VLSI Designs with High Test Concurrency (IGH, AO), pp. 206–211.
DACDAC-1994-KarriO #architecture #detection #fault #self #synthesis
Area-Efficient Fault Detection During Self-Recovering Microarchitecture Synthesis (RK, AO), pp. 552–556.
DACDAC-1993-KarriO #architecture #synthesis
High-Level Synthesis of Fault-Secure Microarchitectures (RK, AO), pp. 429–433.
DACDAC-1988-ZandenG #architecture #logic #named
MILO: A Microarchitecture and Logic Optimizer (NVZ, DG), pp. 403–408.

Bibliography of Software Language Engineering in Generated Hypertext (BibSLEIGH) is created and maintained by Dr. Vadim Zaytsev.
Hosted as a part of SLEBOK on GitHub.