BibSLEIGH
BibSLEIGH corpus
BibSLEIGH tags
BibSLEIGH bundles
BibSLEIGH people
CC-BY
Open Knowledge
XHTML 1.0 W3C Rec
CSS 2.1 W3C CanRec
email twitter
Used together with:
process (21)
base (20)
data (15)
system (15)
analysi (14)

Stem pre$ (all stems)

165 papers:

DACDAC-2015-GuoDJFM #formal method #perspective #security #validation #verification
Pre-silicon security verification and validation: a formal perspective (XG, RGD, YJ, FF, PM), p. 6.
DATEDATE-2015-AndradeMVAPL #analysis #data flow #modelling
Pre-simulation symbolic analysis of synchronization issues between discrete event and timed data flow models of computation (LA, TM, AV, CBA, FP, MML), pp. 1671–1676.
CHICHI-2015-LiOT #fault
Exploring the Effect of Pre-operational Priming Intervention on Number Entry Errors (KYL, PO, HWT), pp. 1335–1344.
HCIHCI-DE-2015-MullerT #evaluation #framework
Analytical Steps for the Calibration of an Emotional Framework — Pre-test and Evaluation Procedures (NHM, MT), pp. 512–519.
ICMLICML-2015-GiguereRLM #algorithm #kernel #predict #problem #string
Algorithms for the Hard Pre-Image Problem of String Kernels and the General Problem of String Prediction (SG, AR, FL, MM), pp. 2021–2029.
SIGIRSIGIR-2015-KongLLZCA #predict
Predicting Search Intent Based on Pre-Search Context (WK, RL, JL, AZ, YC, JA), pp. 503–512.
DACDAC-2014-ChienHLYC #testing
Contactless Stacked-die Testing for Pre-bond Interposers (JHC, RSH, HJL, KYY, SCC), p. 6.
DACDAC-2014-MukherjeeL
Leveraging pre-silicon data to diagnose out-of-specification failures in mixed-signal circuits (PM, PL), p. 6.
PLDIPLDI-2014-OhLHYY #context-sensitive grammar
Selective context-sensitivity guided by impact pre-analysis (HO, WL, KH, HY, KY), p. 49.
CHICHI-2014-GreisAHM
I can wait a minute: uncovering the optimal delay time for pre-moderated user-generated content on public displays (MG, FA, NH, NM), pp. 1435–1438.
CHICHI-2014-WanMWR #evaluation #monitoring
Addressing the subtleties in dementia care: pre-study & evaluation of a GPS monitoring system (LW, CM, VW, DWR), pp. 3987–3996.
HCILCT-TRE-2014-NordvallAS #education
Exploring Simulated Provocations — Supporting Pre-Service Teachers’ Reflection on Classroom Management (MN, MA, MS), pp. 182–193.
HCISCSM-2014-AhnMHNHPM #analysis #network #representation #semantics #social #twitter
Social Network Representation and Dissemination of Pre-Exposure Prophylaxis (PrEP): A Semantic Network Analysis of HIV Prevention Drug on Twitter (ZA, MM, JH, YN, CWH, MP, JM), pp. 160–169.
HILTHILT-2014-BaggeH #algebra #api #specification #why
Specification of generic APIs, or: why algebraic may be better than pre/post (AHB, MH), pp. 71–80.
ICEISICEIS-v2-2014-BassoPFR #information management #prototype #web
Assisted Tasks to Generate Pre-prototypes for Web Information Systems (FPB, RMP, RZF, FRF), pp. 14–25.
ICPRICPR-2014-CordellaSFF #classification #random #reliability
Random Forest for Reliable Pre-classification of Handwritten Characters (LPC, CDS, FF, ASdF), pp. 1319–1324.
ICPRICPR-2014-KrishFROB #identification
Pre-registration for Improved Latent Fingerprint Identification (RPK, JF, DRC, JOG, JB), pp. 696–701.
LOPSTRLOPSTR-2014-MoralesH #prolog
Pre-indexed Terms for Prolog (JFM, MVH), pp. 317–331.
ASEASE-2013-0002IP #c #concurrent #named #preprocessor #tool support #verification
CSeq: A concurrency pre-processor for sequential C verification tools (BF, OI, GP), pp. 710–713.
DATEDATE-2013-DeutschC #multi #using
Non-invasive pre-bond TSV test using ring oscillators and multiple voltage levels (SD, KC), pp. 1065–1070.
DocEngDocEng-2013-PinkneyBB #readability
No need to justify your choice: pre-compiling line breaks to improve eBook readability (AJP, SRB, DFB), pp. 237–240.
ICDARICDAR-2013-RaniDL #identification #multi
Script Identification of Pre-segmented Multi-font Characters and Digits (RR, RD, GSL), pp. 1150–1154.
ICSMEICSM-2013-SiebraMSS #framework
The Adventure of Developing a Software Application on a Pre-release Platform: Features and Learned Lessons (CdS, AM, FQBdS, ALMS), pp. 556–559.
CHICHI-2013-NacentaKQK #gesture #set
Memorability of pre-designed and user-defined gesture sets (MAN, YK, YQ, POK), pp. 1099–1108.
HCIDHM-SET-2013-AokiTUOIA #design
Human Centered Design of a Pre-collision System (HA, HT, SU, TO, HI, MA), pp. 3–10.
HCIHCI-AS-2013-PlischkeSPK #specification #standard
Human Adequate Lighting in Optimal Healing Environments — Measuring Non-visual Light Effects of a LED Light Source According to German Draft Pre-standard DIN SPEC 5031-100: 2012 (HP, CS, PP, NK), pp. 163–172.
HCIHCI-III-2013-BockLSWW #automation #classification
Audio-Based Pre-classification for Semi-automatic Facial Expression Coding (RB, KLE, IS, SW, AW), pp. 301–309.
HCIHIMI-HSM-2013-OConnorRZKLDJBVS #empirical
Pre-study Walkthrough with a Commercial Pilot for a Preliminary Single Pilot Operations Experiment (RO, ZR, JZ, RWK, JL, AQVD, WWJ, VB, KPLV, TZS), pp. 136–142.
MLDMMLDM-2013-ParimiC #predict
Pre-release Box-Office Success Prediction for Motion Pictures (RP, DC), pp. 571–585.
RecSysRecSys-2013-CodinaRC #modelling #semantics
Local context modeling with semantic pre-filtering (VC, FR, LC), pp. 363–366.
OOPSLAOOPSLA-2013-SmaragdakisBK #analysis #points-to #preprocessor
Set-based pre-processing for points-to analysis (YS, GB, GK), pp. 253–270.
SIGMODSIGMOD-2012-OhKKL #sorting
Reducing cache misses in hash join probing phase by pre-sorting strategy (abstract only) (GHO, JMK, WHK, SWL), p. 864.
KDIRKDIR-2012-FormosoFCC #performance #recommendation #using
Using Neighborhood Pre-computation to Increase Recommendation Efficiency (VF, DF, FC, VC), pp. 333–335.
KMISKMIS-2012-Damtew #community #health
Accelerating Health Service and Data Capturing Trough Community Health Workers in Rural Ethiopia — A Pre-requisite to Progress (ZAD), pp. 168–177.
KRKR-2012-MaBL #framework
Revising Partial Pre-Orders with Partial Pre-Orders: A Unit-Based Revision Framework (JM, SB, WL).
ICSTICST-2012-DevineGKLL #empirical #fault #industrial #product line
An Empirical Study of Pre-release Software Faults in an Industrial Product Line (TRD, KGP, SK, RRL, JJL), pp. 181–190.
CASECASE-2011-BroderickAT #detection #formal method #industrial
Anomaly detection without a pre-existing formal model: Application to an industrial manufacturing system (JAB, LVA, DMT), pp. 169–174.
DACDAC-2011-AdirNSZMS #validation #verification
Leveraging pre-silicon verification resources for the post-silicon validation of the IBM POWER7 processor (AA, AN, GS, AZ, CM, JS), pp. 569–574.
DACDAC-2011-MillerBHDCB #analysis #testing #validation
A method to leverage pre-silicon collateral and analysis for post-silicon testing and validation (GM, BB, YCH, JD, XC, GB), pp. 575–578.
DACDAC-2011-SingermanAB #transaction #validation
Transaction based pre-to-post silicon validation (ES, YA, SB), pp. 564–568.
DATEDATE-2011-AdirCLNSZMS #validation #verification
A unified methodology for pre-silicon verification and post-silicon validation (AA, SC, SL, AN, GS, AZ, CM, JS), pp. 1590–1595.
DATEDATE-2011-KumarRPB #3d #clustering #testing
Hyper-graph based partitioning to reduce DFT cost for pre-bond 3D-IC testing (AK, SMR, IP, BB), pp. 1424–1429.
DATEDATE-2011-MeynardRFGHD
Enhancement of simple electro-magnetic attacks by pre-characterization in frequency domain and demodulation techniques (OM, DR, FF, SG, NH, JLD), pp. 1004–1009.
DocEngDocEng-2011-PinkneyBB #component #documentation
Reflowable documents composed from pre-rendered atomic components (AJP, SRB, DFB), pp. 163–166.
ITiCSEITiCSE-2011-CooperDLLRSS #development
A pre-college professional development program (SC, WD, DL, PBL, SHR, MS, RHS), pp. 188–192.
ITiCSEITiCSE-2011-LeeR #algorithm #behaviour #towards #visualisation
Toward replicating handmade algorithm visualization behaviors in a digital environment: a pre-study (MHL, GR), pp. 198–202.
ICSMEICSM-2011-KhomhCZSD #fault #predict #testing #using
Predicting post-release defects using pre-release field testing results (FK, BC, YZ, AS, DD), pp. 253–262.
ICEISICEIS-v1-2011-SantosP #data mining #mining #preprocessor #ubiquitous
Enabling Ubiquitous Data Mining in Intensive Care — Features Selection and Data Pre-processing (MS, FP), pp. 261–266.
KMISKMIS-2011-JarosHM #named
PreSti — Neuroscience Stimuli Presentation Software (PJ, PH, RM), pp. 390–393.
OOPSLAOOPSLA-2011-MadhavanK #analysis #approximate #null #verification
Null dereference verification via over-approximated weakest pre-conditions analysis (RM, RK), pp. 1033–1052.
ICSEICSE-2011-FeinRSMGGBCLSMMSD #automation #deployment #tool support #using
Using MATCON to generate CASE tools that guide deployment of pre-packaged applications (EF, NR, SS, PM, SG, RG, MB, SKC, JL, VSS, SM, DM, BS, PD), pp. 1016–1018.
ICSEICSE-2011-LiKZJNMK #difference
Characterizing the differences between pre- and post- release versions of software (PLL, RK, ZZ, SeJ, NN, BM, AJK), pp. 716–725.
DACDAC-2010-KimK #3d #design #synthesis #testing
Clock tree synthesis with pre-bond testability for 3D stacked IC designs (TYK, TK), pp. 723–728.
DACDAC-2010-NahirZGHACBFBK #validation #verification
Bridging pre-silicon verification and post-silicon validation (AN, AZ, RG, AJH, MA, AC, BB, HF, VB, SK), pp. 94–95.
DACDAC-2010-WangM #approximate #named #realtime #scalability #using
PreDVS: preemptive dynamic voltage scaling for real-time systems using approximation scheme (WW, PM), pp. 705–710.
DocEngDocEng-2010-Lumley #documentation #functional #invariant #layout
Pre-evaluation of invariant layout in functional variable-data documents (JWL), pp. 251–254.
DRRDRR-2010-KatsuyamaMHOK #classification
A new pre-classification method based on associative matching method (YK, AM, YH, SO, NK), pp. 1–10.
DRRDRR-2010-SmithLD #preprocessor
Effect of pre-processing on binarization (EHBS, LLS, JD), pp. 1–10.
ICPRICPR-2010-RenHHLC #detection
A Sample Pre-mapping Method Enhancing Boosting for Object Detection (HR, XH, CKH, LL, XC), pp. 3005–3008.
ICMTICMT-2010-CabotCGL #graph transformation #ocl #synthesis
Synthesis of OCL Pre-conditions for Graph Transformation Rules (JC, RC, EG, JdL), pp. 45–60.
OOPSLAOOPSLA-2010-OssherBSAACDVFK #analysis #architecture #challenge #concept #flexibility #modelling #research #tool support
Flexible modeling tools for pre-requirements analysis: conceptual architecture and research challenges (HO, RKEB, IS, DA, AAT, MC, MD, JdV, AF, SK), pp. 848–864.
DATEDATE-2009-ChenL #design
Performance-driven dual-rail insertion for chip-level pre-fabricated design (FWC, YYL), pp. 308–311.
ICDARICDAR-2009-Likforman-SulemDS #documentation #preprocessor
Pre-Processing of Degraded Printed Documents by Non-local Means and Total Variation (LLS, JD, EHBS), pp. 758–762.
ITiCSEITiCSE-2009-ColeKMP #education #named
Musicomputation: a pilot course exploring a pre-college computer science curriculum (MCC, EK, ALM, SP), p. 381.
FASEFASE-2009-Kosiuczenko #implementation #on the
On the Implementation of @pre (PK), pp. 246–261.
HCIHIMI-II-2009-AllamrajuC #clustering #documentation #heuristic #preprocessor
Enhancing Document Clustering through Heuristics and Summary-Based Pre-processing (SHA, RC), pp. 105–113.
RecSysRecSys-2009-PannielloTGPP #comparison #recommendation
Experimental comparison of pre- vs. post-filtering approaches in context-aware recommender systems (UP, AT, MG, CP, AP), pp. 265–268.
CCCC-2009-Padioleau #c #c++ #parsing #preprocessor
Parsing C/C++ Code without Pre-processing (YP), pp. 109–125.
DACDAC-2008-Beers #experience #verification
Pre-RTL formal verification: an intel experience (RB), pp. 806–811.
WCREWCRE-2008-HayesAG #analysis #clustering #named
PREREQIR: Recovering Pre-Requirements via Cluster Analysis (JHH, GA, YGG), pp. 165–174.
ICEISICEIS-HCI-2008-GarciaMDS #interface #learning #visualisation
An Interface Environment for Learning Object Search and Pre-Visualisation (LSG, ROdOM, AID, MSS), pp. 240–247.
CIKMCIKM-2008-HauffHJ #bibliography #performance #predict #query
A survey of pre-retrieval query performance predictors (CH, DH, FdJ), pp. 1419–1420.
CIKMCIKM-2008-LiuLNBMG #dataset #feature model #performance #preprocessor #realtime #scalability
Real-time data pre-processing technique for efficient feature extraction in large scale datasets (YL, LVL, RSN, KB, PM, CLG), pp. 981–990.
ECIRECIR-2008-ZhaoST #effectiveness #performance #predict #query #similarity #using #variability
Effective Pre-retrieval Query Performance Prediction Using Similarity and Variability Evidence (YZ, FS, YT), pp. 52–64.
ICSTICST-2008-KimCKK #model checking
Pre-testing Flash Device Driver through Model Checking Techniques (MK, YC, YK, HK), pp. 475–484.
ICSTSAT-2008-HerasL #clique #preprocessor #satisfiability
A Max-SAT Inference-Based Pre-processing for Max-Clique (FH, JL), pp. 139–152.
QoSAQoSA-2007-DuzbayevP #adaptation
Pre-emptive Adaptation Through Classical Control Theory (ND, IP), pp. 164–181.
HCIHCI-IPT-2007-ChoiY #multi #named #network
MKPS: A Multi-level Key Pre-distribution Scheme for Secure Wireless Sensor Networks (SJC, HYY), pp. 808–817.
KDDKDD-2007-FastFMTJGK #detection #preprocessor #relational
Relational data pre-processing techniques for improved securities fraud detection (ASF, LF, MEM, BJT, DJ, HGG, JK), pp. 941–949.
DACDAC-2006-BerezaTWKP #named
PELE: pre-emphasis & equalization link estimator to address the effects of signal integrity limitations (WB, YT, SW, TAK, RHP), pp. 1013–1016.
DATEDATE-2006-DililloRAG #process #reduction
Minimizing test power in SRAM through reduction of pre-charge activity (LD, PMR, BMAH, PG), pp. 1159–1164.
DATEDATE-2006-Ruiz-SautuaMMH #multi #optimisation #performance
Pre-synthesis optimization of multiplications to improve circuit performance (RRS, MCM, JMM, RH), pp. 1306–1311.
DRRDRR-2006-ZavorinBTH #adaptation #documentation #image
Adaptive pre-OCR cleanup of grayscale document images (IZ, EB, MT, LH).
ICGTICGT-2006-ButtnerG #graph transformation #sequence
Realizing Graph Transformations by Pre- and Postconditions and Command Sequences (FB, MG), pp. 398–413.
ICPRICPR-v2-2006-ZhengL #analysis #component #kernel #learning #locality #problem
Regularized Locality Preserving Learning of Pre-Image Problem in Kernel Principal Component Analysis (WSZ, JHL), pp. 456–459.
ICPRICPR-v2-2006-ZhengLY #kernel #learning #problem
Weakly Supervised Learning on Pre-image Problem in Kernel Methods (WSZ, JHL, PCY), pp. 711–715.
SIGIRSIGIR-2006-AnhM #evaluation #query #using
Pruned query evaluation using pre-computed impacts (VNA, AM), pp. 372–379.
RERE-2006-StoneS #information management
Exposing Tacit Knowledge via Pre-Requirements Tracing (AS, PS), pp. 346–347.
SACSAC-2006-TsvetinovUC #ranking
Dominance and ranking issues applying interval techniques in pre-negotiations for services (PET, AU, TC), pp. 887–888.
CCCC-2006-XueK #fresh look #problem
A Fresh Look at PRE as a Maximum Flow Problem (JX, JK), pp. 139–154.
DACDAC-2005-GuardianiBDMM #effectiveness #process
An effective DFM strategy requires accurate process and IP pre-characterization (CG, MB, ND, MM, PM), pp. 760–761.
ICDARICDAR-2005-FarooqGP #documentation #preprocessor
Pre-processing Methods for Handwritten Arabic Documents (FF, VG, MPP), pp. 267–271.
ICDARICDAR-2005-LorigoG #segmentation
Segmentation and Pre-Recognition of Arabic Handwriting (LML, VG), pp. 605–609.
PLDIPLDI-2005-QuinonesMSMGT #compilation #framework #slicing #thread
Mitosis compiler: an infrastructure for speculative threading based on pre-computation slices (CGQ, CM, FJS, PM, AG, DMT), pp. 269–279.
ICEISICEIS-v1-2005-RamachandranSR #data access
Dynamic Pre-fetching of Views Based on User-Access Patterns in an OLAP System (KR, BS, VVR), pp. 60–67.
RERE-2005-ToyamaO #rule-based #verification
Rule-based Verification of Scenarios with Pre-conditions and Post-conditions (TT, AO), pp. 319–328.
ICSEICSE-2005-NagappanB05a #fault #static analysis #tool support
Static analysis tools as early indicators of pre-release defect density (NN, TB), pp. 580–586.
CGOCGO-2005-OdairaH #dependence #exception
Sentinel PRE: Hoisting beyond Exception Dependency with Dynamic Deoptimization (RO, KH), pp. 328–338.
ICLPICLP-2005-GallagherHB #analysis #scalability
Techniques for Scaling Up Analyses Based on Pre-interpretations (JPG, KSH, GB), pp. 280–296.
DACDAC-2004-LiuM #estimation
Pre-layout wire length and congestion estimation (QL, MMS), pp. 582–587.
DACDAC-2004-YoshidaDB #estimation #standard
Accurate pre-layout estimation of standard cell characteristics (HY, KD, VB), pp. 208–211.
DATEDATE-v1-2004-RanjanVASVG #modelling #performance #synthesis #using
Fast, Layout-Inclusive Analog Circuit Synthesis using Pre-Compiled Parasitic-Aware Symbolic Performance Models (MR, WV, AA, HS, RV, GGEG), pp. 604–609.
ICALPICALP-2004-Katsumata
A Generalisation of Pre-logical Predicates to Simply Typed Formal Systems (SyK), pp. 831–845.
SEFMSEFM-2004-NaiyongJ #co-evolution #design #hardware #modelling #specification
Resource Models and Pre-Compiler Specification for Hardware/Software Co-Design Language (NJ, JH), pp. 132–141.
ICMLICML-2004-NguyenS #clustering #learning #using
Active learning using pre-clustering (HTN, AWMS).
ICPRICPR-v2-2004-CaoK #classification #online
Online Structure Based Chinese Character Pre-Classification (HC, ACK), pp. 395–398.
ICPRICPR-v3-2004-KuijperO #set #symmetry
Transitions of the Pre-Symmetry Set (AK, OFO), pp. 190–193.
SEKESEKE-2004-HessI #ontology #preprocessor
Applying Ontologies in the KDD Pre-Processing Phase (GNH, CI), pp. 366–371.
DATEDATE-2003-RapakaM #analysis #embedded #performance
Pre-Characterization Free, Efficient Power/Performance Analysis of Embedded and General Purpose Software Applications (VSPR, DM), pp. 10504–10509.
ICDARICDAR-2003-AllierDGME #logic
Texture Feature Characterization for Logical Pre-labeling (BA, JD, AG, PM, HE), pp. 567–571.
VLDBVLDB-2003-Jermaine #approximate #estimation #robust
Robust Estimation With Sampling and Approximate Pre-Aggregation (CJ), pp. 886–897.
VLDBVLDB-2003-TsoisS #dependence #optimisation
The Generalized Pre-Grouping Transformation: Aggregate-Query Optimization in the Presence of Dependencies (AT, TKS), pp. 644–655.
CIAACIAA-2003-AllauzenM #algorithm #performance
An Efficient Pre-determinization Algorithm (CA, MM), pp. 83–95.
ICMLICML-2003-KwokT03a #kernel #problem
The Pre-Image Problem in Kernel Methods (JTK, IWT), pp. 408–415.
DATEDATE-2002-PenalbaMH #reuse
Maximizing Conditonal Reuse by Pre-Synthesis Transformations (OP, JMM, RH), p. 1097.
CIKMCIKM-2002-GaoYW #nearest neighbour #query #streaming
Evaluating continuous nearest neighbor queries for streaming time series via pre-fetching (LG, ZY, XSW), pp. 485–492.
CIKMCIKM-2002-LohLAL #analysis #query
Analysis of pre-computed partition top method for range top-k queries in OLAP data cubes (ZXL, TWL, CHA, SYL), pp. 60–67.
ASPLOSASPLOS-2002-KimY #algorithm #compilation #design #evaluation
Design and evaluation of compiler algorithms for pre-execution (DK, DY), pp. 159–170.
DACDAC-2001-LeeT #fault #verification
Pre-silicon Verification of the Alpha 21364 Microprocessor Error Handling System (RL, BT), pp. 822–827.
ICDARICDAR-2001-HoN #classification #constraints
Exploration of Contextual Constraints for Character Pre-Classification (TKH, GN), pp. 450–454.
ICSMEICSM-2001-HarmanHFDH #slicing
Pre/Post Conditioned Slicing (MH, RMH, CF, SD, JH), pp. 138–147.
CIKMCIKM-2001-KimLJH #algorithm #hybrid #named #transaction
O-PreH: Optimistic Transaction Processing Algorithm based on Pre-Reordering in Hybrid Broadcast Environments (SK, SL, SYJ, CSH), pp. 553–555.
TLCATLCA-2001-Leiss #higher-order #independence #representation
Second-Order Pre-Logical Relations and Representation Independence (HL), pp. 298–314.
VLDBVLDB-2000-PedersenJD #reuse
The TreeScape System: Reuse of Pre-Computed Aggregates over Irregular OLAP Hierarchies (TBP, CSJ, CED), pp. 595–598.
ICPRICPR-v1-2000-GolovanYL #detection #image
Pre-Attentive Detection of Perceptually Important Regions in Facial Images (AG, MHY, SWL), pp. 5092–5095.
ICPRICPR-v3-2000-JiangK #3d #adaptation #algorithm #image #search-based
3D MR Image Restoration by Combining Local Genetic Algorithm with Adaptive Pre-Conditioning (TJ, FK), pp. 3302–3305.
POPLPOPL-2000-EsparzaP #algorithm #graph #interprocedural #parallel #performance
Efficient Algorithms for pre* and post* on Interprocedural Parallel Flow Graphs (JE, AP), pp. 1–11.
ISMMISMM-2000-Harris #adaptation
Dynamic Adaptive Pre-Tenuring (TLH), pp. 127–136.
ICDARICDAR-1999-KharmaW #invariant #recognition
A Simple Invariant Mapping Applied to Hand-written Pre-segmented Character Recognition (NNK, RKW), pp. 41–44.
VLDBVLDB-1999-PedersenJD #online
Extending Practical Pre-Aggregation in On-Line Analytical Processing (TBP, CSJ, CED), pp. 663–674.
FMFM-v2-1999-LeavensB #specification
Enhancing the Pre- and Postcondition Technique for More Expressive Specifications (GTL, ALB), pp. 1087–1106.
CIKMCIKM-1999-ProllSRS #web
Ready for Prime Time: Pre-Generation of Web Pages in TIScover (BP, HS, WR, HS), pp. 63–68.
MLDMMLDM-1999-Jahn #image #learning #preprocessor
Unsupervised Learning of Local Mean Gray Values for Image Pre-processing (HJ), pp. 64–74.
HPCAHPCA-1999-JacobsonS #preprocessor
Instruction Pre-Processing in Trace Processors (QJ, JES), pp. 125–129.
CSLCSL-1999-HonsellS
Pre-logical Relations (FH, DS), pp. 546–561.
ASEASE-1998-Ledru #identification #proving #theorem proving
Identifying Pre-Conditions with the Z/EVES Theorem Prover (YL), p. 32–?.
ECIRACIR-1998-Kluck #database #retrieval #testing
German Indexing and Retrieval Test Data Base (GIRT) — Some Results of the Pre-test (MK).
ICPRICPR-1998-HameyYWS #identification #image #preprocessor #segmentation #self
Pre-processing colour images with a self-organising map: baking curve identification and bake image segmentation (LGCH, JCHY, TW, SKYS), pp. 1771–1775.
LCTESLCTES-1998-KastnerT #scheduling
Cache-Sensitive Pre-runtime Scheduling (DK, ST), pp. 131–145.
CAVCAV-1998-HenzingerKQ #model checking
From Pre-historic to Post-modern Symbolic Model Checking (TAH, OK, SQ), pp. 195–206.
HCIHCI-CC-1997-Andersen
A Computerised System for Training of Medical Doctors in Pre-hospital Rescuing Operation during Emergency Situations (VA), pp. 773–776.
HCIHCI-CC-1997-TrybusV #adaptation #health
Job Adaptation for a Reverse Transformation Dynamics in Pre-Retirement: A Way to Health and Longevity (RJT, VFV), pp. 841–846.
SACSAC-1997-BjornN #communication #multi #web
Pre-processed, multilingual communication on the World-Wide Web (MB, CN), pp. 115–117.
LICSLICS-1997-CervesatoP #higher-order #linear
Linear Higher-Order Pre-Unification (IC, FP), pp. 422–433.
SASSAS-1996-BruynoogheDBDM #analysis #logic programming #source code
A Freeness and Sharing Analysis of Logic Programs Based on a Pre-interpretation (MB, BD, DB, MD, AM), pp. 128–142.
CIKMCIKM-1996-EhrmanntrautHWS #source code #towards
The Personal Electronic Program Guide — Towards the Pre-Selection of Individual TV Programs (ME, TH, HW, RS), pp. 243–250.
ICPRICPR-1996-ParodiP #documentation #image #performance #preprocessor
An efficient pre-processing of mixed-content document images for OCR systems (PP, GP), pp. 778–782.
REICRE-1996-Pohl #named #requirements
PRO-ART: Enabling Requirements Pre-Traceability (KP), pp. 76–85.
DACDAC-1995-PanL
Partial Scan with Pre-selected Scan Signals (PP, CLL), pp. 189–194.
ICDARICDAR-v1-1995-StrathyS #classification #multi #network
A two-stage multi-network OCR system with a soft pre-classifier and a network selector (NWS, CYS), pp. 78–81.
CHICHI-1995-HixTJ #concept #interactive #testing
Pre-Screen Projection: From Concept to Testing of a New Interaction Technique (DH, JNT, RJKJ), pp. 226–233.
CHICHI-1992-FrankGGF #user interface #using
Building User Interfaces Interactively Using Pre- and Postconditions (MRF, JJdG, DFG, JDF), pp. 641–642.
CHICHI-1992-GieskensF #user interface
Controlling User Interface Objects Through Pre- and Postconditions (DFG, JDF), pp. 189–194.
FMVDME-1991-1-Jonkers
Upgrading the Pre- and Postcondition Technique (HBMJ), pp. 428–456.
VLDBVLDB-1985-LausenSW #concurrent #policy
Pre-Analysis Locking: A Safe and Deadlock Free Locking Policy (GL, ESS, PW), pp. 270–281.
SOSPSOSP-1975-CoffmanM #scheduling
Selecting a Scheduling Rule that Meets Pre-Specified Response Time Demands (EGCJ, IM), pp. 187–191.
SIGMODSIGFIDET-1970-Bastian #summary #validation
Data Validation (pre-discussion summary) (ALB), p. 276.
SIGMODSIGFIDET-1970-Dodd #database #scalability #summary
Large Data Bases (pre-discussion summary) (GGD), p. 286.
SIGMODSIGFIDET-1970-Fry70a #summary
Storage Structure Definition Language (pre-discussion summary) (JPF), p. 279.
SIGMODSIGFIDET-1970-Gosden #summary
Translation (Inter-System) (pre-discussion summary) (JAG), p. 284.
SIGMODSIGFIDET-1970-Hatfield #summary
Data Description of Text Structures/Text Processing (pre-discussion summary) (DJH), p. 285.
SIGMODSIGFIDET-1970-Metaxides #database #summary
Data Base Task Group (pre-discussion summary) (AM), pp. 280–281.
SIGMODSIGFIDET-1970-Olle #summary #trade-off
Storage and Processing Trade-Offs (pre-discussion summary) (TWO), pp. 287–288.
SIGMODSIGFIDET-1970-Sibley #summary
Restructuring (Intra-System) (pre-discussion summary) (EHS), pp. 282–283.
SIGMODSIGFIDET-1970-Stieger #database #summary
Data Base Administrator (pre-discussion summary) (WHS), pp. 277–278.

Bibliography of Software Language Engineering in Generated Hypertext (BibSLEIGH) is created and maintained by Dr. Vadim Zaytsev.
Hosted as a part of SLEBOK on GitHub.