BibSLEIGH
BibSLEIGH corpus
BibSLEIGH tags
BibSLEIGH bundles
BibSLEIGH people
CC-BY
Open Knowledge
XHTML 1.0 W3C Rec
CSS 2.1 W3C CanRec
email twitter
Used together with:
awar (27)
base (16)
power (11)
system (11)
thermal (11)

Stem temperatur$ (all stems)

96 papers:

CASECASE-2015-GuptaKMW #coordination #multi
Incentive compatible mechanism for coordinated temperature control in multi-occupant buildings (SKG, KK, SM, JTW), pp. 438–443.
CASECASE-2015-LuTZ #assessment #design #estimation #industrial #modelling
Model-based temperature estimation methods in design of industrial manipulator and their assessment (QL, GT, JZ), pp. 1242–1248.
CASECASE-2015-WangZ #modelling #predict #simulation
A prediction method for interior temperature of grain storage via dynamics models: A simulation study (DW, XZ), pp. 1477–1483.
DATEDATE-2015-EgilmezMME #smarttech
User-specific skin temperature-aware DVFS for smartphones (BE, GM, SOM, OE), pp. 1217–1220.
DATEDATE-2015-KamalIAP #algorithm
A thermal stress-aware algorithm for power and temperature management of MPSoCs (MK, AI, AAK, MP), pp. 954–959.
DATEDATE-2015-PaganiCSH #modelling #named #performance
MatEx: efficient transient and peak temperature computation for compact thermal models (SP, JJC, MS, JH), pp. 1515–1520.
DATEDATE-2015-ZhangPJLF #fault #self
Temperature-aware software-based self-testing for delay faults (YZ, ZP, JJ, HL, MF), pp. 423–428.
DATEDATE-2015-ZhuCPP #manycore #named
TAPP: temperature-aware application mapping for NoC-based many-core processors (DZ, LC, TMP, MP), pp. 1241–1244.
SACSAC-2015-HamataniUH #smarttech #using
Estimating core body temperature based on human thermal model using wearable sensors (TH, AU, TH), pp. 521–526.
ASPLOSASPLOS-2015-GoiriNB #for free #named
CoolAir: Temperature- and Variation-Aware Management for Free-Cooled Datacenters (IG, TDN, RB), pp. 253–265.
CASECASE-2014-LongLXJ #distributed #probability
A scenario-based distributed stochastic MPC for building temperature regulation (YL, SL, LX, KHJ), pp. 1091–1096.
CASECASE-2014-MinakaisMW #learning
Groundhog Day: Iterative learning for building temperature control (MM, SM, JTW), pp. 948–953.
CASECASE-2014-YangFD #modelling
Modeling on the fluid temperature distribution of a spiral heat exchanger (SY, KF, MD), pp. 170–175.
DACDAC-2014-ShafiqueGHM #challenge #reliability #variability
The EDA Challenges in the Dark Silicon Era: Temperature, Reliability, and Variability Perspectives (MS, SG, JH, DM), p. 6.
DACDAC-2014-WangX #on the #performance #simulation
On the Simulation of NBTI-Induced Performance Degradation Considering Arbitrary Temperature and Voltage Variations (TW, QX), p. 6.
DATEDATE-2014-AghaeePE #3d #performance
An efficient temperature-gradient based burn-in technique for 3D stacked ICs (NA, ZP, PE), pp. 1–4.
DATEDATE-2014-AshammagariMH #configuration management #design #functional #performance #power management
Exploiting STT-NV technology for reconfigurable, high performance, low power, and low temperature functional unit design (ARA, HM, HH), pp. 1–6.
DATEDATE-2014-DasKV #energy #multi #trade-off
Temperature aware energy-reliability trade-offs for mapping of throughput-constrained applications on multimedia MPSoCs (AD, AK, BV), pp. 1–6.
DATEDATE-2014-KhanAHKKRC #analysis #bias
Bias Temperature Instability analysis of FinFET based SRAM cells (SK, IA, SH, HK, BK, PR, FC), pp. 1–6.
DATEDATE-2014-KimSAVG #enterprise #metric
Global fan speed control considering non-ideal temperature measurements in enterprise servers (JK, MMS, DA, KV, KCG), pp. 1–6.
DATEDATE-2014-Sadri0WWB #3d #energy #optimisation #using
Energy optimization in 3D MPSoCs with Wide-I/O DRAM using temperature variation aware bank-wise refresh (MS, MJ, CW, NW, LB), pp. 1–4.
HCIDHM-2014-ChenCLC #quality
Bedroom Temperature and Sleeping Quality (HCC, CCC, FLL, CLC), pp. 204–211.
ICPRICPR-2014-RingLRE #assessment #using
A Two-Stage Regression Using Bioimpedance and Temperature for Hydration Assessment During Sports (MR, CL, MR, BE), pp. 4519–4524.
CASECASE-2013-ZhangJYZCPLX #communication #multi
Multichannel subgrade temperature acquisition system based on LabVIEW and serial communication (JZ, SJ, MY, XZ, JC, BP, JL, XX), pp. 558–563.
DACDAC-2013-NathAR #concurrent #scheduling #thread
Temperature aware thread block scheduling in GPGPUs (RN, RZA, TSR), p. 6.
DACDAC-2013-TajikHD #3d #architecture #manycore #named #process
VAWOM: temperature and process variation aware wearout management in 3D multicore architecture (HT, HH, ND), p. 8.
DATEDATE-2013-ZapaterAMVGC #energy #performance
Leakage and temperature aware server control for improving energy efficiency in data centers (MZ, JLA, JMM, KV, KCG, AKC), pp. 266–269.
DACDAC-2012-LionelPSE #monitoring #statistics #testing
Embedding statistical tests for on-chip dynamic voltage and temperature monitoring (LV, PM, SL, EB), pp. 994–999.
DACDAC-2012-PaekMSSK #markov #named #random
PowerField: a transient temperature-to-power technique based on Markov random field theory (SP, SHM, WS, JS, LSK), pp. 630–635.
DACDAC-2012-UkhovBEP #analysis #embedded #multi #optimisation #reliability
Steady-state dynamic temperature analysis and reliability optimization for embedded multiprocessor systems (IU, MB, PE, ZP), pp. 197–204.
DACDAC-2012-ZhouLCKQY #framework #monitoring
An information-theoretic framework for optimal temperature sensor allocation and full-chip thermal monitoring (HZ, XL, CYC, EK, HQ, SCY), pp. 642–647.
DATEDATE-2012-BiZLCP #design
Spintronic memristor based temperature sensor design with CMOS current reference (XB, CZ, HL, YC, REP), pp. 1301–1306.
DATEDATE-2012-RahimiBG #analysis
Analysis of instruction-level vulnerability to dynamic voltage and temperature variations (AR, LB, RKG), pp. 1102–1105.
DATEDATE-2012-SassoneCMMPGMBR #dependence #network
Investigating the effects of Inverted Temperature Dependence (ITD) on clock distribution networks (AS, AC, AM, EM, MP, RG, VM, EB, SR), pp. 165–166.
DATEDATE-2012-SharifiAR #named #predict
TempoMP: Integrated prediction and management of temperature in heterogeneous MPSoCs (SS, RZA, TSR), pp. 593–598.
DATEDATE-2012-WuLMC #approach #correlation
Mitigating lifetime underestimation: A system-level approach considering temperature variations and correlations between failure mechanisms (KCW, MCL, DM, SCC), pp. 1269–1274.
CHICHI-2012-HalveyWBH #feedback #quote
“Baby it’s cold outside”: the influence of ambient temperature and humidity on thermal feedback (MH, GW, SAB, SAH), pp. 715–724.
ICPRICPR-2012-GiancardoSSPM #multi #segmentation
Segmentation and tracking of multiple interacting mice by temperature and shape information (LG, DS, DS, FP, VM), pp. 2520–2523.
KDIRKDIR-2012-Zamora-MartinezRPT #empirical #network
Some Empirical Evaluations of a Temperature Forecasting Module based on Artificial Neural Networks for a Domotic Home Environment (FZM, PR, JP, DT), pp. 206–211.
DACDAC-2011-HuangQFQ #constraints #realtime #throughput
Throughput maximization for periodic real-time systems under the maximal temperature constraint (HH, GQ, JF, MQ), pp. 363–368.
DACDAC-2011-KernZSNT #network
Accuracy of ethernet AVB time synchronization under varying temperature conditions for automotive networks (AK, HZ, TS, JN, JT), pp. 597–602.
DATEDATE-2011-ChenZD #optimisation
Integrated circuit white space redistribution for temperature optimization (YC, HZ, RPD), pp. 613–618.
DATEDATE-2011-HuangQ #constraints #energy #realtime
Leakage aware energy minimization for real-time systems under the maximum temperature constraint (HH, GQ), pp. 479–484.
DATEDATE-2011-LiuOXL #energy #reduction
Register allocation for simultaneous reduction of energy and peak temperature on registers (TL, AO, CJX, ML), pp. 20–25.
DATEDATE-2011-RaiYBCT #analysis #realtime #worst-case
Worst-case temperature analysis for real-time systems (DR, HY, IB, JJC, LT), pp. 631–636.
DATEDATE-2011-WangNKWRLMB #configuration management #using
High-temperature (>500°C) reconfigurable computing using silicon carbide NEMS switches (XW, SN, ARK, FGW, SR, THL, MM, SB), pp. 1065–1070.
HCIDUXU-v2-2011-BaughD #design #experience #locality #user interface
Designing Notebook Computers to Ensure a Comfortable User Experience: Effects of Surface Temperature, Material, Locality, and Ambient Temperature (EB, RD), pp. 539–547.
HCIDUXU-v2-2011-Iizuka #empirical #how
An Experiment about How to Feel Temperature Change of Mouse (SI), pp. 575–581.
CASECASE-2010-KrishnanSPW
Durability studies of PBI-based membrane elect rode assemblies for high temperature PEMFCs (LK, TS, RP, DW), pp. 21–26.
DATEDATE-2010-BaoAEP #energy #optimisation #scalability
Temperature-aware idle time distribution for energy optimization with dynamic voltage scaling (MB, AA, PE, ZP), pp. 21–26.
DATEDATE-2010-HePE #multi #testing
Multi-temperature testing for core-based system-on-chip (ZH, ZP, PE), pp. 208–213.
DATEDATE-2010-LandrockK
High temperature polymer capacitors for aerospace applications (CKL, BK), pp. 1349–1352.
DATEDATE-2010-LiuNCMP #reduction
Post-placement temperature reduction techniques (WL, AN, AC, EM, MP), pp. 634–637.
DATEDATE-2010-LongM10a #dependence #scheduling
Inversed Temperature Dependence aware clock skew scheduling for sequential circuits (JL, SOM), pp. 1657–1660.
DATEDATE-2010-PakbazniaGP #power management #resource management
Temperature-aware dynamic resource provisioning in a power-optimized datacenter (EP, MG, MP), pp. 124–129.
DATEDATE-2010-SeyabH #framework #modelling
NBTI modeling in the framework of temperature variation (S, SH), pp. 283–286.
DATEDATE-2010-YangCTK #energy #realtime #scheduling
Energy-efficient real-time task scheduling with temperature-dependent leakage (CYY, JJC, LT, TWK), pp. 9–14.
DATEDATE-2010-ZhuoSB #process #reliability
Process variation and temperature-aware reliability management (CZ, DS, DB), pp. 580–585.
SACSAC-2010-KimJJ
Dynamic register-renaming scheme for reducing power-density and temperature (JK, STJ, CSJ), pp. 231–237.
DACDAC-2009-BaoAEP #dependence #energy #online #optimisation #scalability
On-line thermal aware dynamic voltage scaling for energy optimization with frequency/temperature dependency consideration (MB, AA, PE, ZP), pp. 490–495.
DACDAC-2009-ZhangS #estimation #using
Accurate temperature estimation using noisy thermal sensors (YZ, AS), pp. 472–477.
DATEDATE-2009-KhajehGDKEKA #design #memory management #named #reliability
TRAM: A tool for Temperature and Reliability Aware Memory Design (AK, AG, ND, FJK, AME, KSK, MSA), pp. 340–345.
DATEDATE-2009-YeoK #behaviour #manycore
Temperature-aware scheduler based on thermal behavior grouping in multicore systems (IY, EJK), pp. 946–951.
SACSAC-2009-ManuchSS #bound #self
Two lower bounds for self-assemblies at temperature 1 (JM, LS, CS), pp. 808–809.
CASECASE-2008-WasonGWMHM #modelling #process
Model-based control of a high-temperature crystal growth process (JDW, WG, JTW, KM, JH, SM), pp. 486–491.
DACDAC-2008-CoskunRG #learning #multi #online #using
Temperature management in multiprocessor SoCs using online learning (AKC, TSR, KCG), pp. 890–893.
DACDAC-2008-LiuMZM #architecture
A power and temperature aware DRAM architecture (SL, SOM, YZ, GM), pp. 878–883.
DACDAC-2008-ZhouYP #compilation #reduction
Compiler-driven register re-assignment for register file power-density and temperature reduction (XZ, CY, PP), pp. 750–753.
DATEDATE-2008-BaoAEP #energy #optimisation
Temperature-Aware Voltage Selection for Energy Optimization (MB, AA, PE, ZP), pp. 1083–1086.
DATEDATE-2008-ChantemDH #realtime #scheduling
Temperature-Aware Scheduling and Assignment for Hard Real-Time Applications on MPSoCs (TC, RPD, XSH), pp. 288–293.
DATEDATE-2008-LiCZ #novel
A Novel Technique for Improving Temperature Independency of Ring-ADC (SL, HC, FZ), pp. 694–697.
DATEDATE-2008-MuraliMAGBBM #manycore #optimisation #using
Temperature Control of High-Performance Multi-core Platforms Using Convex Optimization (SM, AM, DA, RG, SPB, LB, GDM), pp. 110–115.
SACSAC-2008-ShiguemoriVS #approach #network #retrieval
Atmospheric temperature retrieval from satellite data: new non-extensive artificial neural network approach (EHS, HFdCV, JDSdS), pp. 1688–1692.
DATEDATE-2007-CoskunRW #scheduling
Temperature aware task scheduling in MPSoCs (AKC, TSR, KW), pp. 1659–1664.
DATEDATE-2007-GhoshBR #adaptation #scheduling #synthesis #using
Low-overhead circuit synthesis for temperature adaptation using dynamic voltage scheduling (SG, SB, KR), pp. 1532–1537.
DATEDATE-2007-LasbouyguesWAM #analysis
Temperature and voltage aware timing analysis: application to voltage drops (BL, RW, NA, PM), pp. 1012–1017.
DATEDATE-2007-LiuDSY #estimation #power management
Accurate temperature-dependent integrated circuit leakage power estimation is easy (YL, RPD, LS, HY), pp. 1526–1531.
DATEDATE-2007-WangLHLYX #modelling #performance
Temperature-aware NBTI modeling and the impact of input vector control on performance degradation (YW, HL, KH, RL, HY, YX), pp. 546–551.
HCIDHM-2007-LongzhuY #evaluation #research
Experimental Research of Evaluation of Temperature Ergonomics of EVA Spacesuit Glove (HL, XgY), pp. 929–938.
DACDAC-2006-HuaMSSMJD #3d
Exploring compromises among timing, power and temperature in three-dimensional integrated circuits (HH, CM, KS, AMS, SM, RJ, WRD), pp. 997–1002.
DACDAC-2006-MukherjeeM
Systematic temperature sensor allocation and placement for microprocessors (RM, SOM), pp. 542–547.
DATEDATE-2006-PaciMPB #design #power management
Exploring “temperature-aware” design in low-power MPSoCs (GP, PM, FP, LB), pp. 838–843.
DATEDATE-2006-YangV #analysis #evaluation #performance #synthesis
Efficient temperature-dependent symbolic sensitivity analysis and symbolic performance evaluation in analog circuit synthesis (HY, RV), pp. 283–284.
ASPLOSASPLOS-2006-HeathCGRJ
Mercury and freon: temperature emulation and management for server systems (TH, APC, PG, LER, YJ, RB), pp. 106–116.
HPCAHPCA-2006-KimGS #comprehension #interactive
Understanding the performance-temperature interactions in disk I/O of server workloads (YK, SG, AS), pp. 176–186.
DACDAC-2005-MukherjeeMM #resource management #synthesis
Temperature-aware resource allocation and binding in high-level synthesis (RM, SOM, GM), pp. 196–201.
DATEDATE-2005-BotaRRS #testing
Smart Temperature Sensor for Thermal Testing of Cell-Based ICs (SAB, MR, JLR, JS), pp. 464–465.
HPCAHPCA-2005-ChaparroMGG #reduction
Distributing the Frontend for Temperature Reduction (PC, GM, JG, AG), pp. 61–70.
DACDAC-2004-HeLS #reduction
System level leakage reduction considering the interdependence of temperature and leakage (LH, WL, MRS), pp. 12–17.
DACDAC-2004-HuangSSSGV #design #modelling
Compact thermal modeling for temperature-aware design (WH, MRS, KS, KS, SG, SV), pp. 878–883.
DACDAC-2004-VassighiKNSYLCSD #design #optimisation
Design optimizations for microprocessors at low temperature (AV, AK, SN, GS, YY, SL, GC, MS, VD), pp. 2–5.
DACDAC-2001-AjamiBPG #analysis #performance
Analysis of Non-Uniform Temperature-Dependent Interconnect Performance in High Performance ICs (AHA, KB, MP, LPPPvG), pp. 567–572.
DACDAC-2000-TsaiK #performance #reduction #simulation
Fast temperature calculation for transient electrothermal simulation by mixed frequency/time domain thermal model reduction (CHT, SMK), pp. 750–755.
ICPRICPR-v1-2000-YangPM
Singular Features in Sea Surface Temperature Data (QY, BP, AM), pp. 1516–1520.
HCIHCI-CCAD-1999-NabeshimaTSNIOKS #hybrid #monitoring
Hybrid monitoring system for high temperature gas cooling reactor (KN, ET, TS, SN, KI, TO, KK, KS), pp. 1187–1191.
DATEDATE-1998-DagaOA
Temperature Effect on Delay for Low Voltage Applications (JMD, EO, DA), pp. 680–685.

Bibliography of Software Language Engineering in Generated Hypertext (BibSLEIGH) is created and maintained by Dr. Vadim Zaytsev.
Hosted as a part of SLEBOK on GitHub.