BibSLEIGH
BibSLEIGH corpus
BibSLEIGH tags
BibSLEIGH bundles
BibSLEIGH people
CC-BY
Open Knowledge
XHTML 1.0 W3C Rec
CSS 2.1 W3C CanRec
email twitter
Used together with:
low (256)
system (199)
design (159)
base (137)
optim (136)

Stem power$ (all stems)

1540 papers:

CASECASE-2015-ChenYC #novel
Novel optimal cross-coupling control for the power wheelchair with rim motor (SHC, CLY, JJC), pp. 1533–1538.
CASECASE-2015-Jin0S #energy #pattern matching #pattern recognition #predict #recognition
Power prediction through energy consumption pattern recognition for smart buildings (MJ, LZ, CJS), pp. 419–424.
CASECASE-2015-SenfeldsVMB #approach #industrial
Power smoothing approach within industrial DC microgrid with supercapacitor storage for robotic manufacturing application (AS, MV, DM, OB), pp. 1333–1338.
DACDAC-2015-ChenCX #classification #named #power management #video
DaTuM: dynamic tone mapping technique for OLED display power saving based on video classification (XC, YC, CJX), p. 6.
DACDAC-2015-ChiangCLJ #design #power management #scalability
Scalable sequence-constrained retention register minimization in power gating design (TWC, KHC, YTL, JHRJ), p. 6.
DACDAC-2015-GangopadhyayNR #power management
Integrated power management in IoT devices under wide dynamic ranges of operation (SG, SBN, AR), p. 6.
DACDAC-2015-JiangWS #clustering #power management #sorting
A low power unsupervised spike sorting accelerator insensitive to clustering initialization in sub-optimal feature space (ZJ, QW, MS), p. 6.
DACDAC-2015-KashyapGS #design #reliability
Achieving power and reliability sign-off for automotive semiconductor designs (AK, SG, SS), p. 6.
DACDAC-2015-LiCSHLWY #hybrid #power management
A STT-RAM-based low-power hybrid register file for GPGPUs (GL, XC, GS, HH, YL, YW, HY), p. 6.
DACDAC-2015-LiXGWY #interface
Merging the interface: power, area and accuracy co-optimization for RRAM crossbar-based mixed-signal computing system (BL, LX, PG, YW, HY), p. 6.
DACDAC-2015-NishimiyaSS #evaluation #functional #interface #mockup #modelling #network
Evaluation of functional mock-up interface for vehicle power network modeling (KN, TS, SS), p. 6.
DACDAC-2015-PanthSDL #3d #clustering #mobile #power management #trade-off
Tier-partitioning for power delivery vs cooling tradeoff in 3D VLSI for mobile applications (SP, KS, YD, SKL), p. 6.
DACDAC-2015-PengKPPJCL #3d #architecture #design #policy
Design, packaging, and architectural policy co-optimization for DC power integrity in 3D DRAM (YP, BWK, YSP, KIP, SJJ, JSC, SKL), p. 6.
DACDAC-2015-RakshitWLGM #design #power management #robust
Monolayer transition metal dichalcogenide and black phosphorus transistors for low power robust SRAM design (JR, RW, KTL, JG, KM), p. 6.
DACDAC-2015-RoyLUP #multi #named #optimisation #paradigm #performance
OSFA: a new paradigm of gate-sizing for power/performance optimizations under multiple operating conditions (SR, DL, JU, DZP), p. 6.
DACDAC-2015-WangLYSOC #grid #novel #power management #reduction
Novel power grid reduction method based on L1 regularization (YW, ML, XY, ZS, MO, CC), p. 6.
DACDAC-2015-XieZPHLX #energy
Fixing the broken time machine: consistency-aware checkpointing for energy harvesting powered non-volatile processor (MX, MZ, CP, JH, YL, CJX), p. 6.
DACDAC-2015-ZhangLSLWXY #energy #migration #scheduling
Deadline-aware task scheduling for solar-powered nonvolatile sensor nodes with global energy migration (DZ, YL, XS, JL, TW, CJX, HY), p. 6.
DACDAC-2015-ZhangMMWSS #3d #design
A cross-layer design exploration of charge-recycled power-delivery in many-layer 3d-IC (RZ, KM, BHM, KW, KS, MRS), p. 6.
DACDAC-2015-ZhanOGZ0 #approach #named #network #power management #towards
DimNoC: a dim silicon approach towards power-efficient on-chip network (JZ, JO, FG, JZ, YX), p. 6.
DATEDATE-2015-AmirhosseinRBCM #power management
An all-digital spike-based ultra-low-power IR-UWB dynamic average threshold crossing scheme for muscle force wireless transmission (MSA, PMR, AB, MC, MM, DD, GM), pp. 1479–1484.
DATEDATE-2015-BortolottiMBRSB #monitoring #power management
An ultra-low power dual-mode ECG monitor for healthcare and wellness (DB, MM, AB, RR, GS, LB), pp. 1611–1616.
DATEDATE-2015-CasagrandeR #algorithm #fuzzy #game studies #named #novel #optimisation #robust
GTFUZZ: a novel algorithm for robust dynamic power optimization via gate sizing with fuzzy games (TC, NR), pp. 677–682.
DATEDATE-2015-ChenM #distributed #learning #manycore #optimisation #performance
Distributed reinforcement learning for power limited many-core system performance optimization (ZC, DM), pp. 1521–1526.
DATEDATE-2015-DghaisR #empirical #modelling #simulation
Empirical modelling of FDSOI CMOS inverter for signal/power integrity simulation (WD, JR), pp. 1555–1558.
DATEDATE-2015-DoustiP #distributed #power management
Power-efficient control of thermoelectric coolers considering distributed hot spots (MJD, MP), pp. 966–971.
DATEDATE-2015-GaillardonTSTOS #power management
A ultra-low-power FPGA based on monolithically integrated RRAMs (PEG, XT, JS, MT, SRO, DS, YL, GDM), pp. 1203–1208.
DATEDATE-2015-HaghbayanRFLPNT #manycore #online #power management #testing
Power-aware online testing of manycore systems in the dark silicon era (MHH, AMR, MF, PL, JP, ZN, HT), pp. 435–440.
DATEDATE-2015-HanyuSOMNM #architecture #in memory #paradigm #power management #reliability #towards
Spintronics-based nonvolatile logic-in-memory architecture towards an ultra-low-power and highly reliable VLSI computing paradigm (TH, DS, NO, SM, MN, AM), pp. 1006–1011.
DATEDATE-2015-KamalIAP #algorithm
A thermal stress-aware algorithm for power and temperature management of MPSoCs (MK, AI, AAK, MP), pp. 954–959.
DATEDATE-2015-KhanSH #adaptation #manycore #power management
Power-efficient accelerator allocation in adaptive dark silicon many-core systems (MUKK, MS, JH), pp. 916–919.
DATEDATE-2015-LeeJG #functional #hardware #performance #simulation
Dynamic power and performance back-annotation for fast and accurate functional hardware simulation (DL, LKJ, AG), pp. 1126–1131.
DATEDATE-2015-LiDC #algorithm #clustering #power of
A scan partitioning algorithm for reducing capture power of delay-fault LBIST (NL, ED, GC), pp. 842–847.
DATEDATE-2015-LiuHFRQR #power management
Power minimization for data center with guaranteed QoS (SL, SH, MF, SR, GQ, SR), pp. 1347–1352.
DATEDATE-2015-LiXWNP #fine-grained #multi #power management #reduction #using
Leakage power reduction for deeply-scaled FinFET circuits operating in multiple voltage regimes using fine-grained gate-length biasing technique (JL, QX, YW, SN, MP), pp. 1579–1582.
DATEDATE-2015-MamaghanianV #design #power management
Ultra-low-power ECG front-end design based on compressed sensing (HM, PV), pp. 671–676.
DATEDATE-2015-MineoRPACM #architecture #energy #performance #self
A closed loop transmitting power self-calibration scheme for energy efficient WiNoC architectures (AM, MSR, MP, GA, VC, MNM), pp. 513–518.
DATEDATE-2015-MirhosseiniSFMS #energy #network
An energy-efficient virtual channel power-gating mechanism for on-chip networks (AM, MS, AF, MM, HSA), pp. 1527–1532.
DATEDATE-2015-MuzaffarYSE #power management
A pulsed-index technique for single-channel, low-power, dynamic signaling (SM, JY, AS, IAME), pp. 1485–1490.
DATEDATE-2015-OborilET #monitoring #online
High-resolution online power monitoring for modern microprocessors (FO, JE, MBT), pp. 265–268.
DATEDATE-2015-PozoSKM #question
Side-channel attacks from static power: when should we care? (SMDP, FXS, DK, AM), pp. 145–150.
DATEDATE-2015-Sharma #optimisation #power management
Minimum current consumption transition time optimization methodology for low power CTS (VS), pp. 412–416.
DATEDATE-2015-ShutoYS #architecture #case study #comparative #using
Comparative study of power-gating architectures for nonvolatile FinFET-SRAM using spintronics-based retention technology (YS, SY, SS), pp. 866–871.
DATEDATE-2015-SinglaKUO #mobile #power management #predict
Predictive dynamic thermal and power management for heterogeneous mobile platforms (GS, GK, AKU, ÜYO), pp. 960–965.
DATEDATE-2015-TanLF #memory management #reliability #using
Soft-error reliability and power co-optimization for GPGPUS register file using resistive memory (JT, ZL, XF), pp. 369–374.
DATEDATE-2015-TouatiBDGVBR #functional #power management #source code #testing
Exploring the impact of functional test programs re-used for power-aware testing (AT, AB, LD, PG, AV, PB, MSR), pp. 1277–1280.
DATEDATE-2015-TrinadhBSPK #approach #named #programming #testing
DP-fill: a dynamic programming approach to X-filling for minimizing peak test power in scan tests (ST, CSB, SGS, SP, VK), pp. 836–841.
DATEDATE-2015-WangWXWWYDLMW #adaptation #process
Adaptively tolerate power-gating-induced power/ground noise under process variations (ZW, XW, JX, XW, ZW, PY, LHKD, HL, RKVM, ZW), pp. 483–488.
DATEDATE-2015-ZhangYWLC #design #logic #power management
Giant spin hall effect (GSHE) logic design for low power application (YZ, BY, WW, HL, YC), pp. 1000–1005.
DATEDATE-2015-ZwergerG #detection #symmetry
Detection of asymmetric aging-critical voltage conditions in analog power-down mode (MZ, HEG), pp. 1269–1272.
PODSPODS-2015-GottlobPS #dependence
Function Symbols in Tuple-Generating Dependencies: Expressive Power and Computability (GG, RP, ES), pp. 65–77.
SIGMODSIGMOD-2015-ChuMIOP0Y #crowdsourcing #knowledge base #named
KATARA: A Data Cleaning System Powered by Knowledge Bases and Crowdsourcing (XC, JM, IFI, MO, PP, NT, YY), pp. 1247–1261.
SIGMODSIGMOD-2015-Haas #data-driven #integration
The Power Behind the Throne: Information Integration in the Age of Data-Driven Discovery (LMH), p. 661.
MSRMSR-2015-LinW #fine-grained #why
Why Power Laws? An Explanation from Fine-Grained Code Changes (ZL, JW), pp. 68–75.
STOCSTOC-2015-LackiOPSZ #algorithm #distance #performance #power of
The Power of Dynamic Distance Oracles: Efficient Dynamic Algorithms for the Steiner Tree (JL, JO, MP, PS, AZ), pp. 11–20.
ICALPICALP-v1-2015-KayalKPS #bound
Lower Bounds for Sums of Powers of Low Degree Univariates (NK, PK, TP, CS), pp. 810–821.
CHICHI-2015-OgataF #named
FluxPaper: Reinventing Paper with Dynamic Actuation Powered by Magnetic Flux (MO, MF), pp. 29–38.
CHICHI-2015-RajanenI #open source #usability
Power, Empowerment and Open Source Usability (MR, NI), pp. 3413–3422.
CSCWCSCW-2015-BraggRL #taxonomy
A User-Powered American Sign Language Dictionary (DB, KR, REL), pp. 1837–1848.
CSCWCSCW-2015-HindsRC
In the Flow, Being Heard, and Having Opportunities: Sources of Power and Power Dynamics in Global Teams (PJH, DR, CDC), pp. 864–875.
CSCWCSCW-2015-Tufekci #algorithm
Algorithms in our Midst: Information, Power and Choice when Software is Everywhere (ZT), p. 1918.
HCIDHM-EH-2015-LiuCKQF #data analysis #power management
Balancing Power Consumption and Data Analysis Accuracy Through Adjusting Sampling Rates: Seeking for the Optimal Configuration of Inertial Sensors for Power Wheelchair Users (TL, CC, MK, GQ, JF), pp. 184–192.
HCIHCI-UC-2015-NakanishiTUYS #safety
Fukushima No. 1 Nuclear Power Plant: The Moment of “Safety Myth” Collapses (AN, TT, HU, MY, DS), pp. 350–357.
AdaEuropeAdaEurope-2015-PerezGTT #clustering #concept #manycore #safety
A Safety Concept for an IEC-61508 Compliant Fail-Safe Wind Power Mixed-Criticality System Based on Multicore and Partitioning (JP, DG, ST, TT), pp. 3–17.
ECIRECIR-2015-Dean-HallC #power of
The Power of Contextual Suggestion (ADH, CLAC), pp. 352–357.
ECIRECIR-2015-Kelly #analysis #estimation #information retrieval #statistics
Statistical Power Analysis for Sample Size Estimation in Information Retrieval Experiments with Users (DK), pp. 822–825.
ICMLICML-2015-BarbosaENW #dataset #distributed #power of
The Power of Randomization: Distributed Submodular Maximization on Massive Datasets (RdPB, AE, HLN, JW), pp. 1236–1244.
ICMLICML-2015-BoutsidisKG #clustering
Spectral Clustering via the Power Method — Provably (CB, PK, AG), pp. 40–48.
KDDKDD-2015-Ojjeh #open source #realtime #using
Powering Real-time Decision Engines in Finance and Healthcare using Open Source Software (BO), p. 1633.
RecSysRecSys-2015-SeminarioW #collaboration #recommendation
Nuke ’Em Till They Go: Investigating Power User Attacks to Disparage Items in Collaborative Recommenders (CES, DCW), pp. 293–296.
SIGIRSIGIR-2015-CatenaMT #cpu #power management #web
Load-sensitive CPU Power Management for Web Search Engines (MC, CM, NT), pp. 751–754.
OnwardOnward-2015-LorenzR #in the cloud
Separation of powers in the cloud: where applications and users become peers (DHL, BR), pp. 76–89.
SACSAC-2015-Jung0B #analysis #embedded #encoding #identification #power management
Identification of embedded control units by state encoding and power consumption analysis (EJ, CM, LB), pp. 1957–1959.
SACSAC-2015-LagoMM #estimation #network #power management
High speed network impacts and power consumption estimation for cloud data centers (DGdL, ERMM, DM), pp. 615–620.
SACSAC-2015-MonteiroL #clustering #power management #scalability #web
Scalable model for dynamic configuration and power management in virtualized heterogeneous web clusters (AFM, OL), pp. 464–467.
SACSAC-2015-RiediBP #algorithm #performance #scalability
Channel and power allocation algorithm to optimize the performance of large WLANs (MR, GGB, MEP), pp. 673–679.
SACSAC-2015-XiongN #estimation #low cost #power management
Cost-efficient and attack-resilient approaches for state estimation in power grids (KX, PN), pp. 2192–2197.
ASPLOSASPLOS-2015-PangDL #less is more
More is Less, Less is More: Molecular-Scale Photonic NoC Power Topologies (JP, CD, ARL), pp. 283–296.
ASPLOSASPLOS-2015-XuLWZ #automation #power management #runtime
Automated OS-level Device Runtime Power Management (CX, FXL, YW, LZ), pp. 239–252.
HPCAHPCA-2015-AroraMPJT #behaviour #benchmark #comprehension #cpu #gpu #metric #power management
Understanding idle behavior and power gating mechanisms in the context of modern benchmarks on CPU-GPU Integrated systems (MA, SM, IP, NJ, DMT), pp. 366–377.
HPCAHPCA-2015-ChenZPP #towards
Power punch: Towards non-blocking power-gating of NoC routers (LC, DZ, MP, TMP), pp. 378–389.
HPCAHPCA-2015-WuGLJC #estimation #machine learning #performance #using
GPGPU performance and power estimation using machine learning (GYW, JLG, AL, NJ, DC), pp. 564–576.
HPDCHPDC-2015-EllsworthMRS #named
POW: System-wide Dynamic Reallocation of Limited Power in HPC (DAE, ADM, BR, MS), pp. 145–148.
HPDCHPDC-2015-PatkiLSMRSS #performance #resource management
Practical Resource Management in Power-Constrained, High Performance Computing (TP, DKL, AS, MM, BR, MS, BRdS), pp. 121–132.
SOSPSOSP-2015-LentzLB #power management
Drowsy power management (ML, JL, BB), pp. 230–244.
CADECADE-2015-Passmore #algebra #decidability #integer
Decidability of Univariate Real Algebra with Predicates for Rational and Integer Powers (GOP), pp. 181–196.
RTARTA-2015-KotsireasKS #design #equation #orthogonal #unification
Constructing Orthogonal Designs in Powers of Two: Gröbner Bases Meet Equational Unification (ISK, TK, DES), pp. 241–256.
WICSAWICSA-2014-UusitaloRKMM #architecture #automation #lessons learnt #safety
Lessons Learned from Safety-Critical Software-Based Automation Architectures of Nuclear Power Plants (EJU, MR, MK, VM, TM), pp. 45–48.
CASECASE-2014-BiswasBN #design
Mechanism design for sustainable virtual power plant formation (SB, DB, YN), pp. 67–72.
CASECASE-2014-HsuehTLKY #estimation
Voltage-current-based state and disturbance estimation for power-assisted control applied to an electric wheelchair (PWH, MCT, CYL, PJK, WSY), pp. 1220–1225.
CASECASE-2014-JacksonELMPCC #development
Development of an active powered biped lower limb exoskeleton (SJ, LE, CL, PM, KP, MC, XC), pp. 990–995.
CASECASE-2014-MaiorR #distributed #internet #self
A self-governing, decentralized, extensible Internet of Things to share electrical power efficiently (HAM, SR), pp. 37–43.
CASECASE-2014-NagataO #approach #multi #using
Electric power interchange between micro-grids by using multi-agent approach (TN, KO), pp. 50–55.
CASECASE-2014-SachsMTS #energy #hybrid
Filter-based PV power smoothing control for island hybrid energy systems with high PV penetration (JS, BM, KT, OS), pp. 872–877.
DACDAC-2014-AdirGHHHHKKLMNPSOTTZ #memory management #transaction #verification
Verification of Transactional Memory in POWER8 (AA, DG, DH, OH, BGH, KH, WK, AK, JML, CM, AN, RRP, MS, BSO, BWT, ET, AZ), p. 6.
DACDAC-2014-AhnYC #hybrid #memory management #power management
Dynamic Power Management of Off-Chip Links for Hybrid Memory Cubes (JA, SY, KC), p. 6.
DACDAC-2014-AkgulPLBPBT #power management
Power management through DVFS and dynamic body biasing in FD-SOI circuits (YA, DP, SL, EB, IMP, PB, LT), p. 6.
DACDAC-2014-AlbalawiLL #algorithm #classification #design #fixpoint #implementation #machine learning #power management
Computer-Aided Design of Machine Learning Algorithm: Training Fixed-Point Classifier for On-Chip Low-Power Implementation (HA, YL, XL), p. 6.
DACDAC-2014-BraojosMJAARM #design #monitoring #power management #smarttech
Ultra-Low Power Design of Wearable Cardiac Monitoring Systems (RB, HM, ADJ, GA, DA, FJR, SM), p. 6.
DACDAC-2014-ChenWLXY #optimisation #runtime
Run-Time Technique for Simultaneous Aging and Power Optimization in GPGPUs (XC, YW, YL, YX, HY), p. 6.
DACDAC-2014-ClercqUHV #implementation #power management
Ultra Low-Power implementation of ECC on the ARM Cortex-M0+ (RdC, LU, AVH, IV), p. 6.
DACDAC-2014-DoustiP #deployment #power management
Power-Aware Deployment and Control of Forced-Convection and Thermoelectric Coolers (MJD, MP), p. 6.
DACDAC-2014-GottschoBDNG #capacity #energy #fault tolerance #scalability
Power / Capacity Scaling: Energy Savings With Simple Fault-Tolerant Caches (MG, AB, ND, AN, PG), p. 6.
DACDAC-2014-HoC #optimisation #performance #pipes and filters
A New Asynchronous Pipeline Template for Power and Performance Optimization (KHH, YWC), p. 6.
DACDAC-2014-HuangYST #assessment #grid #network #power management
Physics-based Electromigration Assessment for Power Grid Networks (XH, TY, VS, SXDT), p. 6.
DACDAC-2014-HuXMCHX #thread
Thermal-Sustainable Power Budgeting for Dynamic Threading (XH, YX, JM, GC, YH, YX), p. 6.
DACDAC-2014-IyengarG #analysis #embedded #memory management #modelling #power management #robust
Modeling and Analysis of Domain Wall Dynamics for Robust and Low-Power Embedded Memory (AI, SG), p. 6.
DACDAC-2014-JungSWPL #3d #on the #perspective
On Enhancing Power Benefits in 3D ICs: Block Folding and Bonding Styles Perspective (MJ, TS, YW, YP, SKL), p. 6.
DACDAC-2014-LiangC #analysis #clustering #named #network #probability #reduction #scalability #smarttech
ClusRed: Clustering and Network Reduction Based Probabilistic Optimal Power Flow Analysis for Large-Scale Smart Grids (YL, DC), p. 6.
DACDAC-2014-LinKH #mobile #power management
Catch Your Attention: Quality-retaining Power Saving on Mobile OLED Displays (CHL, CKK, PCH), p. 6.
DACDAC-2014-LinWC #data mining #design #logic #mining #named #power management #synthesis
C-Mine: Data Mining of Logic Common Cases for Low Power Synthesis of Better-Than-Worst-Case Designs (CHL, LW, DC), p. 6.
DACDAC-2014-NahirDKRRSSW #validation
Post-Silicon Validation of the IBM POWER8 Processor (AN, MD, SK, KR, WR, KDS, KS, GW), p. 6.
DACDAC-2014-PanthSDL #3d #performance
Power-Performance Study of Block-Level Monolithic 3D-ICs Considering Inter-Tier Performance Variations (SP, KS, YD, SKL), p. 6.
DACDAC-2014-ParikhDB #configuration management #power management
Power-Aware NoCs through Routing and Topology Reconfiguration (RP, RD, VB), p. 6.
DACDAC-2014-PathaniaJPM #3d #cpu #game studies #gpu #mobile #power management
Integrated CPU-GPU Power Management for 3D Mobile Games (AP, QJ, AP, TM), p. 6.
DACDAC-2014-QiuLX #performance #power management
Write Mode Aware Loop Tiling for High Performance Low Power Volatile PCM (KQ, QL, CJX), p. 6.
DACDAC-2014-SorinMZ #architecture #power management
Architecting Dynamic Power Management to be Formally Verifiable (DJS, OM, MZ), p. 3.
DACDAC-2014-ZhangPL #hardware #power management
Low Power GPGPU Computation with Imprecise Hardware (HZ, MP, JL), p. 6.
DACDAC-2014-ZhuangWLC #distributed #framework #named #network #simulation
MATEX: A Distributed Framework for Transient Simulation of Power Distribution Networks (HZ, SHW, JHL, CKC), p. 6.
DACDAC-2014-ZhuoGS #design #grid #modelling #optimisation #power management
Early-Stage Power Grid Design: Extraction, Modeling and Optimization (CZ, HG, WKS), p. 6.
DATEDATE-2014-AhariAKT #architecture #configuration management #power management #using
A power-efficient reconfigurable architecture using PCM configuration technology (AA, HA, BK, MBT), pp. 1–6.
DATEDATE-2014-AksanliR #data transformation
Providing regulation services and managing data center peak power budgets (BA, TR), pp. 1–4.
DATEDATE-2014-AlordaCB #embedded #power management #reliability
Word-line power supply selector for stability improvement of embedded SRAMs in high reliability applications (BA, CC, SAB), pp. 1–6.
DATEDATE-2014-AshammagariMH #configuration management #design #functional #performance #power management
Exploiting STT-NV technology for reconfigurable, high performance, low power, and low temperature functional unit design (ARA, HM, HH), pp. 1–6.
DATEDATE-2014-BartoliniCCTB #energy
Unveiling Eurora — Thermal and power characterization of the most energy-efficient supercomputer in the world (AB, MC, CC, GT, LB), pp. 1–6.
DATEDATE-2014-Bautista-GomezCCDFGPRR #how #named #reliability
GPGPUs: How to combine high computational power with high reliability (LABG, FC, LC, ND, BF, SG, KP, PR, MSR), pp. 1–9.
DATEDATE-2014-BhuniaRHRYMF #logic #towards
Toward ultralow-power computing at exteme with silicon carbide (SiC) nanoelectromechanical logic (SB, VR, TH, SR, RY, MM, PXLF), pp. 1–6.
DATEDATE-2014-BishnoiEOT #power management #symmetry #termination
Asynchronous Asymmetrical Write Termination (AAWT) for a low power STT-MRAM (RB, ME, FO, MBT), pp. 1–6.
DATEDATE-2014-BortolottiBWRB #architecture #hybrid #manycore #memory management #power management #scalability
Hybrid memory architecture for voltage scaling in ultra-low power multi-core biomedical processors (DB, AB, CW, DR, LB), pp. 1–6.
DATEDATE-2014-BraojosDBAA #approach #hardware #manycore #power management
Hardware/software approach for code synchronization in low-power multi-core sensor nodes (RB, AYD, IB, GA, DA), pp. 1–6.
DATEDATE-2014-CasamassimaFB #network #power management
Context aware power management for motion-sensing body area network nodes (FC, EF, LB), pp. 1–6.
DATEDATE-2014-ChenTCC #effectiveness
Cost-effective decap selection for beyond die power integrity (YEC, THT, SHC, HMC), pp. 1–4.
DATEDATE-2014-ConosMDP #coordination #energy #power management #using
Provably minimal energy using coordinated DVS and power gating (NAC, SM, FD, MP), pp. 1–6.
DATEDATE-2014-DuricPSUCVB #execution #named #power management
EVX: Vector execution on low power EDGE cores (MD, OP, AS, OSÜ, AC, MV, DB), pp. 1–4.
DATEDATE-2014-FischerCM #analysis #design #modelling
Power modeling and analysis in early design phases (BF, CC, HM), pp. 1–6.
DATEDATE-2014-HarrantNKGP #assessment #robust
Emulation-based robustness assessment for automotive smart-power ICs (MH, TN, JK, CG, GP), pp. 1–6.
DATEDATE-2014-HeYH0 #design #named #power management
SuperRange: Wide operational range power delivery design for both STV and NTV computing (XH, GY, YH, XL), pp. 1–6.
DATEDATE-2014-KhanSH #architecture #manycore #performance #power management #video
Software architecture of High Efficiency Video Coding for many-core systems with power-efficient workload balancing (MUKK, MS, JH), pp. 1–6.
DATEDATE-2014-KimSXWPC #android #fine-grained #monitoring #named #smarttech
FEPMA: Fine-grained event-driven power meter for android smartphones based on device driver layer event monitoring (KK, DS, QX, YW, MP, NC), pp. 1–6.
DATEDATE-2014-KondoKSWTNWAMKUKN #design #embedded #evaluation #fine-grained
Design and evaluation of fine-grained power-gating for embedded microprocessors (MK, HK, RS, MW, JT, MN, WW, HA, KM, MK, KU, TK, HN), pp. 1–6.
DATEDATE-2014-LeeA #architecture #hybrid #novel #power management #using
A novel low power 11-bit hybrid ADC using flash and delay line architectures (HCL, JAA), pp. 1–4.
DATEDATE-2014-LeeL #3d #gpu #on the #reduction
On GPU bus power reduction with 3D IC technologies (YJL, SKL), pp. 1–6.
DATEDATE-2014-LiuHL #approximate #configuration management #fault #multi #power management
A low-power, high-performance approximate multiplier with configurable partial error recovery (CL, JH, FL), pp. 1–4.
DATEDATE-2014-MineoPAC #adaptation #energy #performance
An adaptive transmitting power technique for energy efficient mm-wave wireless NoCs (AM, MP, GA, VC), pp. 1–6.
DATEDATE-2014-NejatAA #power management #process
Dynamic Flip-Flop conversion to tolerate process variation in low power circuits (MN, BA, AAK), pp. 1–4.
DATEDATE-2014-NirmaierBHVBRP #assessment #robust
Mission profile aware robustness assessment of automotive power devices (TN, AB, MH, AV, OB, WR, GP), pp. 1–6.
DATEDATE-2014-ParkKK #design #multi #synthesis
Mixed allocation of adjustable delay buffers combined with buffer sizing in clock tree synthesis of multiple power mode designs (KP, GK, TK), pp. 1–4.
DATEDATE-2014-PrenatPLGJDSPN #logic #power management
Magnetic memories: From DRAM replacement to ultra low power logic chips (GP, GdP, CL, OG, KJ, BD, RCS, ILP, JPN), p. 1.
DATEDATE-2014-PuEMG #logic #power management #scalability #synthesis
Logic synthesis of low-power ICs with ultra-wide voltage and frequency scaling (YP, JDE, MM, JPdG), pp. 1–2.
DATEDATE-2014-SabrySARM #generative
Integrated microfluidic power generation and cooling for bright silicon MPSoCs (MMS, AS, DA, PR, BM), pp. 1–6.
DATEDATE-2014-ShangZXY #design
Asynchronous design for new on-chip wide dynamic range power electronics (DS, XZ, FX, AY), pp. 1–6.
DATEDATE-2014-SunMSPL #design #power management #robust
A low power and robust carbon nanotube 6T SRAM design with metallic tolerance (LS, JM, RAS, DKP, ZL), pp. 1–4.
DATEDATE-2014-TrivediAM #power management
Ultra-low power electronics with Si/Ge tunnel FET (ART, MFA, SM), pp. 1–6.
DATEDATE-2014-UbolliGBC #linear #megamodelling
Sensitivity-based weighting for passivity enforcement of linear macromodels in power integrity applications (AU, SGT, MB, AC), pp. 1–6.
DATEDATE-2014-WangXWCWW #manycore #power management
Characterizing power delivery systems with on/off-chip voltage regulators for many-core processors (XW, JX, ZW, KJC, XW, ZW), pp. 1–4.
DATEDATE-2014-WangZMYJDP #adaptation #manycore
Adaptive power allocation for many-core systems inspired from multiagent auction model (XW, BZ, TSTM, MY, YJ, MD, MP), pp. 1–4.
DATEDATE-2014-YangHKKCPK #parallel #predict #simulation
Predictive parallel event-driven HDL simulation with a new powerful prediction strategy (SY, JH, DK, NK, DC, JP, JK), pp. 1–3.
DATEDATE-2014-YasinSE #manycore #polynomial
Unified, ultra compact, quadratic power proxies for multi-core processors (MY, AS, IAME), pp. 1–4.
DATEDATE-2014-YehHN #power management
Leakage-power-aware clock period minimization (HHY, SHH, YTN), pp. 1–6.
DATEDATE-2014-YinOLW #configuration management
Extending lifetime of battery-powered coarse-grained reconfigurable computing platforms (SY, PO, LL, SW), pp. 1–6.
DATEDATE-2014-ZhangZKKQZRC #power management
Spintronics for low-power computing (YZ, WZ, JOK, WK, DQ, YZ, DR, CC), pp. 1–6.
HTHT-2014-TursiDR #artificial reality #named
AIRCacher: virtual geocaching powered with augmented reality (GT, MD, GR), pp. 330–332.
SIGMODSIGMOD-2014-ParameswaranTGW #named #tool support
DataSift: a crowd-powered search toolkit (AGP, MHT, HGM, JW), pp. 885–888.
VLDBVLDB-2014-ChenNC #detection #performance #sql
Fast Foreign-Key Detection in Microsoft SQL Server PowerPivot for Excel (ZC, VRN, SC), pp. 1417–1428.
VLDBVLDB-2014-ParameswaranBG0PW #algorithm #rating
Optimal Crowd-Powered Rating and Filtering Algorithms (AGP, SB, HGM, AG, NP, JW), pp. 685–696.
VLDBVLDB-2014-YuYWLC #big data #classification #design #detection #power management
Big Data Small Footprint: The Design of A Low-Power Classifier for Detecting Transportation Modes (MCY, TY, SCW, CJL, EYC), pp. 1429–1440.
PLDIPLDI-2014-PhothilimthanaJSTCB #architecture #compilation #named #power management
Chlorophyll: synthesis-aided compiler for low-power spatial architectures (PMP, TJ, RS, NT, SC, RB), p. 42.
STOCSTOC-2014-AwasthiBL #learning #linear #locality #power of
The power of localization for efficiently learning linear separators with noise (PA, MFB, PML), pp. 449–458.
STOCSTOC-2014-KalaiRR #how #power of #proving
How to delegate computations: the power of no-signaling proofs (YTK, RR, RDR), pp. 485–494.
CIAACIAA-2014-BianchiMP #automaton #on the #power of #quantum
On the Power of One-Way Automata with Quantum and Classical States (MPB, CM, BP), pp. 84–97.
CIAACIAA-2014-Maletti #bottom-up #multi #power of #transducer
The Power of Regularity-Preserving Multi Bottom-up Tree Transducers (AM), pp. 278–289.
ICALPICALP-v2-2014-CooperER #distributed #power of
The Power of Two Choices in Distributed Voting (CC, RE, TR), pp. 435–446.
ICALPICALP-v2-2014-DerevenetcM #robust
Robustness against Power is PSpace-complete (ED, RM), pp. 158–170.
LATALATA-2014-Cerny #composition #morphism #multi #problem
Solutions to the Multi-dimensional Equal Powers Problem Constructed by Composition of Rectangular Morphisms (AC), pp. 273–284.
CHICHI-2014-CarringtonHK #design #mobile #smarttech
Wearables and chairables: inclusive design of mobile input and output techniques for power wheelchair users (PC, AH, SKK), pp. 3103–3112.
CHICHI-2014-KihmGKM #interactive #power management #symmetry #using
Using asymmetric cores to reduce power consumption for interactive devices with bi-stable displays (JK, FG, JK, RM), pp. 1059–1062.
CHICHI-2014-MikkonenGORK #induction #named #open source
OJAS: open source bi-directional inductive power link (JM, RG, MO, HR, AK), pp. 1049–1058.
CSCWCSCW-2014-LaseckiTK #information management
Information extraction and manipulation threats in crowd-powered systems (WSL, JT, EK), pp. 248–256.
HCIDUXU-DP-2014-MiddenH #behaviour #energy #feedback #power of
The Power of Negative Feedback from an Artificial Agent to Promote Energy Saving Behavior (CJHM, JRCH), pp. 328–338.
HCIDUXU-ELAS-2014-FordPLNCM #design #feedback
User-Centred Design of an Audio Feedback System for Power Demand Management (RF, JP, YCL, KN, WC, MM), pp. 530–541.
HCIHIMI-DE-2014-LeeLS14a #communication #development
Development of Digital-Device-Based Cooperation Support System to Aid Communication between MCR Operators and Field Workers in Nuclear Power Plants (NPPs) (SML, HCL, PHS), pp. 483–490.
ICEISICEIS-v1-2014-BurdDARGHSS #named #research
SILAB — A System to Support Experiments in the Electric Power Research Center Labs (HB, WD, MA, SAR, AFG, JH, RPdS, JMdS), pp. 287–292.
KDIRKDIR-2014-LinDDG #data analysis
Data Analytics for Power Utility Storm Planning (LL, AD, DD, SSG), pp. 308–314.
KMISKMIS-2014-SaijoWAOMK #case study #evaluation
Knowledge Creation in Technology Evaluation of 4-Wheel Electric Power Assisted Bicycle for Frail Elderly Persons — A Case Study of a Salutogenic Device in Healthcare Facilities in Japan (MS, MW, SA, NO, SM, SK), pp. 87–97.
RecSysRecSys-2014-LiuGWB #power of #using
Improving the discriminative power of inferred content information using segmented virtual profile (HL, AG, TW, AB), pp. 97–104.
RecSysRecSys-2014-SeminarioW #recommendation
Attacking item-based recommender systems with power items (CES, DCW), pp. 57–64.
SEKESEKE-2014-ParkHL #power management #refactoring
Investigation for Software Power Consumption of Code Refactoring Techniques (JJP, JEH, SHL), pp. 717–722.
SIGIRSIGIR-2014-BabbarPGA #approach #classification #distributed #ranking #scalability
Re-ranking approach to classification in large-scale power-law distributed category systems (RB, IP, ÉG, MRA), pp. 1059–1062.
OOPSLAOOPSLA-2014-ParrHF #adaptation #dynamic analysis #ll #parsing #power of
Adaptive LL(*) parsing: the power of dynamic analysis (TP, SH, KF), pp. 579–598.
SACSAC-2014-TesfayHBO #architecture #communication #network
Cyber-secure communication architecture for active power distribution networks (TTT, JPH, JYLB, PO), pp. 545–552.
FSEFSE-2014-LalQ #using #verification
Powering the static driver verifier using corral (AL, SQ), pp. 202–212.
ASPLOSASPLOS-2014-MuthukaruppanPM #multi #power management
Price theory based power management for heterogeneous multi-cores (TSM, AP, TM), pp. 161–176.
ASPLOSASPLOS-2014-WangGSKLK #framework
Underprovisioning backup power infrastructure for datacenters (DW, SG, AS, AK, JL, BK), pp. 177–192.
HPCAHPCA-2014-ChenZWP #named #performance
MP3: Minimizing performance penalty for power-gating of Clos network-on-chip (LC, LZ, RW, TMP), pp. 296–307.
HPCAHPCA-2014-DiTomasoKL #architecture #fault tolerance #named #power management
QORE: A fault tolerant network-on-chip architecture with power-efficient quad-function channel (QFC) buffers (DD, AKK, AL), pp. 320–331.
HPCAHPCA-2014-HayengaNL #architecture #execution #named #performance
Revolver: Processor architecture for power efficient loop execution (MH, VRKN, MHL), pp. 591–602.
HPCAHPCA-2014-MatthewsZS #power management
Scalably verifiable dynamic power management (OM, MZ, DJS), pp. 579–590.
HPCAHPCA-2014-WonCGHS #learning #network #online #power management
Up by their bootstraps: Online learning in Artificial Neural Networks for CMP uncore power management (JYW, XC, PG, JH, VS), pp. 308–319.
OSDIOSDI-2014-VenkataramanPAFS #clustering #power of #scheduling
The Power of Choice in Data-Aware Cluster Scheduling (SV, AP, GA, MJF, IS), pp. 301–316.
LICSLICS-CSL-2014-BernardoSV #higher-order #interactive #on the #power of
On the discriminating power of passivation and higher-order interaction (MB, DS, VV), p. 10.
LICSLICS-CSL-2014-Mamino #on the #power of
On the computing power of +, -, and × (MM), p. 10.
CASECASE-2013-LiFZS #adaptation #named #performance #smarttech
DEPEND: Density adaptive power efficient neighbor discovery for wearable body sensors (BL, WF, LZ, CJS), pp. 581–586.
CASECASE-2013-MaHS #grid #network #smarttech
Transmission power allocation for cooperative relay-based neighborhood area networks for smart grid (KM, GH, CJS), pp. 599–604.
CASECASE-2013-YadatiKBSN #smarttech
Incentive compatible mechanisms for power cut allocation in smart grids (CY, DK, NB, AS, YN), pp. 100–105.
DACDAC-2013-0001WAWG #approach #empirical #estimation #towards
Towards variation-aware system-level power estimation of DRAMs: an empirical approach (KC, CW, BA, NW, KG), p. 8.
DACDAC-2013-CalhounC #energy #flexibility #performance #power management
Flexible on-chip power delivery for energy efficient heterogeneous systems (BHC, KC), p. 6.
DACDAC-2013-CarloYM #3d #induction #integration #on the #power management
On the potential of 3D integration of inductive DC-DC converter for high-performance power delivery (SC, WY, SM), p. 8.
DACDAC-2013-CorbalanKTLRN #3d #challenge
Power and signal integrity challenges in 3D systems (MC, AK, TT, DL, RR, MN), p. 4.
DACDAC-2013-DinakarraoWY #3d #multi #reduction
Peak power reduction and workload balancing by space-time multiplexing based demand-supply matching for 3D thousand-core microprocessor (SMPD, KW, HY), p. 6.
DACDAC-2013-Feng #grid #power management #scalability #verification
Scalable vectorless power grid current integrity verification (ZF), p. 8.
DACDAC-2013-Flynn #power management
Power gating applied to MP-SoCs for standby-mode power management (DF), p. 5.
DACDAC-2013-Ghosh #memory management
Path to a TeraByte of on-chip memory for petabit per second bandwidth with < 5watts of power (SG), p. 2.
DACDAC-2013-JangPK #simulation
An event-driven simulation methodology for integrated switching power supplies in SystemVerilog (JEJ, MJP, JK), p. 7.
DACDAC-2013-KahngKL #reduction
Smart non-default routing for clock power reduction (ABK, SK, HL), p. 7.
DACDAC-2013-KarnikPB #power management
Power management and delivery for high-performance microprocessors (TK, M(P, SB), p. 3.
DACDAC-2013-LeeLL #3d
Power benefit study for ultra-high density transistor-level monolithic 3D ICs (YJL, DBL, SKL), p. 10.
DACDAC-2013-MishraS #grid #power management
The impact of electromigration in copper interconnects on power grid integrity (VM, SSS), p. 6.
DACDAC-2013-MuthukaruppanPVMV #manycore #power management #symmetry
Hierarchical power management for asymmetric multi-core in dark silicon era (TSM, MP, VV, TM, SV), p. 9.
DACDAC-2013-OnizawaG #clustering #network #power management #scalability
Low-power area-efficient large-scale IP lookup engine based on binary-weighted clustered networks (NO, WJG), p. 6.
DACDAC-2013-SchurmansZALACW #architecture #automation #communication #modelling #using
Creation of ESL power models for communication architectures using automatic calibration (SS, DZ, DA, RL, GA, XC, LW), p. 58.
DACDAC-2013-SharadFR #memory management #power management
Ultra low power associative computing with spin neurons and resistive crossbar memory (MS, DF, KR), p. 6.
DACDAC-2013-SunWL #design #memory management #power management
Cross-layer racetrack memory design for ultra high density and low power consumption (ZS, WW, HHL), p. 6.
DACDAC-2013-TrivediCM #case study #power management
Exploring tunnel-FET for ultra low power analog applications: a case study on operational transconductance amplifier (ART, SC, SM), p. 6.
DACDAC-2013-WangYRNZMMB #design #grid #power management
Role of power grid in side channel attack and power-grid-aware secure design (XW, WY, DBR, SN, YZ, SM, DM, SB), p. 9.
DACDAC-2013-XiongW #abstraction #constraints #grid #power management #verification
Constraint abstraction for vectorless power grid verification (XX, JW), p. 6.
DACDAC-2013-ZhanR #energy
Techniques for energy-efficient power budgeting in data centers (XZ, SR), p. 7.
DATEDATE-2013-0001WAWG #3d #energy #modelling
System and circuit level power modeling of energy-efficient 3D-stacked wide I/O DRAMs (KC, CW, BA, NW, KG), pp. 236–241.
DATEDATE-2013-BouhadibaMM #energy #modelling #validation
System-level modeling of energy in TLM for early validation of power and thermal management (TB, MM, FM), pp. 1609–1614.
DATEDATE-2013-ChenRSIFC #analysis #process
A SPICE-compatible model of graphene nano-ribbon field-effect transistors enabling circuit-level delay and power analysis under process variation (YYC, AR, AS, GI, GF, DC), pp. 1789–1794.
DATEDATE-2013-DaloukasMETS #approach #network #parallel #performance #power management
A parallel fast transform-based preconditioning approach for electrical-thermal co-simulation of power delivery networks (KD, AM, NEE, PT, GIS), pp. 1689–1694.
DATEDATE-2013-DarbelL #power management
SoC low-power practices for wireless applications (ND, SL), p. 778.
DATEDATE-2013-DoganBCABA #analysis #embedded #execution #multi #power management
Synchronizing code execution on ultra-low-power embedded multi-channel signal analysis platforms (AYD, RB, JC, GA, AB, DA), pp. 396–399.
DATEDATE-2013-DutoitGP #3d #integration #power management
3D integration for power-efficient computing (DD, EG, IMP), pp. 779–784.
DATEDATE-2013-ElfadelMA #formal method #industrial #manycore
Closed-loop control for power and thermal management in multi-core processors: formal methods and industrial practice (IME, RM, DA), pp. 1879–1881.
DATEDATE-2013-Feng #geometry #grid #power management #reduction #scalability
Large-scale flip-chip power grid reduction with geometric templates (ZF), pp. 1679–1682.
DATEDATE-2013-GrimmMP #challenge #power management
Ultra-low power: an EDA challenge (CG, JM, XP), p. 483.
DATEDATE-2013-GuoYZC #hybrid #low cost
Low cost power failure protection for MLC NAND flash storage systems with PRAM/DRAM hybrid buffer (JG, JY, YZ, YC), pp. 859–864.
DATEDATE-2013-HuangMSBP #effectiveness #performance
A fast and Effective DFT for test and diagnosis of power switches in SoCs (XH, JM, RAS, SB, DKP), pp. 1089–1092.
DATEDATE-2013-JooyaB #power management #using
Using synchronization stalls in power-aware accelerators (AJ, AB), pp. 400–403.
DATEDATE-2013-KahngKP #power management #reduction
Active-mode leakage reduction with data-retained power gating (ABK, SK, BP), pp. 1209–1214.
DATEDATE-2013-KappelHHHHH #concept #energy #power management #self
Alternative power supply concepts for self-sufficient wireless sensor nodes by energy harvesting (RK, GH, GH, TH, GH, GH), p. 481.
DATEDATE-2013-KavousianosC #testing
Testing for SoCs with advanced static and dynamic power-management capabilities (XK, KC), pp. 737–742.
DATEDATE-2013-KazmierskiWAM #energy #optimisation #performance
DoE-based performance optimization of energy management in sensor nodes powered by tunable energy-harvesters (TJK, LW, BMAH, GVM), p. 484.
DATEDATE-2013-KimJC #estimation #mobile #runtime
Runtime power estimation of mobile AMOLED displays (DK, WJ, HC), pp. 61–64.
DATEDATE-2013-KinsyCKD #architecture #grid #named #smarttech
MARTHA: architecture for control and emulation of power electronics and smart grid systems (MAK, IC, OK, SD), pp. 519–524.
DATEDATE-2013-KodakaTSYKTXSUTMM #manycore #power management #predict
A near-future prediction method for low power consumption on a many-core processor (TK, AT, SS, AY, TK, TT, HX, TS, HU, JT, TM, NM), pp. 1058–1059.
DATEDATE-2013-LiuLC #algorithm
A network-flow based algorithm for power density mitigation at post-placement stage (SYSL, RGL, HMC), pp. 1707–1710.
DATEDATE-2013-LiuLHCLL #clustering #effectiveness #linear #network #programming #prototype #statistics
Effective power network prototyping via statistical-based clustering and sequential linear programming (SYSL, CJL, CCH, HMC, CTL, CHL), pp. 1701–1706.
DATEDATE-2013-LiYHL #adaptation #named #smarttech #user interface
SmartCap: user experience-oriented power adaptation for smartphone’s application processor (XL, GY, YH, XL), pp. 57–60.
DATEDATE-2013-LorenteVSPCLD #power management #ram
Combining RAM technologies for hard-error recovery in L1 data caches working at very-low power modes (VL, AV, JS, SP, RC, PL, JD), pp. 83–88.
DATEDATE-2013-LotfianJ #architecture #hardware #power management #smarttech #using
An ultra-low power hardware accelerator architecture for wearable computers using dynamic time warping (RL, RJ), pp. 913–916.
DATEDATE-2013-MishraBTRF #energy #power management
A sub-μa power management circuit in 0.18μm CMOS for energy harvesters (BM, CB, GT, CR, PAF), pp. 1197–1202.
DATEDATE-2013-Mitcheson #adaptation #energy #performance #question
Adaptable, high performance energy harvesters: can energy harvesting deliver enough power for automotive electronics? (PDM), p. 482.
DATEDATE-2013-MiyamoriXKUST #development #manycore #power management
Development of low power many-core SoC for multimedia applications (TM, HX, TK, HU, TS, JT), pp. 773–777.
DATEDATE-2013-MockCRB #interactive #scalability
Interactions of large scale EV mobility and virtual power plants (RM, TSC, JR, LB), pp. 1725–1729.
DATEDATE-2013-OlivoGCM #power management
Electronic implants: power delivery and management (JO, SSG, SC, GDM), pp. 1540–1545.
DATEDATE-2013-PerelliCMBMB #design #health #monitoring #power management
Design of an ultra-low power device for aircraft structural health monitoring (AP, CC, LDM, DB, AM, LB), pp. 1127–1130.
DATEDATE-2013-PiriouDRR #architecture #estimation #performance #programmable #reduction
A fast and accurate methodology for power estimation and reduction of programmable architectures (EP, RD, FR, SR), pp. 1054–1055.
DATEDATE-2013-PorcarelliBBP #industrial #low cost #monitoring
Perpetual and low-cost power meter for monitoring residential and industrial appliances (DP, DB, DB, GP), pp. 1155–1160.
DATEDATE-2013-RaminiGBB #3d #analysis #manycore #power management #using
Contrasting wavelength-routed optical NoC topologies for power-efficient 3D-stacked multicore processors using physical-layer analysis (LR, PG, SB, DB), pp. 1589–1594.
DATEDATE-2013-RethyDSDG #interface #network #power management
A low-power and low-voltage BBPLL-based sensor interface in 130nm CMOS for wireless sensor networks (JVR, HD, VDS, WD, GGEG), pp. 1431–1435.
DATEDATE-2013-ShafiqueVH #adaptation #hybrid #manycore #power management #self
Self-adaptive hybrid dynamic power management for many-core systems (MS, BV, JH), pp. 51–56.
DATEDATE-2013-StanisicVCDMLM #analysis #embedded #performance #power management
Performance analysis of HPC applications on low-power embedded platforms (LS, BV, JC, AD, VMM, AL, JFM), pp. 475–480.
DATEDATE-2013-TengT #array #design #reduction
Sparse-rotary oscillator array (SROA) design for power and skew reduction (YT, BT), pp. 1229–1234.
DATEDATE-2013-WangTSL #algorithm
A power-driven thermal sensor placement algorithm for dynamic thermal management (HW, SXDT, SS, XL), pp. 1215–1220.
DATEDATE-2013-WangXZWYWNW #using
Active power-gating-induced power/ground noise alleviation using parasitic capacitance of on-chip memories (XW, JX, WZ, XW, YY, ZW, MN, ZW), pp. 1221–1224.
DATEDATE-2013-WangYWZ #3d #configuration management #manycore #network
3D reconfigurable power switch network for demand-supply matching between multi-output power converters and many-core microprocessors (KW, HY, BW, CZ), pp. 1643–1648.
DATEDATE-2013-XiaoINSC #power management
Saliency aware display power management (YX, KMI, VN, DS, NC), pp. 1203–1208.
DATEDATE-2013-ZhouMS #locality #optimisation #power management
Placement optimization of power supply pads based on locality (PZ, VM, SSS), pp. 1655–1660.
DATEDATE-2013-ZordanBDGTVB #fault #power management
Test solution for data retention faults in low-power SRAMs (LBZ, AB, LD, PG, AT, AV, NB), pp. 442–447.
PODSPODS-2013-AmelootBW #on the #power of
On the expressive power of update primitives (TJA, JVdB, EW), pp. 139–150.
PODSPODS-2013-Wong #calculus #power of #relational #set
A dichotomy in the intensional expressive power of nested relational calculi augmented with aggregate functions and a powerset operator (LW), pp. 285–296.
SIGMODSIGMOD-2013-UpadhyayaABHKRS #power of
The power of data use management in action (PU, NRA, MB, BH, RK, RR, DS), pp. 1117–1120.
STOCSTOC-2013-GuGK #maintenance #online #power of
The power of deferral: maintaining a constant-competitive steiner tree online (AG, AG, AK), pp. 525–534.
ICALPICALP-v1-2013-FotakisT #game studies #on the #power of
On the Power of Deterministic Mechanisms for Facility Location Games (DF, CT), pp. 449–460.
ICALPICALP-v1-2013-Kolmogorov #linear #power of #programming
The Power of Linear Programming for Finite-Valued CSPs: A Constructive Characterization (VK), pp. 625–636.
CHICHI-2013-BentleyT #behaviour #mobile #power of
The power of mobile notifications to increase wellbeing logging behavior (FB, KT), pp. 1095–1098.
CHICHI-2013-LomasKPCLKF #design #game studies #power of
The power of play: design lessons for increasing the lifespan of outdated computers (DL, AK, KP, DC, ML, MK, JF), pp. 2735–2744.
CHICHI-2013-WycheM #mobile
Powering the cellphone revolution: findings from mobile phone charging trials in off-grid Kenya (SW, LLM), pp. 1959–1968.
HCIDUXU-NTE-2013-MeloSAR #aspect-oriented
Ergonomics Aspects in Operators of the Electric Power Control and Operation Centers (MM, LBS, AA, FR), pp. 169–178.
HCIHCI-AS-2013-MbathaM #experience #learning #named
E-learning: The Power Source of Transforming the Learning Experience in an ODL Landscape (BM, MM), pp. 454–463.
HCIHCI-UC-2013-HsiehH #interface
The Effect of Information Quantity on Cbp Interface in the Advanced Nuclear Power Plant (MCH, SLH), pp. 166–173.
HCIHIMI-HSM-2013-AhramKAF #approach #energy
Power and Energy Management: A User-Centered System-of-Systems Engineering Approach (TZA, WK, BA, PF), pp. 3–12.
HCIHIMI-HSM-2013-ItoK
Autonomous Locomotion Based on Interpersonal Contexts of Pedestrian Areas for Intelligent Powered Wheelchair (TI, MK), pp. 480–489.
HCIHIMI-HSM-2013-LeeJ #analysis #simulation #using
Task Analysis of Soft Control Operations Using Simulation Data in Nuclear Power Plants (SJL, WJ), pp. 524–529.
CAiSECAiSE-2013-MethME #automation #elicitation #information management #requirements
Is Knowledge Power? The Role of Knowledge in Automated Requirements Elicitation (HM, AM, ME), pp. 578–593.
EDOCEDOC-2013-NowakBLU #power management #process #re-engineering
Determining Power Consumption of Business Processes and Their Activities to Enable Green Business Process Reengineering (AN, TB, FL, NU), pp. 259–266.
ICEISICEIS-J-2013-NganBEB13a #capacity #energy #framework #optimisation
Optimizing Power, Heating, and Cooling Capacity on a Decision-Guided Energy Investment Framework (CKN, AB, NEE, EB), pp. 154–173.
ICEISICEIS-v1-2013-NganBEB #capacity #energy #framework
A Decision-Guided Energy Framework for Optimal Power, Heating, and Cooling Capacity Investment (CKN, AB, NEE, EB), pp. 357–369.
ICEISICEIS-v2-2013-CuzzocreaF #analysis #comparative #exclamation #metamodelling #power of #state of the art
Comparative Analysis of State-of-the-Art Spatial Data Warehouse Meta-models — Catching the Expressive Power of SDW Schemas! (AC, RdNF), pp. 302–309.
CIKMCIKM-2013-CraneTO #maintenance
Maintaining discriminatory power in quantized indexes (MC, AT, RAO), pp. 1221–1224.
KDDKDD-2013-BhardwajSDHPS #visual notation
Palette power: enabling visual search through colors (AB, ADS, WD, RH, RP, NS), pp. 1321–1329.
MLDMMLDM-2013-NikovskiWESSMT #data analysis #detection
Smart Meter Data Analysis for Power Theft Detection (DNN, ZW, AE, HS, KS, TM, KT), pp. 379–389.
MLDMMLDM-2013-YasojimaFBOS #analysis #case study #generative #power management
Partial Discharge Analysis and Inspection Alert Generation in High Power Transformers: A Case Study of an Autotransformer Bank at Eletrobrás-ELETRONORTE Vila do Conde Station (CTKY, MSF, FdSB, TFdO, AMdS), pp. 367–378.
RecSysRecSys-2013-Seminario #collaboration #recommendation #robust
Accuracy and robustness impacts of power user attacks on collaborative recommender systems (CES), pp. 447–450.
RecSysRecSys-2013-WilsonS #collaboration #recommendation
When power users attack: assessing impacts in collaborative recommender systems (DCW, CES), pp. 427–430.
OnwardOnward-2013-Aldrich #power of #why
The power of interoperability: why objects are inevitable (JA), pp. 101–116.
POPLPOPL-2013-HurNDV #induction #power of #proving
The power of parameterization in coinductive proof (CKH, GN, DD, VV), pp. 193–206.
SACSAC-2013-CicekD #smarttech
Demand response computation for future smart grids incorporating wind power (, HD), pp. 680–685.
SACSAC-2013-VukovicD #distributed #estimation #on the #security
On the security of distributed power system state estimation under targeted attacks (OV, GD), pp. 666–672.
ASPLOSASPLOS-2013-GoiriKLNB #data transformation #energy
Parasol and GreenSwitch: managing datacenters powered by renewable energy (IG, WAK, KL, TDN, RB), pp. 51–64.
ASPLOSASPLOS-2013-MittalBBS #architecture #embedded #performance
Efficient virtualization on embedded power architecture® platforms (AM, DB, SB, VS), pp. 445–458.
ASPLOSASPLOS-2013-ShenSDZC #energy #fine-grained #manycore
Power containers: an OS facility for fine-grained power and energy management on multicore servers (KS, AS, SD, XZ, ZC), pp. 65–76.
HPCAHPCA-2013-Abdel-MajeedA #performance
Warped register file: A power efficient register file for GPGPUs (MAM, MA), pp. 412–423.
HPCAHPCA-2013-AgrawalJAT #multi #named
Refrint: Intelligent refresh to minimize power in on-chip multiprocessor cache hierarchies (AA, PJ, AA, JT), pp. 400–411.
HPCAHPCA-2013-BlemMS #architecture
Power struggles: Revisiting the RISC vs. CISC debate on contemporary ARM and x86 architectures (ERB, JM, KS), pp. 1–12.
HPCAHPCA-2013-GilaniKS #power management
Power-efficient computing for compute-intensive GPGPU applications (SZG, NSK, MJS), pp. 330–341.
HPCAHPCA-2013-GoswamiCL #architecture #memory management #throughput #using
Power-performance co-optimization of throughput core architecture using resistive memory (NG, BC, TL), pp. 342–353.
HPCAHPCA-2013-LeeKH0 #power management
Skinflint DRAM system: Minimizing DRAM chip writes for low power (YL, SK, SH, JL), pp. 25–34.
HPCAHPCA-2013-LiZL #distributed #generative
Enabling distributed generation powered sustainable high-performance data center (CL, RZ, TL), pp. 35–46.
LCTESLCTES-2013-LiJZHX #compilation #performance #power management
Compiler directed write-mode selection for high performance low power volatile PCM (QL, LJ, YZ, YH, CJX), pp. 101–110.
ICSTSAT-2013-DaviesB #power of #satisfiability
Exploiting the Power of mip Solvers in maxsat (JD, FB), pp. 166–181.
CASECASE-2012-LeeLMNL
Kinematics of the Robomec robot hand with planar and spherical four bar linkages for power grasping (SML, KDL, HKM, TSN, JWL), pp. 1120–1125.
DACDAC-2012-0001AG #memory management #realtime #runtime
Run-time power-down strategies for real-time SDRAM memory controllers (KC, BA, KG), pp. 988–993.
DACDAC-2012-AbhishekN #grid #incremental #power management #verification
Incremental power grid verification (A, FNN), pp. 151–156.
DACDAC-2012-AgostaBP #analysis
A code morphing methodology to automate power analysis countermeasures (GA, AB, GP), pp. 77–82.
DACDAC-2012-ChangH #power management
Near-threshold operation for power-efficient computing?: it depends.. (LC, WH), pp. 1159–1163.
DACDAC-2012-ChristmannBCWP #energy #power management
Energy harvesting and power management for autonomous sensor nodes (JFC, EB, CC, JW, CP), pp. 1049–1054.
DACDAC-2012-DonkohLS #adaptation #design #hybrid #predict #using
A hybrid and adaptive model for predicting register file and SRAM power using a reference design (ED, AL, ES), pp. 62–67.
DACDAC-2012-GhasemiSSK #effectiveness #power management
Cost-effective power delivery to support per-core voltage domains for power-constrained processors (HRG, AAS, MJS, NSK), pp. 56–61.
DACDAC-2012-Jeff #architecture #migration #multi
Big.LITTLE system architecture from ARM: saving power through heterogeneous multiprocessing and task context migration (BJ), pp. 1143–1146.
DACDAC-2012-KuangBK #manycore #network #optimisation
Traffic-aware power optimization for network applications on multicore servers (JK, LNB, RK), pp. 1006–1011.
DACDAC-2012-LeeKYBS #design #guidelines #power management
Circuit and system design guidelines for ultra-low power sensor nodes (YL, YK, DY, DB, DS), pp. 1037–1042.
DACDAC-2012-MengKC #3d #constraints #energy #manycore #optimisation #performance
Optimizing energy efficiency of 3-D multicore systems with stacked DRAM under power and thermal constraints (JM, KK, AKC), pp. 648–655.
DACDAC-2012-PaekMSSK #markov #named #random
PowerField: a transient temperature-to-power technique based on Markov random field theory (SP, SHM, WS, JS, LSK), pp. 630–635.
DACDAC-2012-SeversonYD #performance #question #reduction
Not so fast my friend: is near-threshold computing the answer for power reduction of wireless devices? (MS, KY, YD), pp. 1164–1166.
DACDAC-2012-ShafiqueZWBH #adaptation #memory management #multi #power management #video
Adaptive power management of on-chip video memory for multiview video coding (MS, BZ, FLW, SB, JH), pp. 866–875.
DACDAC-2012-TovinakereSD #clustering #estimation #logic
A semiempirical model for wakeup time estimation in power-gated logic clusters (VDT, OS, SD), pp. 48–55.
DACDAC-2012-ZhangWCHL #fine-grained #multi #performance
Heterogeneous multi-channel: fine-grained DRAM control for both system performance and power efficiency (GZ, HW, XC, SH, PL), pp. 876–881.
DACDAC-2012-ZhaoSL #3d #analysis
Analysis of DC current crowding in through-silicon-vias and its impact on power integrity in 3D ICs (XZ, MS, SKL), pp. 157–162.
DATEDATE-2012-BonamyPPC #configuration management #named #power management
UPaRC — Ultra-fast power-aware reconfiguration controller (RB, HMP, SP, DC), pp. 1373–1378.
DATEDATE-2012-BoseBDGHJNRSVW #challenge #manycore #power management
Power management of multi-core chips: Challenges and pitfalls (PB, AB, JAD, MSG, MBH, HMJ, IN, JAR, JS, AV, AJW), pp. 977–982.
DATEDATE-2012-DasSHMC #multi
Dynamic Directories: A mechanism for reducing on-chip interconnect power in multicores (AD, MS, NH, GM, ANC), pp. 479–484.
DATEDATE-2012-DoganCRBA #architecture #design #health #manycore #monitoring #power management #smarttech
Multi-core architecture design for ultra-low-power wearable health monitoring systems (AYD, JC, MR, AB, DA), pp. 988–993.
DATEDATE-2012-DrumlSWGH #estimation #manycore #smarttech
Estimation based power and supply voltage management for future RF-powered multi-core smart cards (ND, CS, RW, AG, JH), pp. 358–363.
DATEDATE-2012-HanPC #architecture #configuration management #power management
State-based full predication for low power coarse-grained reconfigurable architecture (KH, SP, KC), pp. 1367–1372.
DATEDATE-2012-HuangHLLLG #power management
Off-path leakage power aware routing for SRAM-based FPGAs (KH, YH, XL, BL, HL, JG), pp. 87–92.
DATEDATE-2012-JeongKKRS #memory management #named #power management
MAPG: Memory access power gating (KJ, ABK, SK, TSR, RDS), pp. 1054–1059.
DATEDATE-2012-JuanCMC #modelling #optimisation #power management #statistics
Statistical thermal modeling and optimization considering leakage power variations (DCJ, YLC, DM, YWC), pp. 605–610.
DATEDATE-2012-KnothJS #analysis #modelling
Current source modeling for power and timing analysis at different supply voltages (CK, HJ, US), pp. 923–928.
DATEDATE-2012-LiuTWY #simulation
A GPU-accelerated envelope-following method for switching power converter simulation (XL, SXDT, HW, HY), pp. 1349–1354.
DATEDATE-2012-MagnoMBPOB #network #power management
Smart power unit with ultra low power radio trigger capabilities for wireless sensor networks (MM, SJM, DB, EMP, BO, LB), pp. 75–80.
DATEDATE-2012-MakosiejTVA #design #embedded #optimisation #power management
Stability and yield-oriented ultra-low-power embedded 6T SRAM cell design optimization (AM, OT, AV, AA), pp. 93–98.
DATEDATE-2012-Meder #challenge #mobile
The mobile society — chances and challenges for micro- and power electronics (KM), p. 1.
DATEDATE-2012-MiryalaCMP #analysis #network
IR-drop analysis of graphene-based power distribution networks (SM, AC, EM, MP), pp. 81–86.
DATEDATE-2012-MorchePMV #architecture #named #power management
UWB: Innovative architectures enable disruptive low power wireless applications (DM, MP, GM, PV), pp. 1156–1160.
DATEDATE-2012-NirmaierBTHKRLP #robust
Measuring and improving the robustness of automotive smart power microelectronics (TN, VMzB, MT, MH, MK, MR, JL, GP), pp. 872–873.
DATEDATE-2012-RahmanS #power management
Post-synthesis leakage power minimization (MR, CS), pp. 99–104.
DATEDATE-2012-ShafiqueZRKH #adaptation #power management
Power-efficient error-resiliency for H.264/AVC Context-Adaptive Variable Length Coding (MS, BZ, SR, FK, JH), pp. 697–702.
DATEDATE-2012-SharmaCAHCD #power management #variability
Ultra low power litho friendly local assist circuitry for variability resilient 8T SRAM (VS, SC, MA, JH, FC, WD), pp. 1042–1047.
DATEDATE-2012-SinkarWK #manycore #optimisation #performance
Workload-aware voltage regulator optimization for power efficient multi-core processors (AAS, HW, NSK), pp. 1134–1137.
DATEDATE-2012-TabkhiS #approach #power management
Application-specific power-efficient approach for reducing register file vulnerability (HT, GS), pp. 574–577.
DATEDATE-2012-TurturiciSFF #embedded #power management #realtime
Low-power embedded system for real-time correction of fish-eye automotive cameras (MT, SS, LF, EF), pp. 340–341.
DATEDATE-2012-VyagrheswaruduDR #framework #interactive #named #optimisation
PowerAdviser: An RTL power platform for interactive sequential optimizations (NV, SD, AR), pp. 550–553.
DATEDATE-2012-WangJZD #design #power management
Low power aging-aware register file design by duty cycle balancing (SW, TJ, CZ, GD), pp. 546–549.
DATEDATE-2012-WangRR #energy #runtime
Run-time power-gating in caches of GPUs for leakage energy savings (YW, SR, NR), pp. 300–303.
DATEDATE-2012-WangTLG #runtime
Runtime power estimator calibration for high-performance microprocessors (HW, SXDT, XL, AG), pp. 352–357.
DATEDATE-2012-WilleDOO #automation #design #power management #synthesis #using
Automatic design of low-power encoders using reversible circuit synthesis (RW, RD, CO, AGO), pp. 1036–1041.
DATEDATE-2012-XuLHRHT #analysis #power management
Variation-aware leakage power model extraction for system-level hierarchical power analysis (YX, BL, RH, BR, CH, JT), pp. 346–351.
DATEDATE-2012-YuBL #adaptation #complexity #power management
A complexity adaptive channel estimator for low power (ZY, CHvB, HL), pp. 1531–1536.
DATEDATE-2012-ZhangPM #3d #analysis #grid #power management
Voltage propagation method for 3-D power grid analysis (CZ, VFP, GDM), pp. 844–847.
DATEDATE-2012-ZhengLGBYC #communication #configuration management #power management
Power-efficient calibration and reconfiguration for on-chip optical communication (YZ, PL, MG, JB, SY, KTC), pp. 1501–1506.
DATEDATE-2012-ZimmermannBR #analysis #multi #power management
Analysis of multi-domain scenarios for optimized dynamic power management strategies (JZ, OB, WR), pp. 862–865.
PODSPODS-2012-ChoromanskiM #algorithm #database #graph #power of #privacy #statistics
The power of the dinur-nissim algorithm: breaking privacy of statistical and graph databases (KC, TM), pp. 65–76.
SIGMODSIGMOD-2012-PavlidisMCBBRYMHR #recommendation #social #social media
Anatomy of a gift recommendation engine powered by social media (YP, MM, IC, AB, RB, RR, RY, MM, VH, AR), pp. 757–764.
VLDBVLDB-2012-KoubarakisKKNVGSBMKPHMKIPZDSDEMGSPRKG #named
TELEIOS: A Database-Powered Virtual Earth Observatory (MK, KK, MK, CN, SV, GG, MS, KB, DM, CK, IP, TH, SM, MLK, MI, HP, YZ, MD, GS, COD, DEM, KM, UDG, MS, SP, TR, EK, RG), pp. 2010–2013.
MSRMSR-2012-Hindle #mining #power management
Green mining: A methodology of relating software change to power consumption (AH), pp. 78–87.
PLDIPLDI-2012-SarkarMOBSMAW #c #c++
Synchronising C/C++ and POWER (SS, KM, SO, MB, PS, LM, JA, DW), pp. 311–322.
DLTDLT-2012-Kari #automaton
Cellular Automata, the Collatz Conjecture and Powers of 3/2 (JK), pp. 40–49.
ICALPICALP-v1-2012-MegowSVW #online #power of
The Power of Recourse for Online MST and TSP (NM, MS, JV, AW), pp. 689–700.
ICALPICALP-v2-2012-KuperbergB #infinity #logic #on the #power of #word
On the Expressive Power of Cost Logics over Infinite Words (DK, MVB), pp. 287–298.
CSCWCSCW-2012-WashL #power of #social #social media
The power of the ask in social media (RW, CL), pp. 1187–1190.
CIKMCIKM-2012-NguyenDTH #power of
Federated search in the wild: the combined power of over a hundred search engines (DN, TD, DT, DH), pp. 1874–1878.
ECIRECIR-2012-Bloom #analysis #graph
Applying Power Graph Analysis to Weighted Graphs (NB), pp. 548–551.
ICPRICPR-2012-YoonFW #generative
Bayesian separation of wind power generation signals (JWY, FF, MW), pp. 2660–2663.
KDDKDD-2012-BellalaMALB #power management
Following the electrons: methods for power management in commercial buildings (GB, MM, MFA, GL, CB), pp. 994–1002.
SEKESEKE-2012-DagninoSR #fault #machine learning #using
Forecasting Fault Events in Power Distribution Grids Using Machine Learning (AD, KS, LR), pp. 458–463.
SEKESEKE-2012-XiePDMRTR #categorisation #clustering #grid #power management
Progressive Clustering with Learned Seeds: An Event Categorization System for Power Grid (BX, RJP, HD, JYM, AR, AT, CR), pp. 100–105.
POPLPOPL-2012-BattyMOSS #c #c++ #compilation #concurrent
Clarifying and compiling C/C++ concurrency: from C++11 to POWER (MB, KM, SO, SS, PS), pp. 509–520.
POPLPOPL-2012-CretinR #abstraction #on the #power of
On the power of coercion abstraction (JC, DR), pp. 361–372.
SACSAC-2012-MbarekKPA #design #modelling #power management #using
Using model driven engineering to reliably accelerate early Low Power Intent Exploration for a system-on-chip design (OM, AK, AP, MA), pp. 1580–1587.
SACSAC-2012-SaidJA #collaboration
Analyzing weighting schemes in collaborative filtering: cold start, post cold start and power users (AS, BJJ, SA), pp. 2035–2040.
SACSAC-2012-SwainCNB #analysis #markov #performance #using
Performance analysis of IEEE 802.11 IBSS power save mode using a discrete-time markov model (PS, SC, SN, PB), pp. 631–633.
ICSEICSE-2012-Hindle #mining #power management
Green mining: Investigating power consumption across versions (AH), pp. 1301–1304.
ICSEICSE-2012-Sassen
Digital formations of the powerful and the powerless (Keynote) (SS), p. 961.
ASPLOSASPLOS-2012-GovindanWSU #energy
Leveraging stored energy for handling power emergencies in aggressively provisioned datacenters (SG, DW, AS, BU), pp. 75–86.
ASPLOSASPLOS-2012-LinWLZ #named #power management #smarttech #using
Reflex: using low-power processors in smartphones without knowing them (FXL, ZW, RL, LZ), pp. 13–24.
HPCAHPCA-2012-SartoriAK #pipes and filters
Power balanced pipelines (JS, BA, RK), pp. 261–272.
HPCAHPCA-2012-VegaBBDFJM #architecture
Architectural perspectives of future wireless base stations based on the IBM PowerEN™ processor (AV, PB, AB, JHD, MF, CJ, RKM), pp. 423–432.
HPCAHPCA-2012-YanLHLGL #architecture #hybrid #manycore #named #performance
AgileRegulator: A hybrid voltage regulator scheme redeeming dark silicon for power efficiency in a multicore architecture (GY, YL, YH, XL, MG, XL), pp. 287–298.
HPDCHPDC-2012-BaeXDL #adaptation #energy #multi #performance
Dynamic adaptive virtual core mapping to improve power, energy, and performance in multi-socket multicores (CB, LX, PAD, JRL), pp. 247–258.
HPDCHPDC-2012-GamellRPM #framework #power management
Exploring cross-layer power management for PGAS applications on the SCC platform (MG, IR, MP, RM), pp. 235–246.
OSDIOSDI-2012-GonzalezLGBG #distributed #graph #named
PowerGraph: Distributed Graph-Parallel Computation on Natural Graphs (JEG, YL, HG, DB, CG), pp. 17–30.
CAVCAV-2012-Mador-HaimMSMAOAMSW #axiom #memory management #multi
An Axiomatic Memory Model for POWER Multiprocessors (SMH, LM, SS, KM, JA, SO, RA, MMKM, PS, DW), pp. 495–512.
ICSTICST-2012-GrooteOW #formal method #using
Analyzing a Controller of a Power Distribution Unit Using Formal Methods (JFG, AO, JHW), pp. 420–428.
DACDAC-2011-AbrishamiLQFP #optimisation #power management
Post sign-off leakage power optimization (HA, JL, JQ, JF, MP), pp. 453–458.
DACDAC-2011-AdirNSZMS #validation #verification
Leveraging pre-silicon verification resources for the post-silicon validation of the IBM POWER7 processor (AA, AN, GS, AZ, CM, JS), pp. 569–574.
DACDAC-2011-BayrakRBSI #analysis #automation #towards
A first step towards automatic application of power analysis countermeasures (AGB, FR, PB, FXS, PI), pp. 230–235.
DACDAC-2011-CevreroRSBIL #library #logic #power management #standard
Power-gated MOS current mode logic (PG-MCML): a power aware DPA-resistant standard cell library (AC, FR, MS, SB, PI, YL), pp. 1014–1019.
DACDAC-2011-CoptyKN #analysis #architecture #performance #statistics #transaction
Transaction level statistical analysis for efficient micro-architectural power and performance studies (EC, GK, SN), pp. 351–356.
DACDAC-2011-GhaniN #branch #grid #power management #using #verification
Power grid verification using node and branch dominance (NHAG, FNN), pp. 682–687.
DACDAC-2011-HaddadN #analysis #grid #power management #using
Power grid correction using sensitivity analysis under an RC model (PAH, FNN), pp. 688–693.
DACDAC-2011-HenrySN #embedded #power management
A case for NEMS-based functional-unit power gating of low-power embedded microprocessors (MBH, MS, LN), pp. 872–877.
DACDAC-2011-HsuLFWHHY #analysis #design #manycore #modelling #named
PowerDepot: integrating IP-based power modeling with ESL power analysis for multi-core SoC designs (CWH, JLL, SCF, CCW, SYH, WTH, JCY), pp. 47–52.
DACDAC-2011-HuangSH #power management
Progressive network-flow based power-aware broadcast addressing for pin-constrained digital microfluidic biochips (TWH, HYS, TYH), pp. 741–746.
DACDAC-2011-IqbalSH #dependence #fault #monte carlo #named #power management #probability #scheduling
SEAL: soft error aware low power scheduling by Monte Carlo state space under the influence of stochastic spatial and temporal dependencies (NI, MAS, JH), pp. 134–139.
DACDAC-2011-JavaidSPH #adaptation #case study #multi #pipes and filters #power management #video
Low-power adaptive pipelined MPSoCs for multimedia: an H.264 video encoder case study (HJ, MS, SP, JH), pp. 1032–1037.
DACDAC-2011-KimKY #named #network #power management
FlexiBuffer: reducing leakage power in on-chip network routers (GK, JK, SY), pp. 936–941.
DACDAC-2011-LeeJ #framework #modelling #named #process
CACTI-FinFET: an integrated delay and power modeling framework for FinFET-based caches under process variations (CYL, NKJ), pp. 866–871.
DACDAC-2011-LiMCMS #modelling #network #performance #reliability #simulation
Device modeling and system simulation of nanophotonic on-chip networks for reliability, power and performance (ZL, MM, XC, ARM, LS), pp. 735–740.
DACDAC-2011-LiuZXL #clustering #hybrid #in memory #memory management #power management
Power-aware variable partitioning for DSPs with hybrid PRAM and DRAM main memory (TL, YZ, CJX, ML), pp. 405–410.
DACDAC-2011-NowrozWR #modelling #using
Improved post-silicon power modeling using AC lock-in techniques (ANN, GW, SR), pp. 101–106.
DACDAC-2011-ParkYL #hybrid #in memory #memory management #power management
Power management of hybrid DRAM/PRAM-based main memory (HP, SY, SL), pp. 59–64.
DACDAC-2011-RahmanATS #library #physics #reduction #synthesis
Power reduction via separate synthesis and physical libraries (MR, RA, HT, CS), pp. 627–632.
DACDAC-2011-SunLT #analysis #approximate #grid #incremental #performance #power management
Efficient incremental analysis of on-chip power grid via sparse approximation (PS, XL, MYT), pp. 676–681.
DACDAC-2011-TsengGS #comprehension #memory management
Understanding the impact of power loss on flash memory (HWT, LMG, SS), pp. 35–40.
DACDAC-2011-WangTW #metric #optimisation
In-field aging measurement and calibration for power-performance optimization (SW, MT, LW), pp. 706–711.
DACDAC-2011-WangXAP #classification #learning #policy #power management #using
Deriving a near-optimal power management policy using model-free reinforcement learning and Bayesian classification (YW, QX, ACA, MP), pp. 41–46.
DACDAC-2011-WhatmoughDBD #power management
Error-resilient low-power DSP via path-delay shaping (PNW, SD, DMB, ID), pp. 1008–1013.
DACDAC-2011-XuLY #design #power management
Decoupling for power gating: sources of power noise and design strategies (TX, PL, BY), pp. 1002–1007.
DATEDATE-2011-AcquavivaPOS #power management #reliability
System level techniques to improve reliability in high power microcontrollers for automotive applications (AA, MP, MO, MS), pp. 1123–1124.
DATEDATE-2011-BalasubramanianSMNDKMPPVT #low cost #power management #robust
Circuit and DFT techniques for robust and low cost qualification of a mixed-signal SoC with integrated power management system (LB, PS, RKM, PN, RKD, ADK, SM, SP, HP, RCV, ST), pp. 551–554.
DATEDATE-2011-BarrioMMMH #optimisation
Power optimization in heterogenous datapaths (AADB, SOM, MCM, JMM, RH), pp. 1400–1405.
DATEDATE-2011-BathenD #distributed #embedded #named #power management #reliability
E-RoC: Embedded RAIDs-on-Chip for low power distributed dynamically managed reliable memories (LADB, NDD), pp. 1141–1146.
DATEDATE-2011-BernardC #power management
A low-power VLIW processor for 3GPP-LTE complex numbers processing (CB, FC), pp. 234–239.
DATEDATE-2011-BilgicPGB #industrial #power management
Low-power smart industrial control (AB, VP, MG, FB), pp. 595–599.
DATEDATE-2011-BoghratiS #analysis #grid #performance #power management #random
A scaled random walk solver for fast power grid analysis (BB, SSS), pp. 38–43.
DATEDATE-2011-Brown #power management #roadmap
Power management trends in portable consumer applications (JB), pp. 1048–1052.
DATEDATE-2011-CarliBBR #effectiveness #energy #multi #power management
An effective multi-source energy harvester for low power applications (DC, DB, LB, MR), pp. 836–841.
DATEDATE-2011-ChakrabortyR #manycore
Topologically homogeneous power-performance heterogeneous multicore systems (KC, SR), pp. 125–130.
DATEDATE-2011-ChenLWH #3d #architecture #network
A new architecture for power network in 3D IC (HTC, HLL, ZCW, TH), pp. 401–406.
DATEDATE-2011-GhasemazarP #architecture #multi #power management
Variation aware dynamic power management for chip multiprocessor architectures (MG, MP), pp. 473–478.
DATEDATE-2011-GoyalN #grid #performance #power management #using #verification
Efficient RC power grid verification using node elimination (AG, FNN), pp. 257–260.
DATEDATE-2011-GrammatikakisPSP #estimation #using
System-level power estimation methodology using cycle- and bit-accurate TLM (MDG, SP, JPS, CP), pp. 1125–1126.
DATEDATE-2011-HealyL #3d #network #novel
A novel TSV topology for many-tier 3D power-delivery networks (MBH, SKL), pp. 261–264.
DATEDATE-2011-KapoorHT #case study #experience #power management #verification
Power management verification experiences in Wireless SoCs (BK, AH, PT), pp. 507–508.
DATEDATE-2011-KapoorJ #design #embedded #power management #tutorial #verification
Embedded tutorial: Addressing critical power management verification issues in low power designs (BK, KMJ), p. 124.
DATEDATE-2011-KaraklajicFSV #detection #fault #low cost #using
Low-cost fault detection method for ECC using Montgomery powering ladder (DK, JF, JMS, IV), pp. 1016–1021.
DATEDATE-2011-KobayashiH #analysis #correlation #power management
An LOCV-based static timing analysis considering spatial correlations of power supply variations (SK, KH), pp. 559–562.
DATEDATE-2011-KolpeZS #clustering #manycore #power management
Enabling improved power management in multicore processors through clustered DVFS (TK, AZ, SSS), pp. 293–298.
DATEDATE-2011-LuPRR #energy #optimisation
Stage number optimization for switched capacitor power converters in micro-scale energy harvesting (CL, SPP, VR, KR), pp. 770–775.
DATEDATE-2011-MirhoseiniK #energy #hybrid #optimisation #performance
HypoEnergy. Hybrid supercapacitor-battery power-supply optimization for Energy efficiency (AM, FK), pp. 887–890.
DATEDATE-2011-MistryAFH #power management
Sub-clock power-gating technique for minimising leakage power during active mode (JNM, BMAH, DF, SH), pp. 106–111.
DATEDATE-2011-MotaS #detection #testing
A true power detector for RF PA built-in calibration and testing (PFdM, JMdS), pp. 365–370.
DATEDATE-2011-PangrleBCDJ #design #power management #verification
Beyond UPF & CPF: Low-power design and verification (BMP, JB, CC, OD, KMJ), p. 252.
DATEDATE-2011-ParkYL #novel #power management
A novel tag access scheme for low power L2 cache (HP, SY, SL), pp. 655–660.
DATEDATE-2011-PasettiCTSDSF
Characterization of an Intelligent Power Switch for LED driving with control of wiring parasitics effects (GP, NC, FT, RS, PD, SS, LF), pp. 1119–1120.
DATEDATE-2011-RabaeyMCSTGWW #communication
Powering and communicating with mm-size implants (JMR, MM, DC, CS, CT, SG, MW, DW), pp. 722–727.
DATEDATE-2011-RahmanTS #reduction
Power reduction via near-optimal library-based cell-size selection (MR, HT, CS), pp. 867–870.
DATEDATE-2011-ReddyCBJ #complexity #power management
A low complexity stopping criterion for reducing power consumption in turbo decoders (PR, FC, AB, MJ), pp. 649–654.
DATEDATE-2011-RinaudoGCMP #approach #design #energy #performance #power management
Moving to Green ICT: From stand-alone power-aware IC design to an integrated approach to energy efficient design for heterogeneous electronic systems (SR, GG, AC, AM, MP), pp. 1127–1128.
DATEDATE-2011-SterponeCMWF #configuration management #power management
A new reconfigurable clock-gating technique for low power SRAM-based FPGAs (LS, LC, DM, SW, FF), pp. 752–757.
DATEDATE-2011-TsengHWFC #black box #compilation #library #modelling #power management
Black-box leakage power modeling for cell library and SRAM compiler (CKT, SYH, CCW, SCF, JJC), pp. 637–642.
DATEDATE-2011-Wang #coordination #gpu #kernel #power management
Coordinate strip-mining and kernel fusion to lower power consumption on GPU (GW), pp. 1218–1219.
DATEDATE-2011-WeddellMA #power management
Ultra low-power photovoltaic MPPT technique for indoor and outdoor wireless sensor nodes (ASW, GVM, BMAH), pp. 905–908.
DATEDATE-2011-WohSDKSBM #power management
Low power interconnects for SIMD computers (MW, SS, RGD, DK, DS, DB, TNM), pp. 600–605.
DATEDATE-2011-WuDL #multi
Power-driven global routing for multi-supply voltage domains (THW, AD, JTL), pp. 443–448.
DATEDATE-2011-YangSSL #reduction #testing
A clock-gating based capture power droop reduction methodology for at-speed scan testing (BY, AS, SS, CL), pp. 197–203.
DATEDATE-2011-ZhangHCW #grid #network #power management #reduction
A block-diagonal structured model reduction scheme for power grid networks (ZZ, XH, CKC, NW), pp. 44–49.
VLDBVLDB-2012-MarcusWKMM11
Human-powered Sorts and Joins (AM, EW, DRK, SM, RCM), pp. 13–24.
FoSSaCSFoSSaCS-2011-DelzannoSZ #ad hoc #clique #network #on the #power of #verification
On the Power of Cliques in the Parameterized Verification of Ad Hoc Networks (GD, AS, GZ), pp. 441–455.
PLDIPLDI-2011-SampsonDFGCG #approximate #data type #named #power management
EnerJ: approximate data types for safe and general low-power computation (AS, WD, EF, DG, LC, DG), pp. 164–174.
PLDIPLDI-2011-SarkarSAMW #comprehension #multi
Understanding POWER multiprocessors (SS, PS, JA, LM, DW), pp. 175–186.
STOCSTOC-2011-Kopparty #complexity #finite #on the
On the complexity of powering in finite fields (SK), pp. 489–498.
STOCSTOC-2011-PatrascuT #power of
The power of simple tabulation hashing (MP, MT), pp. 1–10.
DLTDLT-J-2009-Fazekas11 #regular expression
Powers of Regular Languages (SZF), pp. 323–330.
DLTDLT-J-2010-Shur11 #on the
On the existence of Minimal β-powers (AMS), pp. 1683–1696.
CIAACIAA-2011-HolzerK #automaton #finite
Gaining Power by Input Operations: Finite Automata and Beyond (MH, MK), pp. 16–29.
DLTDLT-2011-Blanchet-SadriS #word
Avoiding Abelian Powers in Partial Words (FBS, SS), pp. 70–81.
DLTDLT-2011-RampersadV #on the #word
On Highly Repetitive and Power Free Words (NR, EV), pp. 441–451.
DLTDLT-2011-Shur
Growth Properties of Power-Free Languages (AMS), pp. 28–43.
ICALPICALP-v1-2011-AllenderFG #power of #random #string
Limits on the Computational Power of Random Strings (EA, LF, WIG), pp. 293–304.
ICALPICALP-v1-2011-AllenderW #algebra #branch #on the #power of #source code
On the Power of Algebraic Branching Programs of Width Two (EA, FW), pp. 736–747.
ICALPICALP-v1-2011-Zhang #bound #communication #complexity #on the #power of #quantum
On the Power of Lower Bound Methods for One-Way Quantum Communication Complexity (SZ), pp. 49–60.
ICALPICALP-v2-2011-DamsHK #convergence
Convergence Time of Power-Control Dynamics (JD, MH, TK), pp. 637–649.
CHICHI-2011-BadshahGCVHP #feedback #generative #interactive #self
Interactive generator: a self-powered haptic feedback device (AB, SG, GC, NV, SH, SNP), pp. 2051–2054.
HCIDHM-2011-LinMC
Dynamic Power Tool Operation Model: Experienced Users vs. Novice Users (JHL, RWM, CCC), pp. 394–398.
HCIHCI-ITE-2011-PatkiGNP #power management
Low Power Wireless EEG Headset for BCI Applications (SP, BG, TN, JP), pp. 481–490.
HCIHCI-MIIE-2011-KimDCH #behaviour #energy
Assessing the Effect of a Power-Flow Gauge on Driving Behaviors Affecting Energy Consumption (SHK, HD, EC, HH), pp. 411–417.
HCIHCI-UA-2011-LeeKJ #analysis #fault
Control Error Analysis of Computerized Operational Environment in Nuclear Power Plants (SJL, JK, SCJ), pp. 360–367.
HCIHIMI-v1-2011-RichardRMM #design pattern #developer #power of #user interface
Giving UI Developers the Power of UI Design Patterns (JR, JMR, SM, JM), pp. 40–47.
ICEISICEIS-J-2011-GuLZWLSLW #enterprise #evaluation #generative #information management #process #safety
Intelligent Information Acquisition and Utilization in Safety Evaluation Decision Making Process of Power Generation Enterprises (DxG, CyL, JZ, JW, WL, JS, WL, YW), pp. 162–177.
ICEISICEIS-v2-2011-GuLZBWC #assessment #enterprise #information management #safety
A Case-based Enterprise Information System for Thermal Power Plants’ Safety Assessment (DxG, CyL, CrZ, IB, JW, WeC), pp. 32–39.
ICEISICEIS-v3-2011-TangX #analysis #communication #modelling #network
Modeling and Analysis of a Power Line Communication Network Subject to Channel Failure (ST, YX), pp. 184–189.
CIKMCIKM-2011-Gal #nondeterminism #power of
Uncertain schema matching: the power of not knowing (AG), pp. 2615–2616.
CIKMCIKM-2011-LiYL #power of #predict #random
Link prediction: the power of maximal entropy random walk (RHL, JXY, JL), pp. 1147–1156.
ECIRECIR-2011-CraswellFN #power of
The Power of Peers (NC, DF, MN), pp. 497–502.
KDDKDD-2011-JinWLYH #mining #named #network #power of #social #social media
LikeMiner: a system for mining the power of “like” in social media networks (XJ, CW, JL, XY, JH), pp. 753–756.
KDDKDD-2011-Norton #analysis #power of
The power of analysis and data (DN), p. 784.
MLDMMLDM-2011-AdolfHHCH #analysis #grid #power management
Techniques for Improving Filters in Power Grid Contingency Analysis (RA, DH, MH, YC, ZH), pp. 599–611.
RecSysRecSys-2011-BourkeMS #people #recommendation #social
Power to the people: exploring neighbourhood formations in social recommender system (SB, KM, BS), pp. 337–340.
RecSysRecSys-2011-SekoYMM #behaviour #recommendation #representation #using
Group recommendation using feature space representing behavioral tendency and power balance among members (SS, TY, MM, SyM), pp. 101–108.
SEKESEKE-2011-LiuGCJ #architecture #design #distributed #grid #power management #realtime
Designing a Distributed Systems Architecture Testbed for Real-Time Power Grid Systems (YL, IG, YC, SJ), pp. 268–271.
RERE-2011-MilneM #requirements #research
Power and politics in requirements engineering: A proposed research agenda (AM, NAMM), pp. 187–196.
SACSAC-2011-ChenHT #clustering #power management #quality #requirements
Power management schemes for heterogeneous clusters under quality of service requirements (JJC, KH, LT), pp. 546–553.
SACSAC-2011-DaniAS #algorithm #architecture #manycore #search-based
Applying genetic algorithms to optimize the power in tiled SNUCA chip multicore architectures (AMD, BA, YNS), pp. 1090–1091.
SACSAC-2011-LeePKKS #grid #power management #reduction #scheduling #smarttech
Power consumption scheduling for peak load reduction in smart grid homes (JL, GLP, SWK, HJK, COS), pp. 584–588.
SACSAC-2011-ParisAL #on-demand
Accelerated chaining: a better way to harness peer power in video-on-demand applications (JFP, AA, DDEL), pp. 534–539.
ASPLOSASPLOS-2011-DengMRWB #in memory #memory management #named #power management
MemScale: active low-power modes for main memory (QD, DM, LER, TFW, RB), pp. 225–238.
ASPLOSASPLOS-2011-EsmaeilzadehCXBM #hardware #performance #roadmap #scalability
Looking back on the language and hardware revolutions: measured power, performance, and scaling (HE, TC, XY, SMB, KSM), pp. 319–332.
ASPLOSASPLOS-2011-HoffmannSCMAR #power management
Dynamic knobs for responsive power-aware computing (HH, SS, MC, SM, AA, MCR), pp. 199–212.
ASPLOSASPLOS-2011-LiuPMZ #clustering #named
Flikker: saving DRAM refresh-power through critical data partitioning (SL, KP, TM, BGZ), pp. 213–224.
ASPLOSASPLOS-2011-SharmaBIS #clustering #named
Blink: managing server clusters on intermittent power (NS, SKB, DEI, PJS), pp. 185–198.
CGOCGO-2011-JonesBMC #optimisation #performance
Link-time optimization for power efficiency in a tagless instruction cache (TMJ, SB, JM, DC), pp. 32–41.
HPCAHPCA-2011-JacobsonBBAE #abstraction #architecture #modelling #scalability
Abstraction and microarchitecture scaling in early-stage power modeling (HMJ, AB, PB, EA, RJE), pp. 394–405.
HPCAHPCA-2011-LiHL0DTW #network
Power shifting in Thrifty Interconnection Network (JL, WH, CL, LZ, WED, RRT, KW), pp. 156–167.
HPCAHPCA-2011-LiZCL #architecture #energy #manycore #named #power management
SolarCore: Solar energy driven multi-core architecture power management (CL, WZ, CBC, TL), pp. 205–216.
HPCAHPCA-2011-MadanBBA #manycore #power management
A case for guarded power gating for multi-core processors (NM, AB, PB, MA), pp. 291–300.
HPDCHPDC-2011-ZhangWW
Capping the electricity cost of cloud-scale data centers with impacts on power markets (YZ, YW, XW), pp. 271–272.
CADECADE-2011-KoksalKS #power of #programming #scala #smt
Scala to the Power of Z3: Integrating SMT and Programming (ASK, VK, PS), pp. 400–406.
CSLCSL-2011-AdamekMMS
Power-Set Functors and Saturated Trees (JA, SM, LSM, LS), pp. 5–19.
ECSAECSA-2010-Bahsoon #architecture #dependence #framework #requirements #self
A Framework for Dynamic Self-optimization of Power and Dependability Requirements in Green Cloud Architectures (RB), pp. 510–514.
CASECASE-2010-HanSL #predict #random
Modified generalized predictive power control for wireless networked systems with random delays (CH, DS, ZL), pp. 509–514.
CASECASE-2010-HolsonbackK #aspect-oriented
Thermal aspects of a shipboard integrated electric power system (CRH, TMK), pp. 742–749.
DACDAC-2010-CabeQS #power management
Stacking SRAM banks for ultra low power standby mode operation (ACC, ZQ, MRS), pp. 699–704.
DACDAC-2010-ChenXDM #manycore #modelling #performance
Performance and power modeling in a multi-programmed multi-core environment (XC, CX, RPD, ZMM), pp. 813–818.
DACDAC-2010-Chiprout #power management
On-die power grids: the missing link (EC), pp. 940–945.
DACDAC-2010-DhimanMR #modelling #online #predict #using
A system for online power prediction in virtualized environments using Gaussian mixture models (GD, KM, TR), pp. 807–812.
DACDAC-2010-FengZ #analysis #grid #parallel #power management #robust
Parallel multigrid preconditioning on graphics processing units (GPUs) for robust power grid analysis (ZF, ZZ), pp. 661–666.
DACDAC-2010-FujitaYLCAW #power management
Detachable nano-carbon chip with ultra low power (SF, SY, DL, XC, DA, HSPW), pp. 631–632.
DACDAC-2010-HazraMDPBG #architecture #modelling #verification
Leveraging UPF-extracted assertions for modeling and formal verification of architectural power intent (AH, SM, PD, AP, DB, KG), pp. 773–776.
DACDAC-2010-HelinskiAP #evaluation #metric #physics #quality
Quality metric evaluation of a physical unclonable function derived from an IC’s power distribution system (RH, DA, JP), pp. 240–243.
DACDAC-2010-JohnR #smarttech
Smart phone power (JJ, CR), pp. 935–936.
DACDAC-2010-KahngKKS #design #power management
Recovery-driven design: a power minimization methodology for error-tolerant processor modules (ABK, SK, RK, JS), pp. 825–830.
DACDAC-2010-Koushanfar #hybrid #network #power management
Hierarchical hybrid power supply networks (FK), pp. 629–630.
DACDAC-2010-NsBNPSGB #design #future of #power management #question #what
What’s cool for the future of ultra low power designs? (NN, JB, KN, VP, TS, AG, SB), pp. 523–524.
DACDAC-2010-PashaDS #architecture #generative #power management
A complete design-flow for the generation of ultra low-power WSN node architectures based on micro-tasking (MAP, SD, OS), pp. 693–698.
DACDAC-2010-SeomunSS #implementation #power management #synthesis
Synthesis and implementation of active mode power gating circuits (JS, IS, YS), pp. 487–492.
DACDAC-2010-ShenTX #algorithm #analysis #correlation #linear #power management #statistics
A linear algorithm for full-chip statistical leakage power analysis considering weak spatial correlation (RS, SXDT, JX), pp. 481–486.
DACDAC-2010-SridharanM #embedded #power management #realtime #reliability
Reliability aware power management for dual-processor real-time embedded systems (RS, RNM), pp. 819–824.
DACDAC-2010-XiongW #algorithm #constraints #grid #linear #performance #power management #verification
An efficient dual algorithm for vectorless power grid verification under linear current constraints (XX, JW), pp. 837–842.
DACDAC-2010-ZengYFL #analysis #network #optimisation #power management #trade-off
Tradeoff analysis and optimization of power delivery networks with on-chip voltage regulation (ZZ, XY, ZF, PL), pp. 831–836.
DATEDATE-2010-AhlendorfG #challenge #design #hardware #monitoring #power management
Hardware / software design challenges of low-power sensor nodes for condition monitoring (HA, LG), p. 659.
DATEDATE-2010-AlordaTBS #power management
Static and dynamic stability improvement strategies for 6T CMOS low-power SRAMs (BA, GT, SAB, JS), pp. 429–434.
DATEDATE-2010-Aue #internet #mobile #power management #using
Low power mobile internet devices using LTE technology (VA), p. 794.
DATEDATE-2010-BalatsoukaTKC #fault #power management #testing
Defect aware X-filling for low-power scan testing (SB, VT, XK, KC), pp. 873–878.
DATEDATE-2010-BellasiBCFS #framework #mobile #multi #power management
Constrained Power Management: Application to a multimedia mobile platform (PB, SB, MC, WF, DS), pp. 989–992.
DATEDATE-2010-BoydSS #detection #process #trade-off
Power-accuracy tradeoffs in human activity transition detection (JB, HS, AS), pp. 1524–1529.
DATEDATE-2010-CaoN #markov #protocol
High-fidelity markovian power model for protocols (JC, AN), pp. 267–270.
DATEDATE-2010-ChenLTL #design #power management #standard
Power gating design for standard-cell-like structured ASICs (SYC, RBL, HHT, KWL), pp. 514–519.
DATEDATE-2010-Flautner #performance
Optimize your power and performance yields and regain those sleepless nights (KF), p. 1006.
DATEDATE-2010-GaoH #geometry #optimisation #programming #using
A power optimization method for CMOS Op-Amps using sub-space based geometric programming (WG, RH), pp. 508–513.
DATEDATE-2010-GhoshS #performance #perspective
Power efficient voltage islanding for Systems-on-chip from a floorplanning perspective (PG, AS), pp. 654–657.
DATEDATE-2010-HenryN #power management
From transistors to MEMS: Throughput-aware power gating in CMOS circuits (MBH, LN), pp. 130–135.
DATEDATE-2010-JamaaMM #logic #power management
Power consumption of logic circuits in ambipolar carbon nanotube technology (MHBJ, KM, GDM), pp. 303–306.
DATEDATE-2010-JungP #network #nondeterminism #optimisation #power management
Optimizing the power delivery network in dynamically voltage scaled systems with uncertain power mode transition times (HJ, MP), pp. 351–356.
DATEDATE-2010-Kheradmand-BoroujeniPL #independence #novel #process
AVGS-Mux style: A novel technology and device independent technique for reducing power and compensating process variations in FPGA fabrics (BKB, CP, YL), pp. 339–344.
DATEDATE-2010-LiSBNO #analysis #implementation
Power Variance Analysis breaks a masked ASIC implementation of AES (YL, KS, LB, DN, KO), pp. 1059–1064.
DATEDATE-2010-LiuTL #automation #design #optimisation #power management
Combining optimizations in automated low power design (QL, TT, WL), pp. 1791–1796.
DATEDATE-2010-LiuTQ #algorithm #constraints #performance #power management
Enhanced Q-learning algorithm for dynamic power management with performance constraint (WL, YT, QQ), pp. 602–605.
DATEDATE-2010-LiuZYX #power management #pseudo #testing
Layout-aware pseudo-functional testing for critical paths considering power supply noise effects (XL, YZ, FY, QX), pp. 1432–1437.
DATEDATE-2010-LomneDMTR #analysis #difference #preprocessor #statistics
Differential Power Analysis enhancement with statistical preprocessing (VL, AD, PM, LT, MR), pp. 1301–1304.
DATEDATE-2010-LungZCC #optimisation
Clock skew optimization considering complicated power modes (CLL, ZYZ, CHC, SCC), pp. 1474–1479.
DATEDATE-2010-LuPRR #energy #performance #transducer
Efficient power conversion for ultra low voltage micro scale energy transducers (CL, SPP, VR, KR), pp. 1602–1607.
DATEDATE-2010-MishraJ #optimisation #power management #synthesis #using
Low-power FinFET circuit synthesis using surface orientation optimization (PM, NKJ), pp. 311–314.
DATEDATE-2010-MohanGS #memory management #named
FlashPower: A detailed power model for NAND flash memory (VM, SG, MRS), pp. 502–507.
DATEDATE-2010-PakbazniaGP #power management #resource management
Temperature-aware dynamic resource provisioning in a power-optimized datacenter (EP, MG, MP), pp. 124–129.
DATEDATE-2010-PasettiFS #power management
A High-Voltage Low-Power DC-DC buck regulator for automotive applications (GP, LF, RS), pp. 937–940.
DATEDATE-2010-RaabBHLSESE #design #power management
Low power design of the X-GOLD® SDR 20 baseband processor (WR, JB, JAUH, DL, MS, HE, JUS, GE), pp. 792–793.
DATEDATE-2010-RickettsSRVP #power management
Investigating the impact of NBTI on different power saving cache strategies (AJR, JS, KR, NV, DKP), pp. 592–597.
DATEDATE-2010-Schlager #hardware #interactive #performance
Increasing the power efficiency of PCs by improving the hardware/OS interaction (CS), p. 1005.
DATEDATE-2010-ShafikAC #design #embedded #optimisation #power management
Soft error-aware design optimization of low power and time-constrained embedded systems (RAS, BMAH, KC), pp. 1462–1467.
DATEDATE-2010-TajalliL #design #framework #power management #using
Ultra-low power mixed-signal design platform using subthreshold source-coupled circuits (AT, YL), pp. 711–716.
DATEDATE-2010-ThonnartVC #framework #integration #power management
A fully-asynchronous low-power framework for GALS NoC integration (YT, PV, FC), pp. 33–38.
DATEDATE-2010-VenutoSCP #power management
Ultra low-power 12-bit SAR ADC for RFID applications (DDV, ES, DTC, YP), pp. 1071–1075.
DATEDATE-2010-WatanabeA #modelling #multi #performance #simulation
Equivalent circuit modeling of multilayered power/ground planes for fast transient simulation (TW, HA), pp. 1153–1158.
DATEDATE-2010-YangAFK #design #power management #reliability
Scan based methodology for reliable state retention power gating designs (SY, BMAH, DF, SSK), pp. 69–74.
DATEDATE-2010-YuZQB #behaviour #design #power management
Behavioral level dual-vth design for reduced leakage power with thermal awareness (JY, QZ, GQ, JB), pp. 1261–1266.
PODSPODS-2010-GrecoS #algorithm #consistency #power of
The power of tree projections: local consistency, greedy algorithms, and larger islands of tractability (GG, FS), pp. 327–338.
ESOPESOP-2010-LaneseVF #on the #power of
On the Expressive Power of Primitives for Compensation Handling (IL, CV, CF), pp. 366–386.
STOCSTOC-2010-LeeSV #power of
Matroid matching: the power of local search (JL, MS, JV), pp. 369–378.
DLTDLT-J-2008-CrochemoreFIJ10 #string
Number of Occurrences of powers in Strings (MC, SZF, CSI, IJ), pp. 535–547.
DLTDLT-2010-ChiniforooshanKX #pseudo
Pseudo-power Avoidance (EC, LK, ZX), pp. 432–433.
DLTDLT-2010-PorrecaLZ #on the
On a Powerful Class of Non-universal P Systems with Active Membranes (AEP, AL, CZ), pp. 364–375.
DLTDLT-2010-Shur #on the
On the Existence of Minimal β-Powers (AMS), pp. 411–422.
ICALPICALP-v1-2010-FountoulakisP #multi #power of #random
Orientability of Random Hypergraphs and the Power of Multiple Choices (NF, KP), pp. 348–359.
ICALPICALP-v1-2010-GuptaKP #scheduling
Scalably Scheduling Power-Heterogeneous Processors (AG, RK, KP), pp. 312–323.
LATALATA-2010-ChoffrutMMP #on the #power of
On the Expressive Power of FO[+] (CC, AM, CM, BP), pp. 190–201.
LATALATA-2010-KanazawaS #context-free grammar #multi #power of
The Copying Power of Well-Nested Multiple Context-Free Grammars (MK, SS), pp. 344–355.
CHICHI-2010-KapteinNM #analysis #consistency
Powerful and consistent analysis of likert-type ratingscales (MCK, CN, PM), pp. 2391–2394.
CHICHI-2010-KoC #debugging #how
How power users help and hinder open bug reporting (AJK, PKC), pp. 1665–1674.
CHICHI-2010-PatelGR #design #evaluation #power management
The design and evaluation of an end-user-deployable, whole house, contactless power consumption sensor (SNP, SG, MSR), pp. 2471–2480.
CIKMCIKM-2010-AnagnostopoulosBCGL #community #scalability
Power in unity: forming teams in large-scale community systems (AA, LB, CC, AG, SL), pp. 599–608.
ICMLICML-2010-LinC #clustering
Power Iteration Clustering (FL, WWC), pp. 655–662.
ICPRICPR-2010-SemenovichS #higher-order #performance
Tensor Power Method for Efficient MAP Inference in Higher-order MRFs (DS, AS), pp. 734–737.
KDDKDD-2010-SatoN #modelling #process #topic #using
Topic models with power-law using Pitman-Yor process (IS, HN), pp. 673–682.
KDIRKDIR-2010-GuderSC #kernel #modelling #quality
Integrated Instance-based and Kernel Methods for Power Quality Knowledge Modeling (MG, ÖS, ), pp. 352–357.
RecSysRecSys-2010-MarxHM #algorithm #comprehension #hybrid #recommendation
Increasing consumers’ understanding of recommender results: a preference-based hybrid algorithm with strong explanatory power (PM, THT, AM), pp. 297–300.
SIGIRSIGIR-2010-HagenPSB #power of #query #segmentation
The power of naive query segmentation (MH, MP, BS, CB), pp. 797–798.
SIGIRSIGIR-2010-YueGCZJ #evaluation #learning #retrieval #statistics
Learning more powerful test statistics for click-based retrieval evaluation (YY, YG, OC, YZ, TJ), pp. 507–514.
POPLPOPL-2010-GodefroidNRT #composition #power of #program analysis
Compositional may-must program analysis: unleashing the power of alternation (PG, AVN, SKR, ST), pp. 43–56.
SACSAC-2010-BautistaSHPD #clustering #power management #requirements #set
Dynamic task set partitioning based on balancing resource requirements and utilization to reduce power consumption (DB, JS, HH, SP, JD), pp. 521–526.
SACSAC-2010-BelohlavekV10a #database #logic #query #similarity
Query systems in similarity-based databases: logical foundations, expressive power, and completeness (RB, VV), pp. 1648–1655.
SACSAC-2010-KimJJ
Dynamic register-renaming scheme for reducing power-density and temperature (JK, STJ, CSJ), pp. 231–237.
SACSAC-2010-PetrucciLM #clustering #optimisation #performance
Dynamic optimization of power and performance for virtualized server clusters (VP, OL, DM), pp. 263–264.
ICSEICSE-2010-LimQF10a #analysis #crowdsourcing #named #network #power of #social
StakeSource: harnessing the power of crowdsourcing and social networks in stakeholder analysis (SLL, DQ, AF), pp. 239–242.
ASPLOSASPLOS-2010-AhmadV #maintenance #optimisation
Joint optimization of idle and cooling power in data centers while maintaining response time (FA, TNV), pp. 243–256.
ASPLOSASPLOS-2010-KirmanM #power management #using
A power-efficient all-optical on-chip interconnect using wavelength-based oblivious routing (NK, JFM), pp. 15–28.
ASPLOSASPLOS-2010-PelleyMZWU
Power routing: dynamic power provisioning in the data center (SP, DM, PZ, TFW, JU), pp. 231–242.
HPCAHPCA-2010-WareRFBRRC #approach #architecture #power management
Architecting for power management: The IBM POWER7TM approach (MSW, KR, MSF, BB, JCR, FLRI, JBC), pp. 1–11.
HPDCHPDC-2010-DokaTK #power of
Distributing the power of OLAP (KD, DT, NK), pp. 324–327.
HPDCHPDC-2010-LimPF #named #performance #using
SoftPower: fine-grain power estimations using performance counters (MYL, AP, RJF), pp. 308–311.
LICSLICS-2010-LaneveV #power of
The Expressive Power of Synchronizations (CL, AV), pp. 382–391.
DACDAC-2009-CromarLC #algorithm #reduction
FPGA-targeted high-level binding algorithm for power and area reduction with glitch-estimation (SC, JL, DC), pp. 838–843.
DACDAC-2009-DingZHCP #framework #integration #named #power management
O-Router: an optical routing framework for low power on-chip silicon nano-photonic integration (DD, YZ, HH, RTC, DZP), pp. 264–269.
DACDAC-2009-DongCZ #modelling #user interface #visual notation
Power modeling of graphical user interfaces on OLED displays (MD, YSKC, LZ), pp. 652–657.
DACDAC-2009-GhaniN #approximate #grid #performance #power management #using #verification
Fast vectorless power grid verification using an approximate inverse technique (NHAG, FNN), pp. 184–189.
DACDAC-2009-HelinskiAP #physics #using
A physical unclonable function defined using power distribution system equivalent resistance variations (RH, DA, JP), pp. 676–681.
DACDAC-2009-JangK
Simultaneous clock buffer sizing and polarity assignment for power/ground noise minimization (HJ, TK), pp. 794–799.
DACDAC-2009-LeeK #manycore #optimisation #throughput #using
Optimizing throughput of power- and thermal-constrained multicore processors using DVFS and per-core power-gating (JL, NSK), pp. 47–50.
DACDAC-2009-LinB #analysis #process
Analysis and mitigation of process variation impacts on Power-Attack Tolerance (LL, WPB), pp. 238–243.
DACDAC-2009-PuriHKCKMST #challenge
From milliwatts to megawatts: system level power challenge (RP, EH, SK, JC, TK, BDM, JS, AT), pp. 750–751.
DACDAC-2009-ShiCHMTHW #analysis #gpu #grid #network #performance #power management
GPU friendly fast Poisson solver for structured power grid network analysis (JS, YC, WH, LM, SXDT, PHH, XW), pp. 178–183.
DACDAC-2009-WangCSC #graph #power management #synthesis #using
Low power gated bus synthesis using shortest-path Steiner graph for system-on-chip communications (RW, NCC, BS, CKC), pp. 166–171.
DATEDATE-2009-AhmedERCST #performance #pipes and filters #programmable #reduction
Exploration of power reduction and performance enhancement in LEON3 processor with ESL reprogrammable eFPGA in processor pipeline and as a co-processor (SZA, JE, LR, JBC, GS, LT), pp. 184–189.
DATEDATE-2009-BachmannGHBS #power management
A low-power ASIP for IEEE 802.15.4a ultra-wideband impulse radio baseband processing (CB, AG, JH, MB, CS), pp. 1614–1619.
DATEDATE-2009-BardineCFGP #migration #power management
A power-efficient migration mechanism for D-NUCA caches (AB, MC, PF, GG, CAP), pp. 598–601.
DATEDATE-2009-BellasiFS #analysis #modelling #multi #power management #predict
Predictive models for multimedia applications power consumption based on use-case and OS level analysis (PB, WF, DS), pp. 1446–1451.
DATEDATE-2009-BolzaniCMMP #concurrent #design #industrial #power management
Enabling concurrent clock and power gating in an industrial design flow (LMVB, AC, AM, EM, MP), pp. 334–339.
DATEDATE-2009-Diaz-MadridNHDR #pipes and filters #reduction
Power reduction of a 12-bit 40-MS/s pipeline ADC exploiting partial amplifier sharing (JÁDM, HN, HH, GDA, RRM), pp. 369–373.
DATEDATE-2009-FacchiniCVPCDBM #3d #evaluation #mobile #performance
System-level power/performance evaluation of 3D stacked DRAMs for mobile applications (MF, TC, AV, MP, FC, WD, LB, PM), pp. 923–928.
DATEDATE-2009-GuXZ #multi #power management
A low-power fat tree-based optical Network-On-Chip for multiprocessor system-on-chip (HG, JX, WZ), pp. 3–8.
DATEDATE-2009-KahngLPS #design #performance
ORION 2.0: A fast and accurate NoC power and area model for early-stage design space exploration (ABK, BL, LSP, KS), pp. 423–428.
DATEDATE-2009-LarcherBGIBG #configuration management #standard
A MEMS reconfigurable quad-band Class-E Power Amplifier for GSM standard (LL, RB, MG, JI, MB, AG), pp. 364–368.
DATEDATE-2009-LeeKHBJFL #3d #co-evolution #design #network
Co-design of signal, power, and thermal distribution networks for 3D ICs (YJL, YJK, GH, MSB, YKJ, AGF, SKL), pp. 610–615.
DATEDATE-2009-LiuX09a #framework #reduction
A generic framework for scan capture power reduction in fixed-length symbol-based test compression environment (XL, QX), pp. 1494–1499.
DATEDATE-2009-SartoriK #architecture #distributed #manycore #power management
Distributed peak power management for many-core architectures (JS, RK), pp. 1556–1559.
DATEDATE-2009-SinghPHMM #embedded #power management
Single ended 6T SRAM with isolated read-port for low-power embedded systems (JS, DKP, SH, SPM, JM), pp. 917–922.
DATEDATE-2009-TaoL #grid #power management
Decoupling capacitor planning with analytical delay model on RLC power grid (YT, SKL), pp. 839–844.
DATEDATE-2009-WuLZSX #hybrid #performance
Power and performance of read-write aware Hybrid Caches with non-volatile memories (XW, JL, LZ, ES, YX), pp. 737–742.
SIGMODSIGMOD-2009-QinYC #database #keyword #power of
Keyword search in databases: the power of RDBMS (LQ, JXY, LC), pp. 681–694.
VLDBVLDB-2009-LeeNS #estimation #set #similarity
Power-Law Based Estimation of Set Similarity Join Size (HL, RTN, KS), pp. 658–669.
FoSSaCSFoSSaCS-2009-ArandaVV #on the #power of #replication #strict
On the Expressive Power of Restriction and Priorities in CCS with Replication (JA, FDV, CV), pp. 242–256.
PLDIPLDI-2009-ChandraFS #approach #named
Snugglebug: a powerful approach to weakest preconditions (SC, SJF, MS), pp. 363–374.
DLTDLT-2009-Fazekas #regular expression
Powers of Regular Languages (SZF), pp. 221–227.
DLTDLT-2009-GlenHK #word
Crucial Words for Abelian Powers (AG, BVH, SK), pp. 264–275.
DLTDLT-2009-Shur #bound
Two-Sided Bounds for the Growth Rates of Power-Free Languages (AMS), pp. 466–477.
ICALPICALP-v2-2009-ClementiPS #named
MANETS: High Mobility Can Make Up for Low Transmission Power (AEFC, FP, RS), pp. 387–398.
LATALATA-2009-Masopust #context-free grammar #generative #power of
A Note on the Generative Power of Some Simple Variants of Context-Free Grammars Regulated by Context Conditions (TM), pp. 554–565.
CHICHI-2009-ChettyBMJ #comprehension #power management
It’s not easy being green: understanding home computer power management (MC, AJBB, BM, PJ), pp. 1033–1042.
CHICHI-2009-FaureCR #tool support
Power tools for copying and moving: useful stuff for your desktop (GF, OC, NR), pp. 1675–1678.
HCIDHM-2009-ItoISK #development
Development of a Driver Model in Powered Wheelchair Operation (TI, TI, MS, MK), pp. 384–393.
HCIHIMI-II-2009-ChangLZ #challenge #interface
Human-System Interface (HSI) Challenges in Nuclear Power Plant Control Rooms (JLC, HL, LZ), pp. 729–737.
HCIOCSC-2009-AoyagiFISSYS #analysis #collaboration
A Discussion System for Knowledge Sharing and Collaborative Analysis of Incidents in Nuclear Power Plants (SA, HF, HI, HS, HS, HY, TS), pp. 3–12.
ICEISICEIS-AIDSS-2009-BiscarriMLGBM #detection #framework #mining
A Mining Framework to Detect Non-technical Losses in Power Utilities (FB, IM, CL, JIG, JB, RM), pp. 96–101.
RecSysRecSys-2009-KhezrzadehTW #power of #recommendation
Harnessing the power of “favorites” lists for recommendation systems (MK, AT, WWW), pp. 289–292.
PPDPPPDP-2009-GabbrielliMM #on the #power of
On the expressive power of priorities in CHR (MG, JM, MCM), pp. 267–276.
QAPLQAPL-2009-GiroD #distributed #on the #power of #probability
On the Expressive Power of Schedulers in Distributed Probabilistic Systems (SG, PRD), pp. 45–71.
SACSAC-2009-MoserCT #embedded
Optimal service level allocation in environmentally powered embedded systems (CM, JJC, LT), pp. 1650–1657.
SACSAC-2009-PetrucciLM #adaptation #clustering #framework #power management
A framework for dynamic adaptation of power-aware server clusters (VP, OL, DM), pp. 1034–1039.
ASPLOSASPLOS-2009-CaulfieldGS #clustering #data-driven #memory management #named #performance #power management #using
Gordon: using flash memory to build fast, power-efficient clusters for data-intensive applications (AMC, LMG, SS), pp. 217–228.
ASPLOSASPLOS-2009-MeisnerGW #named
PowerNap: eliminating server idle power (DM, BTG, TFW), pp. 205–216.
HPCAHPCA-2009-PowellBEMSY #named #parametricity #runtime #using
CAMP: A technique to estimate per-structure power at run-time using a few simple parameters (MDP, AB, JSE, SSM, BRS, SMY), pp. 289–300.
DACDAC-2008-BournoutianO #design #embedded #reduction
Miss reduction in embedded processors through dynamic, power-friendly cache design (GB, AO), pp. 304–309.
DACDAC-2008-CheeKMPSBSRWS #energy #named
PicoCube: a 1 cm3 sensor node powered by harvested energy (YHC, MK, MM, NP, MS, FB, DS, JMR, PKW, SS), pp. 114–119.
DACDAC-2008-ChoLKC #design #power management
The design of a low power carbon nanotube chemical sensor system (TSC, KJL, JK, APC), pp. 84–89.
DACDAC-2008-ElmWIZLM #clustering #reduction
Scan chain clustering for test power reduction (ME, HJW, MEI, CGZ, JL, NM), pp. 828–833.
DACDAC-2008-FeldmannA #approach #modelling #physics #towards
Towards a more physical approach to gate modeling for timing, noise, and power (PF, SA), pp. 453–455.
DACDAC-2008-HsuW #algorithm #memory management #network #power management
A generalized network flow based algorithm for power-aware FPGA memory mapping (TYH, TCW), pp. 30–33.
DACDAC-2008-JeongKPY #power management #reduction
Dose map and placement co-optimization for timing yield enhancement and leakage power reduction (KJ, ABK, CHP, HY), pp. 516–521.
DACDAC-2008-JiangM #power management #reduction #scheduling
Power gating scheduling for power/ground noise reduction (HJ, MMS), pp. 980–985.
DACDAC-2008-JoshiCSBA #power management #reduction #using
Leakage power reduction using stress-enhanced layouts (VJ, BC, DS, DB, KA), pp. 912–917.
DACDAC-2008-LeeJCHKKK #power management
Applying passive RFID system to wireless headphones for extreme low power consumption (JGL, DJ, JC, SH, JKK, JK, SWK), pp. 486–491.
DACDAC-2008-LiBNPC #approach #how #implementation #power management #set
How to let instruction set processor beat ASIC for low power wireless baseband implementation: a system level approach (ML, BB, DN, LVdP, FC), pp. 345–346.
DACDAC-2008-LiuMZM #architecture
A power and temperature aware DRAM architecture (SL, SOM, YZ, GM), pp. 878–883.
DACDAC-2008-MenezesKA #grid #power management #verification
A “true” electrical cell model for timing, noise, and power grid verification (NM, CVK, CSA), pp. 462–467.
DACDAC-2008-NiM #power management #reduction #scheduling
Leakage power-aware clock skew scheduling: converting stolen time into leakage power reduction (MN, SOM), pp. 610–613.
DACDAC-2008-PaikS #multi #optimisation #standard
Multiobjective optimization of sleep vector for zigzag power-gated circuits in standard cell elements (SP, YS), pp. 600–605.
DACDAC-2008-RajaVBG #analysis #modelling #performance
Transistor level gate modeling for accurate and fast timing, noise, and power analysis (SR, FV, MRB, JG), pp. 456–461.
DACDAC-2008-SenNSC #adaptation #named #power management #process
Pro-VIZOR: process tunable virtually zero margin low power adaptive RF for wireless systems (SS, VN, RS, AC), pp. 492–497.
DACDAC-2008-SridharanGM #embedded #power management #realtime
Feedback-controlled reliability-aware power management for real-time embedded systems (RS, NG, RNM), pp. 185–190.
DACDAC-2008-ZhangYZDKDKC #optimisation #power management #using
Low power passive equalizer optimization using tritonic step response (LZ, WY, HZ, AD, GAK, DMD, ESK, CKC), pp. 570–573.
DACDAC-2008-ZhouYP #compilation #reduction
Compiler-driven register re-assignment for register file power-density and temperature reduction (XZ, CY, PP), pp. 750–753.
DATEDATE-2008-CalimeraBM #constraints #performance #power management
Optimal MTCMOS Reactivation Under Power Supply Noise and Performance Constraints (AC, LB, EM), pp. 973–978.
DATEDATE-2008-ChandraNK #architecture #power management #reduction #testing
Low Power Illinois Scan Architecture for Simultaneous Power and Test Data Volume Reduction (AC, FN, RK), pp. 462–467.
DATEDATE-2008-EberleG #architecture #automation #communication #design #network #power management #scalability
A scalable low-power digital communication network architecture and an automated design path for controlling the analog/RF part of SDR transceivers (WE, MG), pp. 710–715.
DATEDATE-2008-FrenkilCU #analysis #design #physics #power management
Power Gating for Ultra-low Leakage: Physics, Design, and Analysis (JF, KC, KU).
DATEDATE-2008-GizopoulosRGNW #power management #testing
Power-Aware Testing and Test Strategies for Low Power Devices (DG, KR, PG, NN, XW).
DATEDATE-2008-HaidZLK #communication
Impact of Leakage Current on Data Retention of RF-powered Devices During Amplitude-Modulation-based Communication (JH, BZ, TL, TK), pp. 784–787.
DATEDATE-2008-JungP #nondeterminism #power management
Resilient Dynamic Power Management under Uncertainty (HJ, MP), pp. 224–229.
DATEDATE-2008-KulikowskiVWT
Power Balanced Gates Insensitive to Routing Capacitance Mismatch (KJK, VV, ZW, AT), pp. 1280–1285.
DATEDATE-2008-LeinweberB #clustering #composition #fine-grained #reduction
Fine-Grained Supply Gating Through Hypergraph Partitioning and Shannon Decomposition for Active Power Reduction (LL, SB), pp. 373–378.
DATEDATE-2008-LiTM #analysis #grid #named #network #power management
ETBR: Extended Truncated Balanced Realization Method for On-Chip Power Grid Network Analysis (DL, SXDT, BM), pp. 432–437.
DATEDATE-2008-LiXHL #named #reduction #testing
iFill: An Impact-Oriented X-Filling Method for Shift- and Capture-Power Reduction in At-Speed Scan-Based Testing (JL, QX, YH, XL), pp. 1184–1189.
DATEDATE-2008-MoserTBB #complexity #robust
Robust and Low Complexity Rate Control for Solar Powered Sensors (CM, LT, DB, LB), pp. 230–235.
DATEDATE-2008-PaulssonHB #integration #metric #power management
Cost-and Power Optimized FPGA based System Integration: Methodologies and Integration of a Low-Power Capacity-based Measurement Application on Xilinx FPGAs (KP, MH, JB), pp. 50–55.
DATEDATE-2008-PurnaprajnaPP #configuration management #encryption #multi #power management
Power Aware Reconfigurable Multiprocessor for Elliptic Curve Cryptography (MP, CP, MP), pp. 1462–1467.
DATEDATE-2008-RavikumarHW #power management
Test Strategies for Low Power Devices (CPR, MH, XW), pp. 728–733.
DATEDATE-2008-SathanurPBMMP #algorithm #framework #scalability
A Scalable Algorithmic Framework for Row-Based Power-Gating (AVS, AP, LB, AM, EM, MP), pp. 379–384.
DATEDATE-2008-SilvaPS #analysis #performance #power management #representation
Efficient Representation and Analysis of Power Grids (JMSS, JRP, LMS), pp. 420–425.
DATEDATE-2008-StubeSHL #approach #design #novel
A Novel Approach for EMI Design of Power Electronics (BS, BS, EH, AL), pp. 170–175.
DATEDATE-2008-TanQ #framework #markov #power management #probability #using
A Framework of Stochastic Power Management Using Hidden Markov Model (YT, QQ), pp. 92–97.
DATEDATE-2008-ZhangZYZSPZCMSIC #multi #network
Finding the Worst Voltage Violation in Multi-Domain Clock Gated Power Network (WZ, YZ, WY, LZ, RS, HP, ZZ, LCE, RM, TS, NI, CKC), pp. 537–540.
HTHT-2008-BravoVO #adaptation #evaluation #hypermedia #named
Asquare: a powerful evaluation tool for adaptive hypermedia course system (JB, CVS, AO), pp. 219–220.
PODSPODS-2008-GollapudiP #power of #similarity
The power of two min-hashes for similarity search among hierarchical data objects (SG, RP), pp. 211–220.
VLDBVLDB-2008-CafarellaHWWZ #named #power of #web
WebTables: exploring the power of tables on the web (MJC, AYH, DZW, EW, YZ), pp. 538–549.
VLDBVLDB-2008-PoessN #analysis #challenge #energy #power management
Energy cost, the key challenge of today’s data centers: a power consumption analysis of TPC-C results (MP, RON), pp. 1229–1240.
DLTDLT-2008-CrochemoreFIJ #bound #string
Bounds on Powers in Strings (MC, SZF, CSI, IJ), pp. 206–215.
ICALPICALP-B-2008-Chen #constraints #quantifier
Quantified Constraint Satisfaction and the Polynomially Generated Powers Property (HC), pp. 197–208.
ICALPICALP-B-2008-Mathissen #algebra #logic #word
Weighted Logics for Nested Words and Algebraic Formal Power Series (CM), pp. 221–232.
LATALATA-2008-AndersonRSS #automaton #finite
Finite Automata, Palindromes, Powers, and Patterns (TA, NR, NS, JS), pp. 52–63.
ICFPICFP-2008-OuryS #power of
The power of Pi (NO, WS), pp. 39–50.
CSCWCSCW-2008-DantecE
The view from the trenches: organization, power, and technology at two nonprofit homeless outreach centers (CALD, WKE), pp. 589–598.
EDOCEDOC-2008-ScheiblerML #as a service #execution #power of
EAI as a Service — Combining the Power of Executable EAI Patterns and SaaS (TS, RM, FL), pp. 107–116.
CIKMCIKM-2008-WebberMZ #retrieval #statistics
Statistical power in retrieval experimentation (WW, AM, JZ), pp. 571–580.
KDDKDD-2008-SeshadriMSBFL #graph #mobile
Mobile call graphs: beyond power-law and lognormal distributions (MS, SM, AS, JB, CF, JL), pp. 596–604.
SACSAC-2008-TsaiHC #design #power management #queue #scalability
Power-efficient and scalable load/store queue design via address compression (YYT, CJH, CHC), pp. 1523–1527.
SACSAC-2008-WendtGSWNM #analysis #mobile #optimisation #smarttech
System level power profile analysis and optimization for smart cards and mobile devices (MW, MG, CS, RW, UN, AM), pp. 1884–1888.
SACSAC-2008-ZengLL #fault tolerance #mining
Mining fault-tolerant frequent patterns efficiently with powerful pruning (JJZ, GL, CCL), pp. 927–931.
ICSEICSE-2008-Krogstie #community #open source #re-engineering #student
Power through brokering: open source community participation in software engineering student projects (BRK), pp. 791–800.
ASPLOSASPLOS-2008-BallapuramSL #behaviour #multi #semantics
Exploiting access semantics and program behavior to reduce snoop power in chip multiprocessors (CSB, AS, HHSL), pp. 60–69.
ASPLOSASPLOS-2008-RaghavendraRTWZ #coordination #multi #power management
No “power” struggles: coordinated multi-level power management for the data center (RR, PR, VT, ZW, XZ), pp. 48–59.
ASPLOSASPLOS-2008-SulemanQP #concurrent #execution #multi #power management #thread
Feedback-driven threading: power-efficient and high-performance execution of multi-threaded workloads on CMPs (MAS, MKQ, YNP), pp. 277–286.
HPCAHPCA-2008-AggarwalCLS #power management
Power-Efficient DRAM Speculation (NA, JFC, MHL, JES), pp. 317–328.
HPCAHPCA-2008-DasMNPNIYD #architecture #optimisation #performance
Performance and power optimization through data compression in Network-on-Chip architectures (RD, AKM, CN, DP, VN, RRI, MSY, CRD), pp. 215–225.
HPCAHPCA-2008-HurL #approach #power management
A comprehensive approach to DRAM power management (IH, CL), pp. 305–316.
HPCAHPCA-2008-WangC #clustering #feedback #optimisation #performance
Cluster-level feedback power control for performance optimization (XW, MC), pp. 101–110.
HPDCHPDC-2008-NathujiS #virtual machine
Vpm tokens: virtual machine-aware power budgeting in datacenters (RN, KS), pp. 119–128.
CAVCAV-2008-EisnerNY #composition #design #functional #power management #reasoning #verification
Functional Verification of Power Gated Designs by Compositional Reasoning (CE, AN, KY), pp. 433–445.
CASECASE-2007-OkTRKKZB #monitoring #network #pipes and filters #self
Optimal Transmission Power in Self-sustainable Sensor Networks for Pipeline Monitoring (CO, HPT, UNR, SRTK, SGK, XZ, STSB), pp. 591–596.
CASECASE-2007-XiaoWL #flexibility #simulation
Dynamic Coupling Simulation of a Power Transmission Line Inspection Robot with its Flexible Moving Path when Overcoming Obstacles (XX, GW, SL), pp. 326–331.
DACDAC-2007-AmbroseRP #analysis #injection #named #random
RIJID: Random Code Injection to Mask Power Analysis based Side Channel Attacks (JAA, RGR, SP), pp. 489–492.
DACDAC-2007-AmelifardP #network #power management
Optimal Selection of Voltage Regulator Modules in a Power Delivery Network (BA, MP), pp. 168–173.
DACDAC-2007-BharathESUY #performance #simulation
Computationally Efficient Power Integrity Simulation for System-on-Package Applications (KB, EE, MS, KU, TY), pp. 612–617.
DACDAC-2007-ChandraLRD #power management
System-on-Chip Power Management Considering Leakage Power Variations (SC, KL, AR, SD), pp. 877–882.
DACDAC-2007-ChengCW #named #power management
GlitchMap: An FPGA Technology Mapper for Low Power Considering Glitches (LC, DC, MDFW), pp. 318–323.
DACDAC-2007-ChiouJCC #algorithm #fine-grained #power management
Fine-Grained Sleep Transistor Sizing Algorithm for Leakage Power Minimization (DSC, DCJ, YTC, SCC), pp. 81–86.
DACDAC-2007-CzajkowskiB #using
Using Negative Edge Triggered FFs to Reduce Glitching Power in FPGA Circuits (TSC, SDB), pp. 324–329.
DACDAC-2007-DadgourB #analysis #design #hybrid #power management
Design and Analysis of Hybrid NEMS-CMOS Circuits for Ultra Low-Power Applications (HFD, KB), pp. 306–311.
DACDAC-2007-GhodratLR #analysis #estimation #hybrid #using
Accelerating System-on-Chip Power Analysis Using Hybrid Power Estimation (MAG, KL, AR), pp. 883–886.
DACDAC-2007-ImhofZWML #reduction #testing
Scan Test Planning for Power Reduction (MEI, CGZ, HJW, NM, JL), pp. 521–526.
DACDAC-2007-KamhiMMNWKMKC #design #power management #question #validation
Early Power-Aware Design & Validation: Myth or Reality? (GK, SM, SBM, WN, YCW, JK, EM, SVK, SC), pp. 210–211.
DACDAC-2007-KangKR #design #power management #using
Variation Resilient Low-Power Circuit Design Methodology using On-Chip Phase Locked Loop (KK, KK, KR), pp. 934–939.
DACDAC-2007-LiKBR #flexibility #performance #power management
High Performance and Low Power Electronics on Flexible Substrate (JL, KK, AB, KR), pp. 274–275.
DACDAC-2007-LiuLC #algorithm #approximate #multi #optimisation #using
A Provably Good Approximation Algorithm for Power Optimization Using Multiple Supply Voltages (HYL, WPL, YWC), pp. 887–890.
DACDAC-2007-LiY #analysis #power management #statistics
Statistical Analysis of Full-Chip Leakage Power Considering Junction Tunneling Leakage (TL, ZY), pp. 99–102.
DACDAC-2007-MrugalskiRCT #power management #testing
New Test Data Decompressor for Low Power Applications (GM, JR, DC, JT), pp. 539–544.
DACDAC-2007-ShachamBC #network #power management
The Case for Low-Power Photonic Networks on Chip (AS, KB, LPC), pp. 132–135.
DACDAC-2007-YeZL #optimisation #performance #power management #statistics #using
Statistical Leakage Power Minimization Using Fast Equi-Slack Shell Based Optimization (XY, YZ, PL), pp. 853–858.
DACDAC-2007-ZhuGSDK #architecture #power management #towards #using
Towards An Ultra-Low-Power Architecture Using Single-Electron Tunneling Transistors (CZ, Z(G, LS, RPD, RGK), pp. 312–317.
DACDAC-2007-ZhuoCLC #hybrid #power management
Dynamic Power Management with Hybrid Power Sources (JZ, CC, KL, NC), pp. 871–876.
DATEDATE-2007-BabighianKV #data mining #interactive #mining #optimisation
Interactive presentation: PowerQuest: trace driven data mining for power optimization (PB, GK, MYV), pp. 1078–1083.
DATEDATE-2007-BanerjeeKR #architecture #power management #process
Process variation tolerant low power DCT architecture (NB, GK, KR), pp. 630–635.
DATEDATE-2007-ButtSRPS #optimisation #synthesis
System level clock tree synthesis for power optimization (SAB, SS, JR, AP, ES), pp. 1677–1682.
DATEDATE-2007-ChangLR #performance #using
Improve CAM power efficiency using decoupled match line scheme (YJC, YHL, SJR), pp. 165–170.
DATEDATE-2007-DevanathanRK #generative #interactive #testing
Interactive presentation: On power-profiling and pattern generation for power-safe scan tests (VRD, CPR, VK), pp. 534–539.
DATEDATE-2007-GeWL #configuration management #embedded #memory management #named #power management
DRIM: a low power dynamically reconfigurable instruction memory hierarchy for embedded systems (ZG, WFW, HBL), pp. 1343–1348.
DATEDATE-2007-GillPW #fault #interactive #power management #symmetry
Interactive presentation: A new asymmetric SRAM cell to reduce soft errors and leakage power in FPGA (BSG, CAP, FGW), pp. 1460–1465.
DATEDATE-2007-GongW #interactive #optimisation
Interactive presentation: System level power optimization of Sigma-Delta modulator (FG, XW), pp. 297–300.
DATEDATE-2007-GuptaOJWB #comprehension #distributed #multi #network #using
Understanding voltage variations in chip multiprocessors using a distributed power-delivery network (MSG, JLO, RJ, GYW, DMB), pp. 624–629.
DATEDATE-2007-JungP #nondeterminism #power management
Dynamic power management under uncertain information (HJ, MP), pp. 1060–1065.
DATEDATE-2007-LinH #interactive #reduction #statistics
Interactive presentation: Statistical dual-Vdd assignment for FPGA interconnect power reduction (YL, LH), pp. 636–641.
DATEDATE-2007-LiuDSY #estimation #power management
Accurate temperature-dependent integrated circuit leakage power estimation is easy (YL, RPD, LS, HY), pp. 1526–1531.
DATEDATE-2007-Lysecky #embedded #performance #power management
Low-power warp processor for power efficient high-performance embedded systems (RLL), pp. 141–146.
DATEDATE-2007-MoserTBB #adaptation #energy #power management
Adaptive power management in energy harvesting systems (CM, LT, DB, LB), pp. 773–778.
DATEDATE-2007-QiuTW #modelling #optimisation #power management #probability #robust
Stochastic modeling and optimization for robust power management in a partially observable system (QQ, YT, QW), pp. 779–784.
DATEDATE-2007-RaghavanLJCVC #embedded #power management #symmetry
Very wide register: an asymmetric register file organization for low power embedded processors (PR, AL, MJ, FC, DV, HC), pp. 1066–1071.
DATEDATE-2007-SchamannHLB #algorithm #architecture #case study #design #power management
Low power design on algorithmic and architectural level: a case study of an HSDPA baseband digital signal processing system (MS, SH, UL, MB), pp. 1406–1411.
DATEDATE-2007-Stanley-MarbellM #communication #energy #interface #multi #power management
An 0.9 × 1.2”, low power, energy-harvesting system with custom multi-channel communication interface (PSM, DM), pp. 15–20.
DATEDATE-2007-X07a #power management
Power supply and power management in Ubicom, p. 827.
DATEDATE-2007-XuRC #analysis #interactive #pipes and filters #power management
Interactive presentation: Analysis of power consumption and BER of flip-flop based interconnect pipelining (JX, AR, MHC), pp. 1218–1223.
DATEDATE-2007-ZamoraKM #distributed #network #video
Distributed power-management techniques for wireless network video systems (NHZ, JCK, RM), pp. 564–569.
ICALPICALP-2007-AtseriasBD #on the #power of
On the Power of k -Consistency (AA, AAB, VD), pp. 279–290.
ICALPICALP-2007-CaiL #algorithm #artificial reality #power of
Holographic Algorithms: The Power of Dimensionality Resolved (JyC, PL), pp. 631–642.
HCIHCI-AS-2007-LeeS #estimation #process
Experimental Effect Estimation of an Integrated Decision Support System to Aid Operator’s Cognitive Activities for Nuclear Power Plants (SJL, PHS), pp. 620–628.
HCIHCI-IPT-2007-JungC #feedback #mobile #power management
Perceived Magnitude and Power Consumption of Vibration Feedback in Mobile Devices (JJ, SC), pp. 354–363.
HCIHIMI-IIE-2007-KimL07d #power management
Power Saving Medium Access for Beacon-Enabled IEEE 802.15.4 LR-WPANs (JK, WL), pp. 555–562.
HCIHIMI-IIE-2007-KohL #case study #distance #education #effectiveness #scalability
The Effectiveness of Educational Technology: A Preliminary Study of Learners from Small and Large Power Distance Cultures (EK, JL), pp. 384–393.
HCIHIMI-MTT-2007-ParkPLC #adaptation #framework #human-computer #mobile #network #power management
A Network Framework on Adaptive Power Management in HCI Mobile Terminals (HP, KP, TJL, HC), pp. 728–737.
AdaEuropeAdaEurope-2007-ChanCCTZ #network #power management #testing #towards
Towards the Testing of Power-Aware Software Applications for Wireless Sensor Networks (WKC, TYC, SCC, THT, ZZ), pp. 84–99.
ICEISICEIS-AIDSS-2007-PrauseKAOC #development #documentation #tool support
Interconnecting Documentation — Harnessing the Different Powers of Current Documentation Tools in Software Development (CP, JK, SA, RO, ABC), pp. 63–68.
CIKMCIKM-2007-MilneWN #knowledge-based #wiki
A knowledge-based search engine powered by wikipedia (DNM, IHW, DMN), pp. 445–454.
KDDKDD-2007-ArchakGI #exclamation #mining #power of
Show me the money!: deriving the pricing power of product features by mining consumer reviews (NA, AG, PGI), pp. 56–65.
KDDKDD-2007-ZhaoDZ #mining #network
Mining complex power networks for blackout prevention (JHZ, ZYD, PZ), pp. 986–994.
SEKESEKE-2007-WaltzmanWAR #requirements
In the Requirements Lies the Power (RW, KW, TAA, DJR), pp. 185–190.
SIGIRSIGIR-2007-CormackL #power of
Validity and power of t-test for comparing MAP and GMAP (GVC, TRL), pp. 753–754.
SIGIRSIGIR-2007-CormackL07a #bias #set
Power and bias of subset pooling strategies (GVC, TRL), pp. 837–838.
SACSAC-2007-QiT #array #feature model #ontology
Integrating gene ontology into discriminative powers of genes for feature selection in microarray data (JQ, JT), pp. 430–434.
LCTESLCTES-2007-RavindranCM #power management
Compiler-managed partitioned data caches for low power (RAR, MLC, SAM), pp. 237–247.
SOSPSOSP-2007-NathujiS #coordination #enterprise #named #power management
VirtualPower: coordinated power management in virtualized enterprise systems (RN, KS), pp. 265–278.
CSLCSL-2007-AbdullaDB #power of
Comparing the Expressive Power of Well-Structured Transition Systems (PAA, GD, LVB), pp. 99–114.
CSLCSL-2007-DawarR #finite #logic #power of #strict
The Power of Counting Logics on Restricted Classes of Finite Structures (AD, DR), pp. 84–98.
CSLCSL-2007-FinkelL #rank
There Exist Some ω-Powers of Any Borel Rank (OF, DL), pp. 115–129.
CASECASE-2006-Shi0 #detection
Signal Detecting Based on Instantaneous Virtual Power (WS, JL), pp. 270–273.
DACDAC-2006-BrahmbhattZWQ #adaptation #algorithm #encoding #hybrid #power management #using
Low-power bus encoding using an adaptive hybrid algorithm (ARB, JZ, QW, QQ), pp. 987–990.
DACDAC-2006-BurginCHMMSKFF #adaptation #algorithm #architecture #implementation #power management #trade-off
Low-power architectural trade-offs in a VLSI implementation of an adaptive hearing aid algorithm (FB, FC, MH, HM, RMP, RS, HK, NF, WF), pp. 558–561.
DACDAC-2006-ChengDCW #algorithm #generative #performance #power management #reduction
A fast simultaneous input vector generation and gate replacement algorithm for leakage power reduction (LC, LD, DC, MDFW), pp. 117–120.
DACDAC-2006-ChiouCCY #power management
Timing driven power gating (DSC, SHC, SCC, CY), pp. 121–124.
DACDAC-2006-ChoCCV #cost analysis #embedded #energy #power management
High-level power management of embedded systems with application-specific energy cost functions (YC, NC, CC, SBKV), pp. 568–573.
DACDAC-2006-DadgourJB #architecture #novel #power management
A novel variation-aware low-power keeper architecture for wide fan-in dynamic gates (HFD, RVJ, KB), pp. 977–982.
DACDAC-2006-GhantaVBP #analysis #correlation #power management #probability #scalability
Stochastic variational analysis of large power grids considering intra-die correlations (PG, SBKV, SB, RP), pp. 211–216.
DACDAC-2006-GhoshMKR #power management #reduction #self
Self-calibration technique for reduction of hold failures in low-power nano-scaled SRAM (SG, SM, KK, KR), pp. 971–976.
DACDAC-2006-HatamkhaniLSY #design #performance
Power-centric design of high-speed I/Os (HH, FL, VS, CKKY), pp. 867–872.
DACDAC-2006-HattoriIIYKSYNYKTHAHTSMYHMYHTYIKMYITAAO #mobile #power management
Hierarchical power distribution and power management scheme for a single chip mobile processor (TH, TI, MI, EY, HK, GS, TY, KN, HY, TK, YT, MH, HA, IH, KT, YS, NM, YY, TH, YM, KY, KH, ST, SY, TI, YK, HM, TY, NI, RT, NA, TA, KO), pp. 292–295.
DACDAC-2006-HuaMSSMJD #3d
Exploring compromises among timing, power and temperature in three-dimensional integrated circuits (HH, CM, KS, AMS, SM, RJ, WRD), pp. 997–1002.
DACDAC-2006-HuLHT #reduction
Simultaneous time slack budgeting and retiming for dual-Vdd FPGA power reduction (YH, YL, LH, TT), pp. 478–483.
DACDAC-2006-HuZCGC #communication #latency #power management #synthesis
Communication latency aware low power NoC synthesis (YH, YZ, HC, RLG, CKC), pp. 574–579.
DACDAC-2006-IranliLP #mobile #power management
Backlight dimming in power-aware mobile displays (AI, WL, MP), pp. 604–607.
DACDAC-2006-JonesHDTSFCM #automation #configuration management #power management
An automated, reconfigurable, low-power RFID tag (AKJ, RRH, SRD, SCT, RS, JF, JTC, MHM), pp. 131–136.
DACDAC-2006-KansalHSR #network #power management
Harvesting aware power management for sensor networks (AK, JH, MBS, VR), pp. 651–656.
DACDAC-2006-KimSKE #design #physics #power management #standard
Physical design methodology of power gating circuits for standard-cell-based design (HOK, YS, HK, IE), pp. 109–112.
DACDAC-2006-LiLP #analysis #power management #statistics
Projection-based statistical analysis of full-chip leakage power with non-log-normal distributions (XL, JL, LTP), pp. 103–108.
DACDAC-2006-MandrekarBSES #analysis
System level signal and power integrity analysis methodology for system-in-package applications (RM, KB, KS, EE, MS), pp. 1009–1012.
DACDAC-2006-MengSK #embedded #power management #reduction
Leakage power reduction of embedded memories on FPGAs through location assignment (YM, TS, RK), pp. 612–617.
DACDAC-2006-NuzzoPBPGT
A 10.6mW/0.8pJ power-scalable 1GS/s 4b ADC in 0.18mum CMOS with 5.8GHz ERBW (PN, GVdP, FDB, LVdP, BG, PT), pp. 873–878.
DACDAC-2006-PantC #grid #physics #power management
Power grid physics and implications for CAD (SP, EC), pp. 199–204.
DACDAC-2006-Paradiso #mobile
Systems for human-powered mobile computing (JAP), pp. 645–650.
DACDAC-2006-PengL #constraints #power management
Low-power repeater insertion with both delay and slew rate constraints (YP, XL), pp. 302–307.
DACDAC-2006-ShiH #challenge #design #implementation #power management
Challenges in sleep transistor design and implementation in low-power designs (KS, DH), pp. 113–116.
DACDAC-2006-WuJYLT #estimation #functional
A systematic method for functional unit power estimation in microprocessors (WW, LJ, JY, PL, SXDT), pp. 554–557.
DACDAC-2006-YuSH #analysis #grid #order #performance #power management #reduction
Fast analysis of structured power grid by triangularization based structure preserving model order reduction (HY, YS, LH), pp. 205–210.
DACDAC-2006-ZouMGS #optimisation
A CPPLL hierarchical optimization methodology considering jitter, power and locking time (JZ, DM, HEG, US), pp. 19–24.
DATEDATE-2006-BalachandranBCWRNB #analysis #grid #modelling #power management
Analysis and modeling of power grid transmission lines (JB, SB, GC, TW, WDR, BN, EB), pp. 33–38.
DATEDATE-2006-BanerjeeRMB #fine-grained #logic #power management #synthesis #using
Low power synthesis of dynamic logic circuits using fine-grained clock gating (NB, KR, HMM, SB), pp. 862–867.
DATEDATE-2006-BudnikR #distributed #network #novel #power management #using
Minimizing ohmic loss and supply voltage variation using a novel distributed power supply network (MMB, KR), pp. 1116–1121.
DATEDATE-2006-CarbognaniBFKF #power management
Two-phase resonant clocking for ultra-low-power hearing aid applications (FC, FB, NF, HK, WF), pp. 73–78.
DATEDATE-2006-Chang #design #power management
An ultra low-power TLB design (YJC), pp. 1122–1127.
DATEDATE-2006-ChenMBR #case study #design #power management
Circuit-aware device design methodology for nanometer technologies: a case study for low power SRAM design (QC, SM, AB, KR), pp. 983–988.
DATEDATE-2006-CorneaND #mobile #optimisation
Software annotations for power optimization on mobile devices (RC, AN, NDD), pp. 684–689.
DATEDATE-2006-DililloRAG #process #reduction
Minimizing test power in SRAM through reduction of pre-charge activity (LD, PMR, BMAH, PG), pp. 1159–1164.
DATEDATE-2006-El-HoiydiACCDEGGLMPPPRRRV #power management
The ultra low-power wiseNET system (AEH, CA, RC, SC, JDD, CCE, FG, SG, EL, TM, VP, FXP, PDP, NR, AR, DR, PV), pp. 971–976.
DATEDATE-2006-GianniniNBCCDB #design #power management #synthesis
A synthesis tool for power-efficient base-band filter design (VG, PN, FDB, JC, BC, SD, AB), pp. 162–163.
DATEDATE-2006-HePE #clustering #scheduling #testing
Power constrained and defect-probability driven SoC test scheduling with test set partitioning (ZH, ZP, PE), pp. 291–296.
DATEDATE-2006-HuangG06a #adaptation #compilation #embedded #power management #scalability
Power-aware compilation for embedded processors with dynamic voltage scaling and adaptive body biasing capabilities (PKH, SG), pp. 943–944.
DATEDATE-2006-KumarA #power management
An analytical state dependent leakage power model for FPGAs (AK, MA), pp. 612–617.
DATEDATE-2006-MaciiPFADZ #design #matter #power management #question #tool support
Low-power design tools: are EDA vendors taking this matter seriously? (EM, MP, DF, RCA, AD, RZ), p. 1227.
DATEDATE-2006-MallikSBZ #design #optimisation #power management
Smart bit-width allocation for low power optimization in a systemc based ASIC design environment (AM, DS, PB, HZ), pp. 618–623.
DATEDATE-2006-MochockiLC #3d #analysis #mobile
Power analysis of mobile 3D graphics (BM, KL, SC), pp. 502–507.
DATEDATE-2006-MonchieroPSV #hardware #optimisation #performance
Power/performance hardware optimization for synchronization intensive applications in MPSoCs (MM, GP, CS, OV), pp. 606–611.
DATEDATE-2006-PaciMPB #design #power management
Exploring “temperature-aware” design in low-power MPSoCs (GP, PM, FP, LB), pp. 838–843.
DATEDATE-2006-PaulinPLBBLLL #distributed #modelling #multi #power management
Distributed object models for multi-processor SoC’s, with application to low-power multimedia wireless systems (PGP, CP, ML, EB, OB, DL, BL, DL), pp. 482–487.
DATEDATE-2006-PettisRL #automation #operating system #policy #runtime
Automatic run-time selection of power policies for operating systems (NP, JR, YHL), pp. 508–513.
DATEDATE-2006-RaychowdhuryPBR #case study #comparative #power management
Ultralow power computing with sub-threshold leakage: a comparative study of bulk and SOI technologies (AR, BCP, SB, KR), pp. 856–861.
DATEDATE-2006-RongP #algorithm #formal method #markov #online #process
Determining the optimal timeout values for a power-managed system based on the theory of Markovian processes: offline and online algorithms (PR, MP), pp. 1128–1133.
DATEDATE-2006-SchusterNPF #architecture #power management
Architectural and technology influence on the optimal total power consumption (CS, JLN, CP, PAF), pp. 989–994.
DATEDATE-2006-ViswanathAJ #automation #pipes and filters #power management
Automatic insertion of low power annotations in RTL for pipelined microprocessors (VV, JAA, WAHJ), pp. 496–501.
DATEDATE-2006-YonedaMF #multi #scheduling
Power-constrained test scheduling for multi-clock domain SoCs (TY, KM, HF), pp. 297–302.
DATEDATE-2006-ZhouSMS #analysis #composition #grid #power management #scalability #using
Large power grid analysis using domain decomposition (QZ, KS, KM, DCS), pp. 27–32.
DATEDATE-2006-ZhouW #constraints #self
Software-based self-test of processors under power constraints (JZ, HJW), pp. 430–435.
DATEDATE-DF-2006-SamaPFBR #3d #low cost #named #power management
3dID: a low-power, low-cost hand motion capture device (MS, VP, EF, LB, BR), pp. 136–141.
DATEDATE-DF-2006-SaponaraT #design
Mixed-signal design of a digital input power amplifier for automotive audio applications (SS, PT), pp. 212–216.
SIGMODSIGMOD-2006-BonczGKMRT #named #performance #relational #xquery
MonetDB/XQuery: a fast XQuery processor powered by a relational engine (PAB, TG, MvK, SM, JR, JT), pp. 479–490.
FoSSaCSFoSSaCS-2006-Gorla #communication #on the #power of
On the Relative Expressive Power of Asynchronous Communication Primitives (DG), pp. 47–62.
SASSAS-2006-Das #power of #static analysis
Unleashing the Power of Static Analysis (MD), pp. 1–2.
ICALPICALP-v1-2006-BojanczykSSS #automaton #power of
Expressive Power of Pebble Automata (MB, MS, TS, LS), pp. 157–168.
ICALPICALP-v1-2006-Kunc #algebra #finite
Algebraic Characterization of the Finite Power Property (MK), pp. 120–131.
ICALPICALP-v2-2006-BouyerHR #automaton #petri net #power of #sequence
Timed Petri Nets and Timed Automata: On the Discriminating Power of Zeno Sequences (PB, SH, PAR), pp. 420–431.
CIKMCIKM-2006-BaiTWLZ #data type
A data stream language and system designed for power and extensibility (YB, HT, HW, CL, CZ), pp. 337–346.
CIKMCIKM-2006-ZhangF #correlation
Finding highly correlated pairs efficiently with powerful pruning (JZ, JF), pp. 152–161.
ECIRECIR-2006-CornacchiaV #approach #declarative #information retrieval
A Declarative DB-Powered Approach to IR (RC, APdV), pp. 543–547.
ICPRICPR-v3-2006-CaronV #evaluation #image #modelling #quality #using
Compressed Image Quality Evaluation using Power Law Models (YC, NV), pp. 657–660.
KRKR-2006-ChevaleyreEL #modelling #power of
Expressive Power of Weighted Propositional Formulas for Cardinal Preference Modeling (YC, UE, JL), pp. 145–152.
POPLPOPL-2006-ChenLK #network
Compiler-directed channel allocation for saving power in on-chip networks (GC, FL, MTK), pp. 194–205.
SACSAC-2006-Fasli #on the
On the relationship between roles and power: preliminary report (MF), pp. 313–318.
ASPLOSASPLOS-2006-LeeB #architecture #modelling #performance #predict
Accurate and efficient regression modeling for microarchitectural performance and power prediction (BCL, DMB), pp. 185–194.
CGOCGO-2006-SonCK #approach #locality #power management
A Compiler-Guided Approach for Reducing Disk Power Consumption by Exploiting Disk Access Locality (SWS, GC, MTK), pp. 256–268.
HPCAHPCA-2006-IsciM
Phase characterization for power: evaluating control-flow-based and event-counter-based techniques (CI, MM), pp. 121–132.
HPCAHPCA-2006-LiM #adaptation #parallel
Dynamic power-performance adaptation of parallel computation on chip multiprocessors (JL, JFM), pp. 77–87.
ISMMISMM-2006-Mendelson #challenge #memory management #power management
Memory management challenges in the power-aware computing era (AM), pp. 1–2.
LCTESLCTES-2006-ParkSDNPE #reduction #scheduling
Bypass aware instruction scheduling for register file power reduction (SP, AS, NDD, AN, YP, EE), pp. 173–181.
PPoPPPPoPP-2006-SpringerLRF #clustering #energy #execution #source code
Minimizing execution time in MPI programs on an energy-constrained, power-scalable cluster (RS, DKL, BR, VWF), pp. 230–238.
CAVCAV-2006-BoigelotH #hybrid #power of
The Power of Hybrid Acceleration (BB, FH), pp. 438–451.
CSLCSL-2006-AlvesFFM #linear #power of
The Power of Linear Functions (SA, MF, MF, IM), pp. 119–134.
CSLCSL-2006-Marcinkowski #graph #logic #on the #power of
On the Expressive Power of Graph Logic (JM), pp. 486–500.
IJCARIJCAR-2006-JiaZ #finite #morphism
A Powerful Technique to Eliminate Isomorphism in Finite Model Search (XJ, JZ), pp. 318–331.
CBSECBSE-2005-DiazGRRST #kernel
A CCA-compliant Nuclear Power Plant Simulator Kernel (MD, DG, SR, BR, ES, JMT), pp. 283–297.
ASEASE-2005-Fuggetta #power of
The power of software (AF), p. 1.
DACDAC-2005-AbdollahiFP #effectiveness
An effective power mode transition technique in MTCMOS circuits (AA, FF, MP), pp. 37–42.
DACDAC-2005-AziziKDN #design #power management #scalability
Variations-aware low-power design with voltage scaling (NA, MMK, VD, FNN), pp. 529–534.
DACDAC-2005-BhuniaBCMR #approach #novel #power management #reduction #synthesis #using
A novel synthesis approach for active leakage power reduction using dynamic supply gating (SB, NB, QC, HMM, KR), pp. 479–484.
DACDAC-2005-ChangD #perspective
Explaining the gap between ASIC and custom power: a custom perspective (AC, WJD), pp. 281–284.
DACDAC-2005-ChangS #analysis #correlation #power management #process
Full-chip analysis of leakage power under process variations, including spatial correlations (HC, SSS), pp. 523–528.
DACDAC-2005-ChengWLLH #architecture #reduction
Device and architecture co-optimization for FPGA power reduction (LC, PW, FL, YL, LH), pp. 915–920.
DACDAC-2005-CheonHKRW #power management
Power-aware placement (YC, PHH, ABK, SR, QW), pp. 795–800.
DACDAC-2005-ChinneryK #perspective
Closing the power gap between ASIC and custom: an ASIC perspective (DGC, KK), pp. 275–280.
DACDAC-2005-ChoiCK #embedded #power management
DC-DC converter-aware power management for battery-operated embedded systems (YC, NC, TK), pp. 895–900.
DACDAC-2005-CoburnRR #estimation #paradigm
Power emulation: a new paradigm for power estimation (JC, SR, AR), pp. 700–705.
DACDAC-2005-FoxCMHHJKYZ #approach #question
Should our power approach be current? (TF, LC, SM, DH, EPH, VJ, AK, AY, PSZ), p. 611.
DACDAC-2005-GaoH #multi #reduction
Total power reduction in CMOS circuits via gate sizing and multiple threshold voltages (FG, JPH), pp. 31–36.
DACDAC-2005-Li #analysis #grid #performance #power management #simulation
Power grid simulation via efficient sampling-based sensitivity analysis and hierarchical symbolic relaxation (PL), pp. 664–669.
DACDAC-2005-LiC #architecture #embedded
Application/architecture power co-optimization for embedded systems powered by renewable sources (DL, PHC), pp. 618–623.
DACDAC-2005-LinH #performance #reduction
Leakage efficient chip-level dual-Vdd assignment with time slack allocation for FPGA power reduction (YL, LH), pp. 720–725.
DACDAC-2005-LuoYYB #design #network #power management #using
Low power network processor design using clock gating (YL, JY, JY, LNB), pp. 712–715.
DACDAC-2005-ManiDO #algorithm #constraints #performance #statistics
An efficient algorithm for statistical minimization of total power under timing yield constraints (MM, AD, MO), pp. 309–314.
DACDAC-2005-NedevschiPB #hardware #low cost #power management #recognition #speech #user interface
Hardware speech recognition for user interfaces in low cost, low power devices (SN, RKP, EAB), pp. 684–689.
DACDAC-2005-PengL #named #performance #power management #using
Freeze: engineering a fast repeater insertion solver for power minimization using the ellipsoid method (YP, XL), pp. 813–818.
DACDAC-2005-SaneeiAN #encoding #power management #reduction
Sign bit reduction encoding for low power applications (MS, AAK, ZN), pp. 214–217.
DACDAC-2005-SrivastavaSASBD #correlation #estimation #parametricity #performance #power management
Accurate and efficient gate-level parametric yield estimation considering correlated variations in leakage power and performance (AS, SS, KA, DS, DB, SWD), pp. 535–540.
DACDAC-2005-TamH
Power optimal dual-Vdd buffered tree considering buffer stations and blockages (KHT, LH), pp. 497–502.
DACDAC-2005-TangZB #library #optimisation #power management #synthesis
Leakage power optimization with dual-Vth library in high-level synthesis (XT, HZ, PB), pp. 202–207.
DACDAC-2005-WeiR #configuration management #implementation #power management #trade-off
Implementing low-power configurable processors: practical options and tradeoffs (JW, CR), pp. 706–711.
DACDAC-2005-XuNC #constraints #design #embedded #multi #optimisation
Multi-frequency wrapper design and optimization for embedded cores under average power constraints (QX, NN, KC), pp. 123–128.
DATEDATE-2005-BaiKKSM #multi #trade-off
Power-Performance Trade-Offs in Nanometer-Scale Multi-Level Caches Considering Total Leakage (RB, NSK, TK, DS, TNM), pp. 650–651.
DATEDATE-2005-CaiL #memory management #power management
Joint Power Management of Memory and Disk (LC, YHL), pp. 86–91.
DATEDATE-2005-CoburnRR #estimation #hardware
Hardware Accelerated Power Estimation (JC, SR, AR), pp. 528–529.
DATEDATE-2005-Conti #analysis #architecture #power management
SystemC Analysis of a New Dynamic Power Management Architectur (MC), pp. 177–178.
DATEDATE-2005-GhantaVPW #analysis #grid #power management #probability #process
Stochastic Power Grid Analysis Considering Process Variations (PG, SBKV, RP, JMW), pp. 964–969.
DATEDATE-2005-HassanADE #power management #process #reduction
Activity Packing in FPGAs for Leakage Power Reduction (HH, MA, AED, MIE), pp. 212–217.
DATEDATE-2005-IshiharaF #power management
A Way Memoization Technique for Reducing Power Consumption of Caches in Application Specific Integrated Processors (TI, FF), pp. 358–363.
DATEDATE-2005-KitaharaKMSF #design #multi #power management #reduction
Area-Efficient Selective Multi-Threshold CMOS Design Methodology for Standby Leakage Power Reduction (TK, NK, FM, KS, TF), pp. 646–647.
DATEDATE-2005-LiuPP #hybrid #named #performance #power management
RIP: An Efficient Hybrid Repeater Insertion Scheme for Low Power (XL, YP, MCP), pp. 1330–1335.
DATEDATE-2005-LiWYY #named #performance #power management
Q-DPM: An Efficient Model-Free Dynamic Power Management Technique (ML, XW, RY, XY), pp. 526–527.
DATEDATE-2005-MullerTAL #design #multi #power management #top-down
Top-Down Design of a Low-Power Multi-Channel 2.5-Gbit/s/Channel Gated Oscillator Clock-Recovery Circuit (PM, AT, SMA, YL), pp. 258–263.
DATEDATE-2005-RosselloCBKS #concurrent #performance
A Fast Concurrent Power-Thermal Model for Sub-100nm Digital ICs (JLR, VC, SAB, AK, JS), pp. 206–211.
DATEDATE-2005-SharifiJHAN #reduction
Simultaneous Reduction of Dynamic and Static Power in Scan Structures (SS, JJ, MH, AAK, ZN), pp. 846–851.
DATEDATE-2005-Simunic #power management
Power Saving Techniques for Wireless LANs (TS), pp. 96–97.
DATEDATE-2005-TangWD #complexity #power management #synthesis
MINLP Based Topology Synthesis for Delta Sigma Modulators Optimized for Signal Path Complexity, Sensitivity and Power Consumption (HT, YW, AD), pp. 264–269.
DATEDATE-2005-TiriV #constant #design #difference #logic #power management
Design Method for Constant Power Consumption of Differential Logic Circuits (KT, IV), pp. 628–633.
DATEDATE-2005-VerleMAMA #optimisation #power management #protocol
Low Power Oriented CMOS Circuit Optimization Protocol (AV, XM, NA, PM, DA), pp. 640–645.
DATEDATE-2005-YangVTV #automation #debugging #design #fault #modelling #power management
Extraction Error Modeling and Automated Model Debugging in High-Performance Low Power Custom Designs (YSY, AGV, PJT, SV), pp. 996–1001.
DATEDATE-2005-YangWVSX #approach #design
Power Attack Resistant Cryptosystem Design: A Dynamic Voltage and Frequency Switching Approach (SY, WW, NV, DNS, YX), pp. 64–69.
DATEDATE-2005-YardiHMH #multi #power management #quality
Quality-Driven Proactive Computation Elimination for Power-Aware Multimedia Processing (SMY, MSH, TLM, DSH), pp. 340–345.
DATEDATE-2005-ZuberWOSH #optimisation #power management #reduction
Reduction of CMOS Power Consumption and Signal Integrity Issues by Routing Optimization (PZ, AW, RMBdO, WS, AH), pp. 986–987.
STOCSTOC-2005-AchlioptasCKM #bias #graph #on the
On the bias of traceroute sampling: or, power-law degree distributions in regular graphs (DA, AC, DK, CM), pp. 694–703.
DLTDLT-J-2004-Rampersad05 #morphism #word
Words avoiding 7/3-powers and the thue-morse morphism (NR), pp. 755–766.
DLTDLT-J-2004-Staiger05 #infinity
Infinite Iterated Function Systems in Cantor Space and the Hausdorff Measure of ω-Power Languages (LS), pp. 787–802.
DLTDLT-2005-Maletti #power of #transducer
The Power of Tree Series Transducers of Type I and II (AM), pp. 338–349.
ICALPICALP-2005-RadhakrishnanRS #fourier #on the #power of #problem #random
On the Power of Random Bases in Fourier Sampling: Hidden Subgroup Problem in the Heisenberg Group (JR, MR, PS), pp. 1399–1411.
SFMSFM-2005-AcquavivaABBBL #formal method #impact analysis #power management #predict
A Methodology Based on Formal Methods for Predicting the Impact of Dynamic Power Management (AA, AA, MB, AB, EB, EL), pp. 155–189.
SFMSFM-2005-AcquavivaBL #power management #standard
Dynamic Power Management Strategies Within the IEEE 802.11 Standard (AA, EB, EL), pp. 190–214.
KDDKDD-2005-GruhlGKNT #online #power of #predict
The predictive power of online chatter (DG, RVG, RK, JN, AT), pp. 78–87.
SACSAC-2005-GriffinSC #case study #design #embedded #garbage collection #java #on the #power management
On designing a low-power garbage collector for java embedded devices: a case study (PAG, WSa, JMC), pp. 868–873.
CGOCGO-2005-RavindranNDMSMB #compilation #power management
Compiler Managed Dynamic Instruction Placement in a Low-Power Code Cache (RAR, PDN, GSD, EDM, RMS, SAM, RBB), pp. 179–190.
HPCAHPCA-2005-BalasubramonianMRV #architecture #performance
Microarchitectural Wire Management for Performance and Power in Partitioned Architectures (RB, NM, KR, VV), pp. 28–39.
HPCAHPCA-2005-ChenPWHP #design #power management
Exploring the Design Space of Power-Aware Opto-Electronic Networked Systems (XC, LSP, GYW, YKH, PRP), pp. 120–131.
HPCAHPCA-2005-HasanJVB #smt
Heat Stroke: Power-Density-Based Denial of Service in SMT (JH, AJ, TNV, CEB), pp. 166–177.
HPCAHPCA-2005-Hofstee #architecture #performance
Power Efficient Processor Architecture and The Cell Processor (HPH), pp. 258–262.
HPCAHPCA-2005-JonesOAG #queue #reduction
Software Directed Issue Queue Power Reduction (TMJ, MFPO, JA, AG), pp. 144–153.
HPCAHPCA-2005-KondoN #clustering #performance #power management
A Small, Fast and Low-Power Register File by Bit-Partitioning (MK, HN), pp. 40–49.
HPCAHPCA-2005-MengSK #on the #power management #reduction
On the Limits of Leakage Power Reduction in Caches (YM, TS, RK), pp. 154–165.
HPCAHPCA-2005-VenkatesanAR #memory management #power management
Tapping ZettaRAMTM for Low-Power Memory Systems (RKV, ASAZ, ER), pp. 83–94.
LCTESLCTES-2005-SassoneWL #dependence #embedded #performance
Static strands: safely collapsing dependence chains for increasing embedded power efficiency (PGS, DSW, GHL), pp. 127–136.
PPoPPPPoPP-2005-FreehL #clustering #energy #multi #source code #using
Using multiple energy gears in MPI programs on a power-scalable cluster (VWF, DKL), pp. 164–173.
ICTSSTestCom-2005-LeeJL #analysis #detection #smarttech #using
Detecting Trapdoors in Smart Cards Using Timing and Power Analysis (JYL, SWJ, JL), pp. 275–288.
DACDAC-2004-BasuLWMB #optimisation #power management
Simultaneous optimization of supply and threshold voltages for low-power and high-performance circuits in the leakage dominant era (AB, SCL, VW, AM, KB), pp. 884–887.
DACDAC-2004-ClabesFSDCPDMPFSLGWSRGRKMD #design #implementation
Design and implementation of the POWER5 microprocessor (JGC, JF, MS, JD, SGC, DWP, JD, PM, LP, MSF, BS, ML, MG, JW, NSS, SLR, GG, PR, RNK, JM, JSD), pp. 670–672.
DACDAC-2004-DeogunRSB #encoding #reduction
Leakage-and crosstalk-aware bus encoding for total power reduction (HD, RRR, DS, DB), pp. 779–782.
DACDAC-2004-KouroussisAN #power management #worst-case
Worst-case circuit delay taking into account power supply variations (DK, RA, FNN), pp. 652–657.
DACDAC-2004-LiLH #configuration management #reduction #using
FPGA power reduction using configurable dual-Vdd (FL, YL, LH), pp. 735–740.
DACDAC-2004-LiRP #fault #generative #on the #testing
On test generation for transition faults with minimized peak power dissipation (WL, SMR, IP), pp. 504–509.
DACDAC-2004-LiuPP #library #power management #question #what
Practical repeater insertion for low power: what repeater library do we need? (XL, YP, MCP), pp. 30–35.
DACDAC-2004-PantBZSP #analysis #approach #grid #power management #probability
A stochastic approach To power grid analysis (SP, DB, VZ, SS, RP), pp. 171–176.
DACDAC-2004-ParkCYC #power management #testing
A new state assignment technique for testing and low power (SP, SC, SY, MJC), pp. 510–513.
DACDAC-2004-SrivastavaSB #optimisation #power management #process #statistics #using
Statistical optimization of leakage power considering process variations using dual-Vth and sizing (AS, DS, DB), pp. 773–778.
DACDAC-2004-SrivastavaSB04a #power management #using
Power minimization using simultaneous gate sizing, dual-Vdd and dual-Vth assignment (AS, DS, DB), pp. 783–787.
DACDAC-2004-WangM #constraints #power management
Buffer sizing for clock power minimization subject to general skew constraints (KW, MMS), pp. 159–164.
DACDAC-2004-WuC #analysis #design #network #performance
Efficient power/ground network analysis for power integrity-driven design methodology (SWW, YWC), pp. 177–180.
DACDAC-2004-ZhaoFZSP #power management
Optimal placement of power supply pads and pins (MZ, YF, VZ, SS, RP), pp. 165–170.
DATEDATE-DF-2004-BonaZZ #industrial #modelling #simulation
System Level Power Modeling and Simulation of High-End Industrial Network-on-Chip (AB, VZ, RZ), pp. 318–323.
DATEDATE-DF-2004-HollevoetDDCL #memory management
A Power Optimized Display Memory Organization for Handheld User Terminal (LH, AD, KD, FC, FL), pp. 294–299.
DATEDATE-DF-2004-MenichelliOBDB #architecture #design #multi #power management
A Simulation-Based Power-Aware Architecture Exploration of a Multiprocessor System-on-Chip Design (FM, MO, LB, MD, LB), pp. 312–317.
DATEDATE-DF-2004-NeffeRSWRM #energy #estimation #modelling #power management #smarttech
Energy Estimation Based on Hierarchical Bus Models for Power-Aware Smart Cards (UN, KR, CS, RW, ER, AM), pp. 300–305.
DATEDATE-DF-2004-Saul #power management
Low Power Analogue 90 Degree Phase Shifter (PHS), pp. 28–33.
DATEDATE-v1-2004-BabighianBM04a #distributed
Sizing and Characterization of Leakage-Control Cells for Layout-Aware Distributed Power-Gating (PB, LB, EM), pp. 720–723.
DATEDATE-v1-2004-BonhommeGGLPV #design #power management
Design of Routing-Constrained Low Power Scan Chains (YB, PG, LG, CL, SP, AV), pp. 62–67.
DATEDATE-v1-2004-CaiL #power management #using
Dynamic Power Management Using Data Buffers (LC, YHL), pp. 526–531.
DATEDATE-v1-2004-Cao #on the #power management
On Transfer Function and Power Consumption Transient Response (LC), pp. 688–689.
DATEDATE-v1-2004-ChangYL
Value-Conscious Cache: Simple Technique for Reducing Cache Access Power (YJC, CLY, FL), pp. 16–21.
DATEDATE-v1-2004-ChenG #adaptation #bias #low cost #performance #power management #reduction
A Low Cost Individual-Well Adaptive Body Bias (IWABB) Scheme for Leakage Power Reduction and Performance Enhancement in the Presence of Intra-Die Variations (TWC, JG), pp. 240–245.
DATEDATE-v1-2004-ChengHP #concurrent #power management #scalability
Power Minimization in a Backlit TFT-LCD Display by Concurrent Brightness and Contrast Scaling (WCC, YH, MP), pp. 252–259.
DATEDATE-v1-2004-ChinN #scheduling #trade-off
SoC Test Scheduling with Power-Time Tradeoff and Hot Spot Avoidance (JC, MN), pp. 710–711.
DATEDATE-v1-2004-HuVKKI #reduction #reuse #scheduling
Scheduling Reusable Instructions for Power Reduction (JSH, NV, SK, MTK, MJI), pp. 148–155.
DATEDATE-v1-2004-KretzschmarNM #power management #why
Why Transition Coding for Power Minimization of On-Chip Buses Does Not Work (CK, AKN, DM), pp. 512–517.
DATEDATE-v1-2004-KuoHW #composition #design #power management
Decomposition of Instruction Decoder for Low Power Design (WAK, TH, ACHW), pp. 664–665.
DATEDATE-v1-2004-LaurentJSM #analysis #approach #functional #modelling #performance #power management
Functional Level Power Analysis: An Efficient Approach for Modeling the Power Consumption of Complex Processors (JL, NJ, ES, EM), pp. 666–667.
DATEDATE-v1-2004-LeeDBS #power management
Simultaneous State, Vt and Tox Assignment for Total Standby Power Minimization (DL, HD, DB, DS), pp. 494–499.
DATEDATE-v1-2004-NikitovicB #mobile #power management
A Low Power Strategy for Future Mobile Terminals (MN, MB), pp. 702–703.
DATEDATE-v1-2004-PiguetGHOS #logic #power management
Extremely Low-Power Logic (CP, JG, CH, IO, US), pp. 656–663.
DATEDATE-v1-2004-RenKM #adaptation #power management
Hierarchical Adaptive Dynamic Power Management (ZR, BHK, RM), pp. 136–141.
DATEDATE-v1-2004-SinanogluO #power management
Scan Power Minimization through Stimulus and Response Transformations (OS, AO), pp. 404–409.
DATEDATE-v1-2004-SrinivasanBCC #metric #performance #using
Efficient Test Strategy for TDMA Power Amplifiers Using Transient Current Measurements: Uses and Benefit (GS, SB, SC, AC), pp. 280–285.
DATEDATE-v1-2004-SrivastavaSB #concurrent #design #power management
Concurrent Sizing, Vdd and Vth Assignment for Low-Power Design (AS, DS, DB), pp. 718–719.
DATEDATE-v1-2004-WangH #clustering #memory management #multi #power management #scheduling
Power Aware Variable Partitioning and Instruction Scheduling for Multiple Memory Banks (ZW, XSH), pp. 312–317.
DATEDATE-v1-2004-WongT #configuration management #encoding #power management
Re-Configurable Bus Encoding Scheme for Reducing Power Consumption of the Cross Coupling Capacitance for Deep Sub-Micron Instruction Bus (SKW, CYT), pp. 130–135.
DATEDATE-v1-2004-ZhangYV
Low Static-Power Frequent-Value Data Caches (CZ, JY, FV), pp. 214–219.
DATEDATE-v2-2004-AcquavivaLB #network #power management
Power-Aware Network Swapping for Wireless Palmtop PCs (AA, EL, AB), pp. 858–863.
DATEDATE-v2-2004-BanerjeeVC #architecture #performance
A Power and Performance Model for Network-on-Chip Architectures (NB, PV, KSC), pp. 1250–1255.
DATEDATE-v2-2004-LampropoulosAR #using
Minimization of Crosstalk Noise, Delay and Power Using a Modified Bus Invert Technique (ML, BMAH, PMR), pp. 1372–1373.
DATEDATE-v2-2004-LiverisB #design #interface #power management #synthesis
Power Aware Interface Synthesis for Bus-Based SoC Design (NDL, PB), pp. 864–869.
DATEDATE-v2-2004-NaculG #configuration management #power management
Dynamic Voltage and Cache Reconfiguration for Low Power (ACN, TG), pp. 1376–1379.
DATEDATE-v2-2004-TirumurtiKSC #approach #modelling #power management
A Modeling Approach for Addressing Power Supply Switching Noise Related Failures of Integrated Circuit (CT, SK, SSK, YSC), pp. 1078–1083.
DATEDATE-v2-2004-TiwariT #embedded #finite #memory management #state machine
Saving Power by Mapping Finite-State Machines into Embedded Memory Blocks in FPGAs (AT, KAT), pp. 916–921.
DATEDATE-v2-2004-VazquezG #fault #monitoring #power management
Power Supply Noise Monitor for Signal Integrity Faults (JRV, JPdG), pp. 1406–1407.
DATEDATE-v2-2004-WangMR #automation #megamodelling #predict
Automated, Accurate Macromodelling of Digital Aggressors for Power/Ground/Substrate Noise Prediction (ZW, RM, JSR), pp. 824–829.
DATEDATE-v2-2004-WangTC #network #optimisation
Thermal and Power Integrity Based Power/Ground Networks Optimization (TYW, JLT, CCPC), pp. 830–835.
DATEDATE-v2-2004-XiongH #multi
Full-Chip Multilevel Routing for Power and Signal Integrity (JX, LH), pp. 1116–1123.
DATEDATE-2005-Hillman04 #power management #reduction #using
Using Mobilize Power Management IP for Dynamic & Static Power Reduction in SoC at 130 nm (DH), pp. 240–246.
DATEDATE-2005-SandnerCSHK04 #power management
A 6bit, 1.2GSps Low-Power Flash-ADC in 0.13µm Digital CMOS (CS, MC, AS, TH, FK), pp. 223–226.
DRRDRR-2004-TomaiKS #power of
Group discriminatory power of handwritten characters (CIT, DMK, SNS), pp. 116–123.
PODSPODS-2004-GreenwaldK #network #statistics
Power-Conserving Computation of Order-Statistics over Sensor Networks (MG, SK), pp. 275–285.
PODSPODS-2004-ZhouW #clustering #matrix
Clustering via Matrix Powering (HZ, DPW), pp. 136–142.
STOCSTOC-2004-MankuNW #lookahead #network #power of #random
Know thy neighbor’s neighbor: the power of lookahead in randomized P2P networks (GSM, MN, UW), pp. 54–63.
DLTDLT-2004-IbarraYD #parallel #power of
The Power of Maximal Parallelism in P Systems (OHI, HCY, ZD), pp. 212–224.
DLTDLT-2004-KurganskyyP #2d #automaton #finite #on the #power of
On the Computation Power of Finite Automata in Two-dimensional Environments (OK, IP), pp. 261–271.
DLTDLT-2004-Rampersad #morphism #word
Words Avoiding 7/3-Powers and the Thue-Morse Morphism (NR), pp. 357–367.
DLTDLT-2004-Staiger #on the
On the Hausdorff Measure of ω-Power Languages (LS), pp. 393–405.
ICALPICALP-2004-AulettaPPP #power of #verification
The Power of Verification for One-Parameter Agents (VA, RDP, PP, GP), pp. 171–182.
ICALPICALP-2004-Schweikardt #fixpoint #logic #monad #on the #power of
On the Expressive Power of Monadic Least Fixed Point Logic (NS), pp. 1123–1135.
ICALPICALP-2004-Zhang #bound #on the #power of
On the Power of Ambainis’s Lower Bounds (SZ), pp. 1238–1250.
ICEISICEIS-v1-2004-FerrandinC #consistency #database #integration #power of #semistructured data #using #xml
Referencial Integrity Model for XML Data Integrated from Heterogeneous Databases Systems — Using the Power of XML for Consistent Data Integration (MF, MSdC), pp. 15–20.
ICEISICEIS-v2-2004-MendezHA
Intelligent Virtual Environments for Training in Nuclear Power Plants (GM, PH, AdA), pp. 204–209.
ICPRICPR-v1-2004-Vliet #normalisation #robust
Robust Local Max-Min Filters by Normalized Power-Weighted Filtering (LJvV), pp. 696–699.
ICPRICPR-v2-2004-TomaiZS #power of #recognition #word
Discriminatory Power of Handwritten Words for Writer Recognition (CIT, BZ, SNS), pp. 638–641.
KRKR-2004-Coste-MarquisLLM #representation
Expressive Power and Succinctness of Propositional Languages for Preference Representation (SCM, JL, PL, PM), pp. 203–212.
SACSAC-2004-AgostaPS #architecture #design #embedded #multi #power management #program transformation #source code
Multi-objective co-exploration of source code transformations and design space architectures for low-power embedded systems (GA, GP, CS), pp. 891–896.
SACSAC-2004-UhrigU #fine-grained #parallel #power management #thread
Fine-grained power management for multithreaded processor cores (SU, TU), pp. 907–908.
SACSAC-2004-ZumpanoGTV #on the #optimisation #power of #problem #semantics
On the semantics and expressive power of Datalog-like languages for NP search and optimization problems (EZ, SG, IT, PV), pp. 692–697.
ASPLOSASPLOS-2004-EkanayakeKM #network #power management
An ultra low-power processor for sensor networks (VNE, CKI, RM), pp. 27–36.
ASPLOSASPLOS-2004-GomaaPV #named #operating system #smt
Heat-and-run: leveraging SMT and CMP to manage power density through the operating system (MAG, MDP, TNV), pp. 260–270.
HPCAHPCA-2004-GniadyHL #power management
Program Counter Based Techniques for Dynamic Power Management (CG, YCH, YHL), pp. 24–35.
HPCAHPCA-2004-WenWPK #predict
Exploiting Prediction to Reduce Power on Buses (VW, MW, YP, JK), pp. 2–13.
HPCAHPCA-2004-ZhuDDLZC #energy #power management #using
Reducing Energy Consumption of Disk Storage Using Power-Aware Cache Management (QZ, FMD, CFD, ZL, YZ, PC), pp. 118–129.
LCTESLCTES-2004-ContrerasMPJL #named
XTREM: a power simulator for the Intel XScale® core (GC, MM, JP, RJ, GYL), pp. 115–125.
LCTESLCTES-2004-ZhuangP #embedded #power management
Power-efficient prefetching via bit-differential offset assignment on embedded processors (XZ, SP), pp. 67–77.
VMCAIVMCAI-2004-Sagiv #abstraction #canonical #on the #power of
On the Expressive Power of Canonical Abstraction (SS), p. 58.
DACDAC-2003-BashirullahLC #adaptation #design #power management
Low-power design methodology for an on-chip bus with adaptive bandwidth capability (RB, WL, RKCI), pp. 628–633.
DACDAC-2003-BeniniMMOPP #analysis #design #difference #energy
Energy-aware design techniques for differential power analysis protection (LB, AM, EM, EO, FP, MP), pp. 36–41.
DACDAC-2003-BergamaschiJ #analysis
State-based power analysis for systems-on-chip (RAB, YJ), pp. 638–641.
DACDAC-2003-DonnoIBM #optimisation
Clock-tree power optimization based on RTL clock-gating (MD, AI, LB, EM), pp. 622–627.
DACDAC-2003-FerzliN #estimation #grid #power management #process #statistics
Statistical estimation of leakage-induced power grid voltage drop considering within-die process variations (IAF, FNN), pp. 856–859.
DACDAC-2003-KouroussisN #grid #independence #power management #verification
A static pattern-independent technique for power grid voltage integrity verification (DK, FNN), pp. 99–104.
DACDAC-2003-LiXC #architecture #modelling #optimisation #power management #scalability
Scalable modeling and optimization of mode transitions based on decoupled power management architecture (DL, QX, PHC), pp. 119–124.
DACDAC-2003-LongH #distributed #network #reduction
Distributed sleep transistor network for power reduction (CL, LH), pp. 181–186.
DACDAC-2003-MoreshetB #design #power management #queue
Power-aware issue queue design for speculative instructions (TM, RIB), pp. 634–637.
DACDAC-2003-PuriSCKPSSK #performance
Pushing ASIC performance in a power envelope (RP, LS, JMC, DSK, DZP, DS, AS, SHK), pp. 788–793.
DACDAC-2003-RabaeySBBFHNSY
Reshaping EDA for power (JMR, DS, DB, KB, JF, MH, WN, TS, AY), p. 15.
DACDAC-2003-RongP #approach #markov #mobile #network
Extending the lifetime of a network of battery-powered mobile devices by remote processing: a markovian decision-based approach (PR, MP), pp. 906–911.
DACDAC-2003-SaifhashemiP #abstraction #framework #modelling
Verilog HDL, powered by PLI: a suitable framework for describing and modeling asynchronous circuits at all levels of abstraction (AS, HP), pp. 330–333.
DACDAC-2003-ShiG #hybrid #performance #power management
Hybrid hierarchical timing closure methodology for a high performance and low power DSP (KS, GG), pp. 850–855.
DACDAC-2003-SuAN #algebra #grid #multi #power management #reduction
Power grid reduction based on algebraic multigrid principles (HS, EA, SRN), pp. 109–112.
DACDAC-2003-WangM #multi #network #optimisation #power management #using
On-chip power supply network optimization using multigrid-based technique (KW, MMS), pp. 113–118.
DACDAC-2003-XiangGSW #architecture #effectiveness #testing
A cost-effective scan architecture for scan testing with non-scan test power and test application cost (DX, SG, JGS, YLW), pp. 744–747.
DACDAC-2003-ZhuYC #adaptation #algebra #analysis #approach #multi #network #using
Power network analysis using an adaptive algebraic multigrid approach (ZZ, BY, CKC), pp. 105–108.
DATEDATE-2003-BeeckGBMCD #data transformation #implementation #power management #realtime
Background Data Organisation for the Low-Power Implementation in Real-Time of a Digital Audio Broadcast Receiver on a SIMD Processor (POdB, CG, EB, MM, FC, GD), pp. 11144–11145.
DATEDATE-2003-CaldariCCCOPT #analysis
System-Level Power Analysis Methodology Applied to the AMBA AHB Bus (MC, MC, MC, PC, SO, LP, CT), pp. 20032–20039.
DATEDATE-2003-ChengP #encoding #interface #power management #visual notation
Chromatic Encoding: A Low Power Encoding Technique for Digital Visual Interface (WCC, MP), pp. 10694–10699.
DATEDATE-2003-ChiouBR #multi #power management #synthesis
Synthesis of Application-Specific Highly-Efficient Multi-Mode Systems for Low-Power Applications (LYC, SB, KR), pp. 10096–10103.
DATEDATE-2003-ChooMR #architecture #named #power management #synthesis
MRPF: An Architectural Transformation for Synthesis of High-Performance and Low-Power Digital Filters (HC, KM, KR), pp. 10700–10705.
DATEDATE-2003-FreitasO #equation #estimation
Implicit Resolution of the Chapman-Kolmogorov Equations for Sequential Circuits: An Application in Power Estimation (ATF, ALO), pp. 10764–10769.
DATEDATE-2003-HagaRBM #functional #power management
Dynamic Functional Unit Assignment for Low Power (SH, NR, RB, DM), pp. 11052–11057.
DATEDATE-2003-LeeC #3d #grid #linear #power management #simulation
The Power Grid Transient Simulation in Linear Time Based on 3D Alternating-Direction-Implicit Method (YML, CCPC), pp. 11020–11025.
DATEDATE-2003-LeeHH #composition #design #finite #power management #state machine
Decomposition of Extended Finite State Machine for Low Power Design (ML, TH, SYH), pp. 11152–11153.
DATEDATE-2003-MamidipakaD #architecture #embedded #memory management #power management #stack
On-chip Stack Based Memory Organization for Low Power Embedded Architectures (MM, NDD), pp. 11082–11089.
DATEDATE-2003-NicolaescuVN #embedded #power management
Reducing Power Consumption for High-Associativity Data Caches in Embedded Processors (DN, AVV, AN), pp. 11064–11069.
DATEDATE-2003-NielsenM #synthesis
Power Constrained High-Level Synthesis of Battery Powered Digital Systems (SFN, JM), pp. 11136–11137.
DATEDATE-2003-PalermoSZ #architecture #embedded
Power-Performance System-Level Exploration of a MicroSPARC2-Based Embedded Architecture (GP, CS, VZ), pp. 20182–20187.
DATEDATE-2003-PetrovO #memory management #performance
Power Efficiency through Application-Specific Instruction Memory Transformations (PP, AO), pp. 10030–10035.
DATEDATE-2003-RapakaM #analysis #embedded #performance
Pre-Characterization Free, Efficient Power/Performance Analysis of Embedded and General Purpose Software Applications (VSPR, DM), pp. 10504–10509.
DATEDATE-2003-SirisantanaR #logic #power management
Selectively Clocked CMOS Logic Style for Low-Power Noise-Immune Operations in Scaled Technologies (NS, KR), pp. 11160–11161.
DATEDATE-2003-WangM #multi #optimisation #using
Power/Ground Mesh Area Optimization Using Multigrid-Based Technique (KW, MMS), pp. 10850–10855.
DATEDATE-2003-WuAE #embedded #graph #power management #scheduling #synthesis
Scheduling and Mapping of Conditional Task Graphs for the Synthesis of Low Power Embedded Systems (DW, BMAH, PE), pp. 10090–10095.
VLDBVLDB-2003-ChenL #named #web
VIPAS: Virtual Link Powered Authority Search in the Web (CCL, MSC), pp. 381–392.
TACASTACAS-2003-SokolskyPLC #analysis #modelling #power management
Modeling and Analysis of Power-Aware Systems (OS, AP, IL, KC), pp. 409–425.
SCAMSCAM-2003-WheeldonC
Power Law Distributions in Class Relationships (RW, SC), pp. 45–54.
STOCSTOC-2003-Ya #on the #power of #quantum
On the power of quantum fingerprinting (ACCY), pp. 77–81.
DLTDLT-2003-Csuhaj-VarjuMV #automaton #distributed
Distributed Pushdown Automata Systems: Computational Power (ECV, VM, GV), pp. 218–229.
ICALPICALP-2003-DrosteK
Skew and Infinitary Formal Power Series (MD, DK), pp. 426–438.
ICFPICFP-2003-BotlanR #ml #named #power of #system f
MLF: raising ML to the power of system F (DLB, DR), pp. 27–38.
EDOCEDOC-2003-HearndenRS #named #ocl #query
MQL: a Powerful Extension to OCL for MOF Queries (DH, KR, JS), pp. 264–277.
ICEISICEIS-v2-2003-VongLW #adaptation #design #information management
Knowledge Acquisition Through Case-Based Adaptation for Hydraulic Power Machine Design (CMV, YPL, PKW), pp. 571–574.
ICEISICEIS-v3-2003-YeZ #design #information management
Design and Realization of Power Plant Supervisory Information System (Sis) Based on Infi 90 (ZY, GZ), pp. 503–510.
CIKMCIKM-2003-TaoFP #estimation #multi #query
The power-method: a comprehensive estimation technique for multi-dimensional queries (YT, CF, DP), pp. 83–90.
PADLPADL-2003-Porto #information management #prolog
An Integrated Information System Powered by Prolog (AP), pp. 92–109.
HPCAHPCA-2003-AragonGG #power management
Power-Aware Control Speculation through Selective Throttling (JLA, JG, AG), pp. 103–112.
HPCAHPCA-2003-LiBCVR #reduction
Deterministic Clock Gating for Microprocessor Power Reduction (HL, SB, YC, TNV, KR), pp. 113–122.
HPCAHPCA-2003-ShangPJ #network #optimisation #scalability
Dynamic Voltage Scaling with Links for Power Optimization of Interconnection Networks (LS, LSP, NKJ), pp. 91–102.
CSLCSL-2003-KristiansenV #power of #source code #strict
The Surprising Power of Restricted Programs and Gödel’s Functionals (LK, PJV), pp. 345–358.
CSLCSL-2003-Vermeulen #first-order #logic #semantics
More Computation Power for a Denotational Semantics for First Order Logic (KFMV), pp. 530–543.
ICTSSTestCom-2003-Weyuker #predict
Prediction = Power (EJW), pp. 1–9.
DACDAC-2002-AgarwalLR #named #power management
DRG-cache: a data retention gated-ground cache for low power (AA, HL, KR), pp. 473–478.
DACDAC-2002-AnisMEA #automation #clustering #performance #power management #reduction #using
Dynamic and leakage power reduction in MTCMOS circuits using an automated efficient gate clustering technique (MA, MM, MIE, SA), pp. 480–485.
DACDAC-2002-BodapatiN #analysis #megamodelling
High-level current macro-model for power-grid analysis (SB, FNN), pp. 385–390.
DACDAC-2002-CaoLCC #megamodelling #named #power management
HiPRIME: hierarchical and passivity reserved interconnect macromodeling engine for RLKC power delivery (YC, YML, THC, CCPC), pp. 379–384.
DACDAC-2002-ChandraC #reduction #testing #using
Reduction of SOC test data volume, scan power and testing time using alternating run-length codes (AC, KC), pp. 673–678.
DACDAC-2002-ChenMB02a
Coping with buffer delay change due to power and ground noise (LHC, MMS, FB), pp. 860–865.
DACDAC-2002-KangSC #power management #synthesis
An optimal voltage synthesis technique for a power-efficient satellite application (DIK, JS, SPC), pp. 492–497.
DACDAC-2002-KapurCS #estimation #novel #optimisation #reduction #using
Power estimation in global interconnects and its reduction using a novel repeater optimization methodology (PK, GC, KS), pp. 461–466.
DACDAC-2002-KarnikYTWBGDB #optimisation #performance
Total power optimization by simultaneous dual-Vt allocation and device sizing in high performance microprocessors (TK, YY, JT, LW, SMB, VG, VD, SB), pp. 486–491.
DACDAC-2002-LahiriDR #architecture #communication #design #performance #power management
Communication architecture based power management for battery efficient system design (KL, SD, AR), pp. 691–696.
DACDAC-2002-LiuP #design #power management
Design of a high-throughput low-power IS95 Viterbi decoder (XL, MCP), pp. 263–268.
DACDAC-2002-MurugavelR #estimation #modelling #petri net
Petri net modeling of gate and interconnect delays for power estimation (AKM, NR), pp. 455–460.
DACDAC-2002-SteyaertV #named #paradigm #power management #question
CMOS: a paradigm for low power wireless? (MS, PJV), pp. 836–841.
DACDAC-2002-SuHSN #network
Congestion-driven codesign of power and signal networks (HS, JH, SSS, SRN), pp. 64–69.
DACDAC-2002-YeMB #analysis #network #power management
Analysis of power consumption on switch fabrics in network routers (TTY, GDM, LB), pp. 524–529.
DACDAC-2002-ZhengP #analysis #modelling #network #symmetry
Modeling and analysis of regular symmetrically structured power/ground distribution networks (HZ, LTP), pp. 395–398.
DATEDATE-2002-AghaghiriPF #encoding #multi #power management
EZ Encoding: A Class of Irredundant Low Power Codes for Data Address and Multiplexed Address Buses (YA, MP, FF), p. 1102.
DATEDATE-2002-BertozziBM #encoding #fault #power management
Low Power Error Resilient Encoding for On-Chip Data Buses (DB, LB, GDM), pp. 102–109.
DATEDATE-2002-BonaSSZSZ #embedded #estimation #optimisation
An Instruction-Level Methodology for Power Estimation and Optimization of Embedded VLIW Cores (AB, MS, DS, VZ, CS, RZ), p. 1128.
DATEDATE-2002-BrandtnerW #network #power management #simulation
Hierarchical Simulation of Substrate Coupling in Mixed-Signal ICs Considering the Power Supply Network (TB, RW), pp. 1028–1032.
DATEDATE-2002-BrockELSDBOK #design #power management
Power Crisis in SoC Design: Strategies for Constructing Low-Power, High-Performance SoC Designs (KB, CE, RL, US, AD, JB, DO, MK), p. 538.
DATEDATE-2002-BuchenriederPS #concept #design
A Powerful System Design Methodology Combining OCAPI and Handel-C for Concept Engineering (KB, AP, AS), pp. 870–874.
DATEDATE-2002-ChenS #scheduling #synthesis
Power-Manageable Scheduling Technique for Control Dominated High-Level Synthesis (CC, MS), pp. 1016–1020.
DATEDATE-2002-DuarteVI #power management
A Complete Phase-Locked Loop Power Consumption Model (DD, NV, MJI), p. 1108.
DATEDATE-2002-HuVKI #power management
Power-Efficient Trace Caches (JSH, NV, MTK, MJI), p. 1091.
DATEDATE-2002-IraniGS #analysis #multi #power management
Competitive Analysis of Dynamic Power Management Strategies for Systems with Multiple Power Savings States (SI, RKG, SKS), pp. 117–123.
DATEDATE-2002-JungKK #logic #performance #synthesis
Dual Threshold Voltage Domino Logic Synthesis for High Performance with Noise and Power Constrain (SOJ, KWK, SMK), pp. 260–265.
DATEDATE-2002-KimR #power management #reduction #scalability
Dynamic VTH Scaling Scheme for Active Leakage Power Reduction (CHK, KR), pp. 163–167.
DATEDATE-2002-MartinezAQSK #encoding #implementation #power management
An Encoding Technique for Low Power CMOS Implementations of Controllers (MM, MJA, JMQ, HS, MK), p. 1083.
DATEDATE-2002-MetraSRF #online #power management #self #testing
Self-Checking Scheme for the On-Line Testing of Power Supply Noise (CM, LS, BR, MF), pp. 832–836.
DATEDATE-2002-MukherjeeWCM #component
Sizing Power/Ground Meshes for Clocking and Computing Circuit Components (AM, KW, LHC, MMS), pp. 176–183.
DATEDATE-2002-OrtizKG #estimation #power management
Estimation of Power Consumption in Encoded Data Buses (AGO, LDK, MG), p. 1103.
DATEDATE-2002-PalkovicMC #optimisation #trade-off
Systematic Power-Performance Trade-Off in MPEG-4 by Means of Selective Function Inlining Steered by Address Optimization Opportunities (MP, MM, FC), pp. 1072–1077.
DATEDATE-2002-PetrovO #embedded #performance
Power Efficient Embedded Processor Ip’s through Application-Specific Tag Compression in Data Caches (PP, AO), pp. 1065–1071.
DATEDATE-2002-PeymandoustSM #algebra #embedded #optimisation #power management #using
Low Power Embedded Software Optimization Using Symbolic Algebra (AP, TS, GDM), pp. 1052–1058.
DATEDATE-2002-PonomarevKG #estimation #named
AccuPower: An Accurate Power Estimation Tool for Superscalar Microprocessors (DP, GK, KG), pp. 124–129.
DATEDATE-2002-SimunicB #network #power management
Managing Power Consumption in Networks on Chip (TS, SPB), pp. 110–116.
DATEDATE-2002-TangGN #embedded #power management
Power Savings in Embedded Processors through Decode Filer Cache (WT, RKG, AN), pp. 443–448.
HTHT-2002-Walker #web
Links and power: the political economy of linking on the Web (JW), pp. 72–73.
PODSPODS-2002-GottlobK #datalog #information management #monad #power of #web
Monadic Datalog and the Expressive Power of Languages for Web Information Extraction (GG, CK), pp. 17–28.
PODSPODS-2002-Neven #on the #power of #query
On the Power of Walking for Querying Tree-Structured Data (FN), pp. 77–84.
SIGMODSIGMOD-2002-MaddenH #network #power management #query
Distributing queries over low-power wireless sensor networks (SM, JMH), p. 622.
ITiCSEITiCSE-2002-Lapidot #experience #learning #self
Self-assessment as a powerful learning experience (TL), p. 198.
STOCSTOC-2002-Khot02a #game studies #on the #power of
On the power of unique 2-prover 1-round games (SK), pp. 767–775.
CIAACIAA-2002-Sutner #automaton
Reduced Power Automata (KS), pp. 194–202.
DLTDLT-2002-HorvathLL #regular expression
Roots and Powers of Regular Languages (SH, PL, GL), pp. 220–230.
ICALPICALP-2002-FabrikantKP #internet #paradigm #trade-off
Heuristically Optimized Trade-Offs: A New Paradigm for Power Laws in the Internet (AF, EK, CHP), pp. 110–122.
ICFPICFP-2002-Ford #functional #lazy evaluation #linear #parsing
Packrat parsing: : simple, powerful, lazy, linear time, functional pearl (BF), pp. 36–47.
AdaEuropeAdaEurope-2002-ChatzigeorgiouS #embedded #object-oriented #performance #power of #programming
Evaluating Performance and Power of Object-Oriented Vs. Procedural Programming in Embedded Processors (AC, GS), pp. 65–75.
CIKMCIKM-2002-Faloutsos #data mining #mining #network #self
Future directions in data mining: streams, networks, self-similarity and power laws (CF), p. 93.
PPDPPPDP-2002-NielsenPV #concurrent #constraints #on the #power of #programming language
On the expressive power of temporal concurrent constraint programming languages (MN, CP, FDV), pp. 156–167.
ICSEICSE-2002-LenceviciusMR #using #validation
Software validation using power profiles (RL, EM, AR), p. 709.
CCCC-2002-CilioC #using
Global Variable Promotion: Using Registers to Reduce Cache Power Dissipation (AGMC, HC), pp. 247–260.
CCCC-2002-RelePOG #functional #optimisation
Optimizing Static Power Dissipation by Functional Units in Superscalar Processors (SR, SP, , RG), pp. 261–275.
HPCAHPCA-2002-GrochowskiAT #architecture #power management #simulation
Microarchitectural Simulation and Control of di/dt-induced Power Supply Voltage Variation (EG, DA, VT), pp. 7–16.
HPCAHPCA-2002-GurumurthiSIVKLJ #approach #estimation #simulation #using
Using Complete Machine Simulation for Software Power Estimation: The SoftWatt Approach (SG, AS, MJI, NV, MTK, TL, LKJ), pp. 141–150.
HPCAHPCA-2002-ParikhSZBS #branch #predict
Power Issues Related to Branch Prediction (DP, KS, YZ, MB, MRS), pp. 233–244.
DACDAC-2001-BaiBH #analysis #power management
Static Timing Analysis Including Power Supply Noise Effect on Propagation Delay in VLSI Circuits (GB, SB, INH), pp. 295–300.
DACDAC-2001-ChandraC #power management #testing
Combining Low-Power Scan Testing and Test Data Compression for System-on-a-Chip (AC, KC), pp. 166–169.
DACDAC-2001-ChenC #analysis #grid #performance #power management #scalability
Efficient Large-Scale Power Grid Analysis Based on Preconditioned Krylov-Subspace Iterative Methods (THC, CCPC), pp. 559–562.
DACDAC-2001-DoboliV #constraints #design #synthesis
Integrated High-Level Synthesis and Power-Net Routing for Digital Design under Switching Noise Constraints (AD, RV), pp. 629–634.
DACDAC-2001-HenkelL #adaptation #design #named #power management
A2BC: Adaptive Address Bus Coding for Low Power Deep Sub-Micron Designs (JH, HL), pp. 744–749.
DACDAC-2001-KimCL #estimation #logic
A Static Estimation Technique of Power Sensitivity in Logic Circuits (TK, KSC, CLL), pp. 215–219.
DACDAC-2001-LiuCBK #constraints #embedded #power management #scheduling
Power-Aware Scheduling under Timing Constraints for Mission-Critical Embedded Systems (JL, PHC, NB, FJK), pp. 840–845.
DACDAC-2001-NandiM #analysis #design #embedded #performance
System-Level Power/Performance Analysis for Embedded Systems Design (AN, RM), pp. 599–604.
DACDAC-2001-QiuWP #mobile #multi #power management
Dynamic Power Management in a Mobile Multimedia System with Guaranteed Quality-of-Service (QQ, QW, MP), pp. 834–839.
DACDAC-2001-SanghaviW #estimation #power of
Estimation of Speed, Area, and Power of Parameterizable, Soft IP (JVS, AW), pp. 31–34.
DACDAC-2001-ShinS #design #power management
Coupling-Driven Bus Design for Low-Power Application-Specific Systems (YS, TS), pp. 750–753.
DACDAC-2001-SimunicBAGM #power management #scalability
Dynamic Voltage Scaling and Power Management for Portable Systems (TS, LB, AA, PWG, GDM), pp. 524–529.
DACDAC-2001-TanS #modelling #network #optimisation #performance
Fast Power/Ground Network Optimization Based on Equivalent Circuit Modeling (SXDT, CJRS), pp. 550–554.
DATEDATE-2001-AcquavivaBR #adaptation #algorithm #multi #power management #streaming
An adaptive algorithm for low-power streaming multimedia processing (AA, LB, BR), pp. 273–279.
DATEDATE-2001-ChangHM #functional #optimisation #symmetry #using
In-place delay constrained power optimization using functional symmetries (CWJC, BH, MMS), pp. 377–382.
DATEDATE-2001-Chen #grid #on the #power management
On the impact of on-chip inductance on signal nets under the influence of power grid noise (TC), pp. 451–459.
DATEDATE-2001-DielissenMBHSHW #power management
Power-efficient layered turbo decoder processor (JD, JLvM, MB, FH, SS, JH, AvdW), pp. 246–251.
DATEDATE-2001-Doboli #constraints #design #embedded #latency
Integrated hardware-software co-synthesis for design of embedded systems under power and latency constraints (AD), pp. 612–619.
DATEDATE-2001-GarnicaLH #power management #pseudo
A pseudo delay-insensitive timing model to synthesizing low-power asynchronous circuits (OG, JL, RH), p. 810.
DATEDATE-2001-GerfersM #design #power management
A design strategy for low-voltage low-power continuous-time sigma-delta A/D converters (FG, YM), pp. 361–369.
DATEDATE-2001-GrunDN #embedded #memory management #power management
Access pattern based local memory customization for low power embedded systems (PG, NDD, AN), pp. 778–784.
DATEDATE-2001-HsiehCP #analysis #simulation
Microprocessor power analysis by labeled simulation (CTH, LC, MP), pp. 182–189.
DATEDATE-2001-IyerM #architecture #power management #scalability
Power aware microarchitecture resource scaling (AI, DM), pp. 190–196.
DATEDATE-2001-LiuP #design #estimation
A static power estimation methodolodgy for IP-based design (XL, MCP), pp. 280–289.
DATEDATE-2001-NaiduJ #power management
Minimizing stand-by leakage power in static CMOS circuits (SRN, ETAFJ), pp. 370–376.
DATEDATE-2001-PiguetRO #power management
Low-power systems on chips (SOCs) (CP, MR, TJFO), p. 488.
DATEDATE-2001-SamiSSZZ #embedded
Exploiting data forwarding to reduce the power budget of VLIW embedded processors (MS, DS, CS, VZ, RZ), pp. 252–257.
DATEDATE-2001-SchmidtJKTN #automation #memory management #modelling
Automatic nonlinear memory power modelling (ES, GJ, LK, FT, WN), p. 808.
DATEDATE-2001-ShinKC #optimisation
An operation rearrangement technique for power optimization in VLIM instruction fetch (DS, JK, NC), p. 809.
DATEDATE-2001-WormLW #architecture #design #performance #power management
Design of low-power high-speed maximum a priori decoder architectures (AW, HL, NW), pp. 258–267.
DATEDATE-2001-ZengABA #identification
Full chip false timing path identification: applications to the PowerPCTM microprocessors (JZ, MSA, JB, JAA), pp. 514–519.
ICDARICDAR-2001-GrandidierSGS #markov #modelling #power of
An a priori Indicator of the Discrimination Power of Discrete Hidden Markov Models (FG, RS, MG, CYS), pp. 350–355.
DLTDLT-2001-Cachat #power of
The Power of One-Letter Rational Languages (TC), pp. 145–154.
DLTDLT-2001-HromkovicS #automaton #on the #power of #random
On the Power of Randomized Pushdown Automata (JH, GS), pp. 262–271.
ICALPICALP-2001-DrosteZ
Rational Transformations of Formal Power Series (MD, GQZ), pp. 555–566.
ICEISICEIS-v1-2001-NijbakkerW #design #information management
Knowledge-Powered Executive Information System Design (SN, BJW), pp. 75–80.
CIKMCIKM-2001-GrabsBS #clustering #database #information retrieval #named
PowerDB-IR — Information Retrieval on Top of a Database Cluster (TG, KB, HJS), pp. 411–418.
HPCAHPCA-2001-DelaluzKVSI #energy #hardware #using
DRAM Energy Management Using Software and Hardware Directed Power Mode Control (VD, MTK, NV, AS, MJI), pp. 159–169.
LCTESLCTES-OM-2001-KangCS #design #distributed #power management #realtime #synthesis
Power-Aware Design Synthesis Techniques for Distributed Real-Time Systems (DIK, SPC, JS), pp. 20–28.
LCTESLCTES-OM-2001-KimH #embedded #hybrid #power management #realtime #runtime #scalability
Hybrid Run-time Power Management Technique for Real-time Embedded System with Voltage Scalable Processor (MK, SH), pp. 11–19.
SOSPSOSP-2001-PillaiS #embedded #operating system #power management #realtime #scalability
Real-Time Dynamic Voltage Scaling for Low-Power Embedded Operating Systems (PP, KGS), pp. 89–102.
CSLCSL-2001-Kanovich #linear #logic #monad #power of
The Expressive Power of Horn Monadic Linear Logic (MIK), pp. 39–53.
RTARTA-2001-CirsteaKL
Matching Power (HC, CK, LL), pp. 77–92.
ICSTSAT-2001-Berre #lookahead #power of
Exploiting the real power of unit propagation lookahead (DLB), pp. 59–80.
DACDAC-2000-BeniniMMP #embedded #optimisation #synthesis
Synthesis of application-specific memories for power optimization in embedded systems (LB, AM, EM, MP), pp. 300–303.
DACDAC-2000-Chakrabarty #architecture #constraints #design
Design of system-on-a-chip test access architectures under place-and-route and power constraints (KC), pp. 432–437.
DACDAC-2000-ChangKC #encoding #memory management #power management
Bus encoding for low-power high-performance memory systems (NC, KK, JC), pp. 800–805.
DACDAC-2000-DickLRJ #analysis #embedded #operating system
Power analysis of embedded operating systems (RPD, GL, AR, NKJ), pp. 312–315.
DACDAC-2000-DrakeBGKPGSB
CGaAs PowerPC FXU (AJD, TDB, SMG, KLK, PNP, CRG, PSS, RBB), pp. 730–735.
DACDAC-2000-GebotysGW #architecture #power management
Power minimization derived from architectural-usage of VLIW processors (CHG, RJG, SW), pp. 308–311.
DACDAC-2000-HeijningenBDEB #generative #power management #simulation
High-level simulation of substrate noise generation including power supply noise coupling (MvH, MB, SD, ME, IB), pp. 446–451.
DACDAC-2000-KandemirVIY #compilation #optimisation
Influence of compiler optimizations on system power (MTK, NV, MJI, WY), pp. 304–307.
DACDAC-2000-LeeS #power management #realtime #runtime
Run-time voltage hopping for low-power real-time systems (SL, TS), pp. 806–809.
DACDAC-2000-LekatsasHW #design #embedded #power management
Code compression for low power embedded system design (HL, JH, WW), pp. 294–299.
DACDAC-2000-NassifK #grid #performance #power management #simulation
Fast power grid simulation (SRN, JNK), pp. 156–161.
DACDAC-2000-QiuWP #petri net #power management #probability #using
Dynamic power management of complex systems using generalized stochastic Petri nets (QQ, QW, MP), pp. 352–356.
DACDAC-2000-QuKUP #estimation
Function-level power estimation methodology for microprocessors (GQ, NK, KU, MP), pp. 810–813.
DACDAC-2000-RaoN #power management #using
Power minimization using control generated clocks (MSR, SKN), pp. 794–799.
DACDAC-2000-UmKL #fine-grained #optimisation #power management #synthesis
A fine-grained arithmetic optimization technique for high-performance/low-power data path synthesis (JU, TK, CLL), pp. 98–103.
DACDAC-2000-YenY #design #multi #verification
Multiprocessing design verification methodology for Motorola MPC74XX PowerPC microprocessor (JTY, QRY), pp. 718–723.
DACDAC-2000-ZhaoPSECB #analysis #network
Hierarchical analysis of power distribution networks (MZ, RP, SSS, TE, RC, DB), pp. 150–155.
DACDAC-2000-ZhouW #composition #power management
Optimal low power X OR gate decomposition (HZ, DFW), pp. 104–107.
DATEDATE-2000-BeniniCMMPS #estimation
A Discrete-Time Battery Model for High-Level Power Estimation (LB, GC, AM, EM, MP, RS), pp. 35–39.
DATEDATE-2000-CarlettaPN #analysis #detection #fault #using
Detecting Undetectable Controller Faults Using Power Analysis (JC, CAP, MN), pp. 723–728.
DATEDATE-2000-GizopoulosKPPZ #effectiveness #power management
Effective Low Power BIST for Datapaths (DG, NK, MP, AMP, YZ), p. 757.
DATEDATE-2000-HenkeGV #design #estimation #performance
Fast Cache and Bus Power Estimation for Parameterized System-on-a-Chip Design (JH, TG, FV), pp. 333–338.
DATEDATE-2000-HsiehP #architecture #optimisation
Architectural Power Optimization by Bus Splitting (CTH, MP), pp. 612–616.
DATEDATE-2000-IshiharaY #embedded #reduction
A Power Reduction Technique with Object Code Merging for Application Specific Embedded Processors (TI, HY), pp. 617–623.
DATEDATE-2000-KruseSJSN #bound #constraints #data flow #graph #power management
Lower Bounds on the Power Consumption in Scheduled Data Flow Graphs with Resource Constraints (LK, ES, GJ, AS, WN), p. 737.
DATEDATE-2000-KumthekarS #logic #optimisation #reduction
Power and Delay Reduction via Simultaneous Logic and Placement Optimization in FPGAs (BK, FS), pp. 202–207.
DATEDATE-2000-LajoloRDL #design #performance
Efficient Power Co-Estimation Techniques for System-on-Chip Design (ML, AR, SD, LL), pp. 27–34.
DATEDATE-2000-LuCSMB #algorithm #comparison #power management
Quantitative Comparison of Power Management Algorithms (YHL, EYC, TS, GDM, LB), pp. 20–26.
DATEDATE-2000-MunchWWMS #automation #power management
Automating RT-Level Operand Isolation to Minimize Power Consumption in Datapaths (MM, NW, BW, RM, JS), pp. 624–631.
DATEDATE-2000-NicoliciA #clustering #multi #power management
Scan Latch Partitioning into Multiple Scan Chains for Power Minimization in Full Scan Sequential Circuits (NN, BMAH), pp. 715–722.
DATEDATE-2000-RamanathanG #algorithm #online #power management
System Level Online Power Management Algorithms (DR, RKG), pp. 606–611.
DATEDATE-2000-SimunicBGM #power management
Dynamic Power Management of Laptop Hard Disk (TS, LB, PWG, GDM), p. 736.
PODSPODS-2000-DantsinV #complexity #query
Expressive Power and Data Complexity of Query Languages for Trees and Lists (ED, AV), pp. 157–165.
SIGMODSIGMOD-2000-FaloutsosSTT #using
Spatial Join Selectivity Using Power Laws (CF, BS, AJMT, CTJ), pp. 177–188.
VLDBVLDB-2000-GuPS #database
OLAP++: Powerful and Easy-to-Use Federations of OLAP and Object Databases (JG, TBP, AS), pp. 599–602.
ITiCSEITiCSE-2000-RaabRP #education #java #tool support
Pedagogical power tools for teaching Java (JR, RR, VKP), pp. 156–159.
ICALPICALP-2000-Merkle #power of #query
The Global Power of Additional Queries to p-Random Oracles (WM), pp. 914–925.
ICALPICALP-2000-NevenS #automaton #on the #power of
On the Power of Tree-Walking Automata (FN, TS), pp. 547–560.
WLCWLC-2000-MargolisS #polynomial
Power Semigroups and Polynomial Closure (SWM, BS), pp. 311–322.
CHICHI-2000-BuyukkoktenGPW #performance #web
Power browser: efficient Web browsing for PDAs (OB, HGM, AP, TW), pp. 430–437.
ICEISICEIS-2000-PapazisIF #development #information management
Development of an Information System for Wind Power Stations (SAP, MGI, PNF), pp. 196–202.
ICPRICPR-v2-2000-LaiCF #canonical #correlation #using
Power Load Forecasting Using Neural Canonical Correlates (PLL, SJC, CF), pp. 2455–2458.
ICPRICPR-v4-2000-Kita #visual notation
Visual Attention Control for Nuclear Power Plant Inspection (NK), pp. 4118–4123.
SACSAC-2000-SekiTTWS #distributed #network
Network Integrated Supervisory Control for Power Systems Based on Distributed Objects (TS, TT, TT, HW, TS), pp. 620–626.
ASPLOSASPLOS-2000-LebeckFZE #power management
Power Aware Page Allocation (ARL, XF, HZ, CSE), pp. 105–116.
HPCAHPCA-2000-BehrPS #architecture #named #parallel
PowerMANNA: A Parallel Architecture Based on the PowerPC MPC620 (PMB, SP, ACS), pp. 277–286.
HPDCHPDC-2000-HinkeN #data mining #grid #mining #power management
Data Mining on NASA’s Information Power Grid (THH, JN), pp. 292–293.
LCTESLCTES-2000-ChildersN #memory management #order #power management #transaction
Reordering Memory Bus Transactions for Reduced Power Consumption (BRC, TN), pp. 146–161.
LCTESLCTES-2000-ParkLLHK #embedded #performance
A Power Efficient Cache Structure for Embedded Processors Based on the Dual Cache Structure (GHP, KWL, JHL, TDH, SDK), pp. 162–177.
ICLPCL-2000-Janhunen #logic programming #source code #strict
Comparing the Expressive Powers of Some Syntactically Restricted Classes of Logic Programs (TJ), pp. 852–866.
CSLCSL-2000-Aspinall #type system
Subtyping with Power Types (DA), pp. 156–171.
ICTSSTestCom-2000-CavalliPY #communication #detection #fault #power of #testing
Fault Detection Power of a Widely Used Test Suite for a System of Communicating FSMs (ARC, SP, NY), p. 35–?.
DACDAC-1999-AllenBS
Converting a 64b PowerPC Processor from CMOS Bulk to SOI Technology (DA, DB, BS), pp. 892–897.
DACDAC-1999-BeniniMMOP #algorithm #approximate #component #kernel #optimisation
Kernel-Based Power Optimization of RTL Components: Exact and Approximate Extraction Algorithms (LB, GDM, EM, GO, MP), pp. 247–252.
DACDAC-1999-BeniniMMPS #communication #interface #power management #synthesis
Synthesis of Low-Overhead Interfaces for Power-Efficient Communication over Wide Buses (LB, AM, EM, MP, RS), pp. 128–133.
DACDAC-1999-ChinosiZG #clustering #parallel #simulation
Parallel Mixed-Level Power Simulation Based on Spatio-Temporal Circuit Partitioning (MC, RZ, CG), pp. 562–567.
DACDAC-1999-ErcegovacKP #behaviour #multi #optimisation #power management #precise #synthesis #using
Low-Power Behavioral Synthesis Optimization Using Multiple Precision Arithmetic (MDE, DK, MP), pp. 568–573.
DACDAC-1999-FournierKL #architecture #validation
Developing an Architecture Validation Suite: Applicaiton to the PowerPC Architecture (LF, AK, ML), pp. 189–194.
DACDAC-1999-HashimotoOT #design #power management #reduction
A Practical Gate Resizing Technique Considering Glitch Reduction for Low Power Design (MH, HO, KT), pp. 446–451.
DACDAC-1999-HemaniMKPONOEL #design #power management #using
Lowering Power Consumption in Clock by Using Globally Asynchronous Locally Synchronous Design Style (AH, TM, SK, AP, TO, PN, , PE, DL), pp. 873–878.
DACDAC-1999-Henkel #approach #clustering #embedded #hardware #power management
A Low Power Hardware/Software Partitioning Approach for Core-Based Embedded Systems (JH), pp. 122–127.
DACDAC-1999-JiangC #analysis #performance #power management
Analysis of Performance Impact Caused by Power Supply Noise in Deep Submicron Devices (YMJ, KTC), pp. 760–765.
DACDAC-1999-KinLMP #design #performance
Power Efficient Mediaprocessors: Design Space Exploration (JK, CL, WHMS, MP), pp. 321–326.
DACDAC-1999-LakshminarayanaRKJD #optimisation #performance
Common-Case Computation: A High-Level Technique for Power and Performance Optimization (GL, AR, KSK, NKJ, SD), pp. 56–61.
DACDAC-1999-PatraN #automation #power management #synthesis
Automated Phase Assignment for the Synthesis of Low Power Domino Circuits (PP, UN), pp. 379–384.
DACDAC-1999-PedramW #design
Design Considerations for Battery-Powered Electronics (MP, QW), pp. 861–866.
DACDAC-1999-QiuP #markov #power management #process
Dynamic Power Management Based on Continuous-Time Markov Decision Processes (QQ, MP), pp. 555–561.
DACDAC-1999-RaimiA #detection
Detecting False Timing Paths: Experiments on PowerPC Microprocessors (RR, JAA), pp. 737–741.
DACDAC-1999-ShinC #realtime #scheduling
Power Conscious Fixed Priority Scheduling for Hard Real-Time Systems (YS, KC), pp. 134–139.
DACDAC-1999-ShiueC #embedded #memory management #power management
Memory Exploration for Low Power, Embedded Systems (WTS, CC), pp. 140–145.
DACDAC-1999-SirichotiyakulEOZDPB #power management
Stand-by Power Minimization Through Simultaneous Threshold Voltage Selection and Circuit Sizing (SS, TE, CO, JZ, AD, RP, DB), pp. 436–441.
DACDAC-1999-SundararajanP #power management #synthesis #using
Synthesis of Low Power CMOS VLSI Circuits Using Dual Supply Voltages (VS, KKP), pp. 72–75.
DACDAC-1999-TanSLLY #linear #network #optimisation #sequence
Reliability-Constrained Area Optimization of VLSI Power/Ground Networks via Sequence of Linear Programmings (XDT, CJRS, DL, JCL, LPY), pp. 78–83.
DACDAC-1999-WeiCRYD #design #power management
Mixed-Vth (MVT) CMOS Circuit Design Methodology for Low Power Applications (LW, ZC, KR, YY, VD), pp. 430–435.
DACDAC-1999-YehCCJ #design
Gate-Level Design Exploiting Dual Supply Voltages for Power-Driven Applications (CWY, MCC, SCC, WBJ), pp. 68–71.
DACDAC-1999-YimBK
A Floorplan-Based Planning Methodology for Power and Clock Distribution in ASICs (JSY, SOB, CMK), pp. 766–771.
DATEDATE-1999-BeniniMMMPS #power management
Glitch Power Minimization by Gate Freezing (LB, GDM, AM, EM, MP, RS), pp. 163–167.
DATEDATE-1999-ChungBBM #power management
Dynamic Power Management for non-stationary service requests (EYC, LB, AB, GDM), pp. 77–81.
DATEDATE-1999-FornaciariSS #embedded #encoding
Influence of Caching and Encoding on Power Dissipation of System-Level Buses for Embedded Systems (WF, DS, CS), pp. 762–763.
DATEDATE-1999-Hsiao #estimation #optimisation #scalability #search-based #using
Peak Power Estimation Using Genetic Spot Optimization for Large VLSI Circuits (MSH), p. 175–?.
DATEDATE-1999-HwangVH #clustering #functional #power management
FSMD Functional Partitioning for Low Power (EH, FV, YCH), pp. 22–27.
DATEDATE-1999-JochensKSN #component #megamodelling
A New Parameterizable Power Macro-Model for Datapath Components (GJ, LK, ES, WN), p. 29–?.
DATEDATE-1999-KimKHL #logic #power management #synthesis
Logic Transformation for Low Power Synthesis (KWK, SMK, TH, CLL), pp. 158–162.
DATEDATE-1999-LauwersG #estimation #performance
A Power Estimation Model for High-Speed CMOS A/D Converters (EL, GGEG), pp. 401–405.
DATEDATE-1999-NoethK #encoding #power management
Spanning Tree-based State Encoding for Low Power Dissipation (WN, RK), pp. 168–174.
DATEDATE-1999-PedramW #design
Battery-Powered Digital CMOS Design (MP, QW), pp. 72–76.
SIGMODSIGMOD-1999-LiVCAHMWBCHIKSS #information management #named #web
PowerBookmarks: A System for Personalizable Web Information Organization, Sharing, and Management (WSL, QV, EYC, DA, KH, SM, YLW, CB, KCCC, YH, RI, YK, KS, YS), pp. 565–567.
STOCSTOC-1999-GuhaMNS #performance
Efficient Recovery from Power Outage (Extended Abstract) (SG, AM, JN, BS), pp. 574–582.
DLTDLT-1999-JirickaK #automaton #finite #nondeterminism
Deterministic forgetting planar automata are more powerful than non-deterministic finite-state planar automata (PJ, JK), pp. 71–80.
DLTDLT-1999-Petre #on the
On semilinearity in formal power series (IP), pp. 220–231.
ICALPICALP-1999-HromkovicS #automaton #finite #on the #power of
On the Power of Las Vegas II. Two-Way Finite Automata (JH, GS), pp. 433–442.
ICALPICALP-1999-Kirsten #finite #monad #problem
A Connection between the Star Problem and the Finite Power Property in Trace Monoids (DK), pp. 473–482.
ICALPICALP-1999-Rutten #automaton #induction
Automata, Power Series, and Coinduction: Taking Input Derivatives Seriously (JJMMR), pp. 645–654.
FMFM-v1-1999-MandelC #ocl #on the #power of
On the Expressive Power of OCL (LM, MVC), pp. 854–874.
FMFM-v2-1999-MarchandS #design #incremental #synthesis #using
Incremental Design of a Power Transformer Station Controller Using a Controller Synthesis Methodology (HM, MS), pp. 1605–1624.
HCIHCI-CCAD-1999-IshikawaS #collaboration
Feasibility study on the application of a human collaborative robot system to the inspecting patrol of nuclear power plants (NI, KS), pp. 1172–1176.
HCIHCI-CCAD-1999-NiwaT
An introduction of the accident recovery by the agent in nuclear power plants (YN, MT), pp. 357–361.
HCIHCI-CCAD-1999-TakanoSS #behaviour #simulation
Intellectual simulation of operating team behavior in coping with anomalies occurring at commercial nuclear power plants (KT, WS, KS), pp. 1201–1205.
ICEISICEIS-1999-ValeRFSMSM #information management
An Expert System for Intelligent Information Processing in Portuguese Power System Control Centers (ZAV, CR, LF, JS, NM, AS, AM), pp. 335–342.
ICEISICEIS-1999-ValeSFMRM #named
SPARSE-IT: An Intelligent Tutor for Power System Control Center Operator Training (ZAV, AS, LF, NM, CR, AM), pp. 327–334.
TOOLSTOOLS-EUROPE-1999-Meyer99b #power of
The Unity of Software and the Power of Roundtrip Engineering (BM), p. 2.
TOOLSTOOLS-EUROPE-1999-ReenskaugB #distributed #enterprise #information management #power of
Unleashing the Power of Distributed Enterprise Information Systems (TR, LB), p. 396.
TOOLSTOOLS-USA-1999-Meyer #power of
The Unity of Software and the Power of Roundtrip Engineering (BM), p. 3.
SACSAC-1999-RudenkoRPK #framework #power management
The Remote Processing Framework for Portable Computer Power Saving (AR, PLR, GJP, GHK), pp. 365–372.
HPCAHPCA-1999-BrooksM #performance
Dynamically Exploiting Narrow Width Operands to Improve Processor Power and Performance (DMB, MM), pp. 13–22.
HPDCHPDC-1999-JohnstonGN #aspect-oriented #grid #power management
Grids as Production Computing Environments: The Engineering Aspects of NASA’s Information Power Grid (WEJ, DG, BN), pp. 197–204.
CAVCAV-1999-BiereCRZ #model checking #safety #using
Verifiying Safety Properties of a Power PC Microprocessor Using Symbolic Model Checking without BDDs (AB, EMC, RR, YZ), pp. 60–71.
LICSLICS-1999-MollerR #on the #power of
On the Expressive Power of CTL (FM, AMR), pp. 360–368.
DACDAC-1998-BeniniMLMOP #kernel #optimisation
Computational Kernels and their Application to Sequential Power Optimization (LB, GDM, AL, EM, GO, MP), pp. 764–769.
DACDAC-1998-ChenOIB #analysis #architecture #validation
Validation of an Architectural Level Power Analysis Technique (RYC, RMO, MJI, RSB), pp. 242–245.
DACDAC-1998-ChenR #megamodelling
A Power Macromodeling Technique Based on Power Sensitivity (ZC, KR), pp. 678–683.
DACDAC-1998-DharchoudhuryPBVTB #analysis #design #network
Design and Analysis of Power Distribution Networks in PowerPC Microprocessors (AD, RP, DB, RV, BT, DB), pp. 738–743.
DACDAC-1998-GowanBJ #design
Power Considerations in the Design of the Alpha 21264 Microprocessor (MKG, LLB, DBJ), pp. 726–731.
DACDAC-1998-HongKQPS #optimisation
Power Optimization of Variable Voltage Core-Based Systems (IH, DK, GQ, MP, MBS), pp. 176–181.
DACDAC-1998-HwangCH #approach #design #power management #re-engineering #using
A Re-engineering Approach to Low Power FPGA Design Using SPFD (JMH, FYC, TH), pp. 722–725.
DACDAC-1998-KimB #algorithm #estimation #parallel
Parallel Algorithms for Power Estimation (VK, PB), pp. 672–677.
DACDAC-1998-KumthekarBMS #optimisation
In-Place Power Optimization for LUT-Based FPGAs (BK, LB, EM, FS), pp. 718–721.
DACDAC-1998-KwakP #estimation #fault #logic #statistics
An Optimization-Based Error Calculation for Statistical Power Estimation of CMOS Logic Circuits (BK, ESP), pp. 690–693.
DACDAC-1998-LakshminarayanaJ #behaviour #control flow #framework #named #optimisation #throughput
FACT: A Framework for the Application of Throughput and Power Optimizing Transformations to Control-Flow Intensive Behavioral Descriptions (GL, NKJ), pp. 102–107.
DACDAC-1998-LakshminarayanaJ98a #behaviour #power management #synthesis
Synthesis of Power-Optimized and Area-Optimized Circuits from Hierarchical Behavioral Descriptions (GL, NKJ), pp. 439–444.
DACDAC-1998-MonteiroO #composition #finite #power management #state machine
Finite State Machine Decomposition For Low Power (JCM, ALO), pp. 758–763.
DACDAC-1998-OhP #design #multi #network
Multi-Pad Power/Ground Network Design for Uniform Distribution of Ground Bounce (JO, MP), pp. 287–290.
DACDAC-1998-PaleologoBBM #optimisation #policy #power management
Policy Optimization for Dynamic Power Management (GAP, LB, AB, GDM), pp. 182–187.
DACDAC-1998-QiuWP #estimation #order #statistics #using
Maximum Power Estimation Using the Limiting Distributions of Extreme Order Statistics (QQ, QW, MP), pp. 684–689.
DACDAC-1998-SteeleORH #verification
Full-Chip Verification Methods for DSM Power Distribution Systems (GS, DO, SR, SZH), pp. 744–749.
DACDAC-1998-TiwariSRMPB
Reducing Power in High-Performance Microprocessors (VT, DS, SR, GM, RP, FB), pp. 732–737.
DACDAC-1998-UsamiIIKTHATK #design #power management #scalability
Design Methodology of Ultra Low-Power MPEG4 Codec Core Exploiting Voltage Scaling Techniques (KU, MI, TI, MK, MT, MH, HA, TT, TK), pp. 483–488.
DACDAC-1998-WangAK #array #automation #evaluation #generative #using #verification
Automatic Generation of Assertions for Formal Verification of PowerPC Microprocessor Arrays Using Symbolic Trajectory Evaluation (LCW, MSA, NK), pp. 534–537.
DACDAC-1998-Yuan #modelling #network #simulation
Electromagnetic Modeling and Signal Integrity Simulation of Power/Ground Networks in High Speed Digital Packages and Printed Circuit Boards (FYY), pp. 421–426.
DATEDATE-1998-BeniniMSMS #encoding #optimisation
Address Bus Encoding Techniques for System-Level Power Optimization (LB, GDM, DS, EM, CS), pp. 861–866.
DATEDATE-1998-BoglioloBM #behaviour #modelling
Characterization-Free Behavioral Power Modeling (AB, LB, GDM), pp. 767–773.
DATEDATE-1998-FerrandiFMP #behaviour #estimation
Power Estimation of Behavioral Descriptions (FF, FF, EM, MP), pp. 762–766.
DATEDATE-1998-KhouriLJ #control flow #named #power management #synthesis
IMPACT: A High-Level Synthesis System for Low Power Control-Flow Intensive Circuits (KSK, GL, NKJ), pp. 848–854.
DATEDATE-1998-MarculescuMP #estimation #probability
Trace-Driven Steady-State Probability Estimation in FSMs with Application to Power Estimation (DM, RM, MP), pp. 774–779.
DATEDATE-1998-RabeJKNO #performance #trade-off
Power-Simulation of Cell Based ASICs: Accuracy- and Performance Trade-Offs (DR, GJ, LK, WN), pp. 356–361.
DATEDATE-1998-RoethigZA #design #modelling
Power and Timing Modeling for ASIC Designs (WR, AMZ, MA), pp. 969–970.
DATEDATE-1998-RoyAB #clustering #named #power management
PowerShake: A Low Power Driven Clustering and Factoring Methodology for Boolean Expressions (SR, HA, PB), pp. 967–968.
DATEDATE-1998-TomiyamaIIY #design #reduction #scheduling
Instruction Scheduling for Power Reduction in Processor-Based System Design (HT, TI, AI, HY), pp. 855–860.
DATEDATE-1998-WangAZ #array #design #effectiveness #validation
Measuring the Effectiveness of Various Design Validation Approaches For PowerPC(TM) Microprocessor Arrays (LCW, MSA, JZ), pp. 273–277.
DATEDATE-1998-WangV #data-driven #optimisation
Data Driven Power Optimization of Sequential Circuits (QW, SBKV), pp. 686–691.
SIGMODSIGMOD-1998-ChenWZ #query
A Protein Patent Query System Powered By Kleisli (JC, LW, LZ), pp. 593–595.
ITiCSEITiCSE-1998-Johansson #approach #programming #student
Programming by example (poster): an instructional approach allowing introductory students to quickly grasp the power and excitement of programming (PGJ), p. 284.
SASSAS-1998-Leuschel #on the #online #power of #termination
On the Power of Homeomorphic Embedding for Online Termination (ML), pp. 230–245.
STOCSTOC-1998-BenderFRSV #graph #power of
The Power of a Pebble: Exploring and Mapping Directed Graphs (MAB, AF, DR, AS, SPV), pp. 269–278.
STOCSTOC-1998-TherienW #quantifier #word
Over Words, Two Variables Are as Powerful as One Quantifier Alternation (DT, TW), pp. 234–240.
ICALPICALP-1998-CookFMSS #network
Low-Bandwidth Routing and Electrical Power Networks (DC, VF, MVM, AS, YJS), pp. 604–615.
ICALPICALP-1998-DurisJKL #finite #multi #power of
Power of Cooperation and Multihead Finite Systems (PD, TJ, MK, KL), pp. 896–907.
ICGTTAGT-1998-Drewes #generative #power of
Some Remarks on the Generative Power of Collage Grammars and Chain-Code Grammars (FD), pp. 1–14.
ICGTTAGT-1998-GodardMM #graph #power of
The Power of Local Computations in Graphs with Initial Knowledge (EG, YM, AM), pp. 71–84.
CSCWCSCW-1998-GutwinG #design #trade-off
Design for Individuals, Design for Groups: Tradeoffs between Power and Workspace Awareness (CG, SG), pp. 207–216.
ICMLICML-1998-NockJ #on the #power of
On the Power of Decision Lists (RN, PJ), pp. 413–420.
REICRE-1998-ForsgrenD #case study #experience #industrial #requirements
Requirements Engineering In Control Center Procurement Projects Practical Experiences from the Power Industry (PF, AD), pp. 224–232.
SACSAC-1998-DentiNO #coordination #on the #power of #programming
On the expressive power of a language for programming coordination media (ED, AN, AO), pp. 169–177.
CSLCSL-1998-KempeS #algebra #first-order #on the #power of #specification
On the Power of Quantifers in First-Order Algebraic Specification (DK, AS), pp. 45–57.
DACDAC-1997-ChenHL #approach #design #power management #re-engineering
Low Power FPGA Design — A Re-engineering Approach (CSC, TH, CLL), pp. 656–661.
DACDAC-1997-ChenL #analysis #design #power management
Power Supply Noise Analysis Methodology for Deep-Submicron VLSI Chip Design (HHC, DDL), pp. 638–643.
DACDAC-1997-DingWHP #cumulative #estimation #statistics
Statistical Estimation of the Cumulative Distribution Function for Power Dissipation in VLSI Cirucits (CSD, QW, CTH, MP), pp. 371–376.
DACDAC-1997-Frenkil #design #power management #tool support
Tools and Methodologies for Low Power Design (JF), pp. 76–81.
DACDAC-1997-GuptaN #estimation #megamodelling
Power Macromodeling for High Level Power Estimation (SG, FNN), pp. 365–370.
DACDAC-1997-HsiehPMR #evaluation #synthesis
Profile-Driven Program Synthesis for Evaluation of System Power Dissipation (CTH, MP, GM, FR), pp. 576–581.
DACDAC-1997-KimC #synthesis #using
Power-conscious High Level Synthesis Using Loop Folding (DK, KC), pp. 441–445.
DACDAC-1997-KirovskiP #power management #realtime #synthesis
System-Level Synthesis of Low-Power Hard Real-Time Systems (DK, MP), pp. 697–702.
DACDAC-1997-MaciiPS #estimation #modelling #optimisation
High-Level Power Modeling, Estimation, and Optimization (EM, MP, FS), pp. 504–511.
DACDAC-1997-MarculescuMP97a #estimation #sequence
Hierarchical Sequence Compaction for Power Estimation (RM, DM, MP), pp. 570–575.
DACDAC-1997-MurofushiIMM #layout #power management
Layout Driven Re-synthesis for Low Power Consumption LSIs (MM, TI, MM, TM), pp. 666–669.
DACDAC-1997-PandaN #power management #synthesis
Technology-Dependent Transformations for Low-Power Synthesis (RP, FNN), pp. 650–655.
DACDAC-1997-PantDC #energy #logic #network #optimisation #power management #random
Device-Circuit Optimization for Minimal Energy and Power Consumption in CMOS Random Logic Networks (PP, VD, AC), pp. 403–408.
DACDAC-1997-RaghunathanDJW #control flow #design #power management
Power Management Techniques for Control-Flow Intensive Designs (AR, SD, NKJ, KW), pp. 429–434.
DACDAC-1997-TsuiCWDP #design #estimation #framework #power management #video
A Power Estimation Framework for Designing Low Power Portable Video Applications (CYT, KKC, QW, CSD, MP), pp. 421–424.
DACDAC-1997-WangVG #trade-off
An Investigation of Power Delay Trade-Offs on PowerPC Circuits (QW, SBKV, SG), pp. 425–428.
DACDAC-1997-XanthopoulosYC #architecture #case study #estimation #using
Architectural Exploration Using Verilog-Based Power Estimation: A Case Study of the IDCT (TX, YY, AC), pp. 415–420.
DACDAC-1997-YuanTK #estimation #statistics
Statistical Estimation of Average Power Dissipation in Sequential Circuits (LPY, CCT, SMK), pp. 377–382.
DATEEDTC-1997-BeniniMMPS #logic #network #optimisation #synthesis
Symbolic synthesis of clock-gating logic for power optimization of control-oriented synchronous networks (LB, GDM, EM, MP, RS), pp. 514–520.
DATEEDTC-1997-BoglioloBM #adaptation #behaviour #modelling
Adaptive least mean square behavioral power modeling (AB, LB, GDM), pp. 404–410.
DATEEDTC-1997-ChoiH #estimation
Improving the accuracy of support-set finding method for power estimation of combinational circuits (HC, SHH), pp. 526–530.
DATEEDTC-1997-CrenshawS #estimation
Accurate high level datapath power estimation (JEC, MS), pp. 590–596.
DATEEDTC-1997-GavrilovGRBJV #performance
Fast power loss calculation for digital static CMOS circuits (SG, AG, SR, DB, LGJ, GV), pp. 411–415.
DATEEDTC-1997-SaxenaNH #approach #estimation #monte carlo
Monte-Carlo approach for power estimation in sequential circuits (VS, FNN, INH), pp. 416–420.
DATEEDTC-1997-SurtiCT #automaton #design #encoding #power management #using
Low power FSM design using Huffman-style encoding (PS, LFC, AT), pp. 521–525.
DATEEDTC-1997-TurgisDPA #modelling
Internal power modelling and minimization in CMOS inverters (ST, JMD, JMP, DA), pp. 603–608.
TACASTACAS-1997-BeeckBCDFKMSS #graph #morphism #polymorphism #power of
Graphs in METAFrame: The Unifying Power of Polymorphism (MvdB, VB, AC, AD, CF, DK, TMS, FS, BS), pp. 112–129.
CSMRCSMR-1997-Holl #configuration management #maintenance
A Software Configuration Management System for the Maintenance of Nuclear Power Plant Simulators (BH), pp. 136–139.
SASSAS-1997-BoigelotGWW #power of
The Power of QDDs (Extended Abstract) (BB, PG, BW, PW), pp. 172–186.
DLTDLT-1997-BuchholzK #bound #on the #power of
On the power of one-way bounded cellular time computers (TB, MK), pp. 365–375.
DLTDLT-1997-FernauS #how
How Powerful is Unconditional Transfer? — When UT meets AC (HF, FS), pp. 249–260.
DLTDLT-1997-Julia
A characteristic language for rational ω-power (SJ), pp. 299–308.
DLTDLT-1997-Kuich97a
Formal Power Series over Trees (WK), pp. 61–101.
ICALPICALP-1997-Bournez #bound #constant #power of
Some Bounds on the Computational Power of Piecewise Constant Derivative Systems (Extended Abstract) (OB), pp. 143–153.
ICALPICALP-1997-BuccafurriGS #power of #semantics
The Expressive Power of Unique Total Stable Model Semantics (FB, SG, DS), pp. 849–859.
ICALPICALP-1997-DrosteG #on the
On Recognizable and Rational Formal Power Series in Partially Commuting Variables (MD, PG), pp. 682–692.
HCIHCI-SEC-1997-ChangKC #development #maintenance
Development Strategies on an Intelligent Software System for Total Operation and Maintenance of Nuclear Power Plants (SHC, HGK, SSC), pp. 229–232.
HCIHCI-SEC-1997-NakagawaKNT #evaluation #interface
Simulation-Based Interface Evaluation Method of Equipment in Power Plants (TN, MK, YN, NT), pp. 233–236.
POPLPOPL-1997-Palamidessi #power of #π-calculus
Comparing the Expressive Power of the Synchronous and the Asynchronous π-calculus (CP), pp. 256–265.
CADECADE-1997-FuchsF #named #problem #proving
CODE: A Powerful Prover for Problems of Condensed Detachment (DF, MF), pp. 260–263.
CSLCSL-1997-Schwentick #higher-order #logic #power of
Padding and the Expressive Power of Existential Second-Order Logics (TS), pp. 461–477.
LICSLICS-1997-ZhangR #complexity #reasoning
Complexity of Power Default Reasoning (GQZ, WCR), pp. 328–339.
DACDAC-1996-BoglioloBR #estimation
Power Estimation of Cell-Based CMOS Circuits (AB, LB, BR), pp. 433–438.
DACDAC-1996-ChengCWM #estimation #hybrid
A New Hybrid Methodology for Power Estimation (DIC, KTC, DCW, MMS), pp. 439–444.
DACDAC-1996-ChenPL #reduction
Desensitization for Power Reduction in Sequential Circuits (XC, PP, CLL), pp. 795–800.
DACDAC-1996-ChenS #algorithm #power management
An Exact Algorithm for Low Power Library-Specific Gate Re-Sizing (DSC, MS), pp. 783–788.
DACDAC-1996-HuangCCL #generative #simulation
Compact Vector Generation for Accurate Power Simulation (SYH, KCC, KTC, TCL), pp. 161–164.
DACDAC-1996-ImanP #named #optimisation #synthesis
POSE: Power Optimization and Synthesis Environment (SI, MP), pp. 21–26.
DACDAC-1996-LidskyR #web
Early Power Exploration — A World Wide Web Application (DL, JMR), pp. 27–32.
DACDAC-1996-MonacoHR #functional #verification
Functional Verification Methodology for the PowerPC 604 Microprocessor (JM, DH, RR), pp. 319–324.
DACDAC-1996-MonteiroDAM #power management #scheduling
Scheduling Techniques to Enable Power Management (JM, SD, PA, AM), pp. 349–352.
DACDAC-1996-PandeyRBB #array #evaluation #using #verification
Formal Verification of PowerPC Arrays Using Symbolic Trajectory Evaluation (MP, RR, DLB, REB), pp. 649–654.
DACDAC-1996-PapachristouSN #design #effectiveness #multi #power management
An Effective Power Management Scheme for RTL Design Based on Multiple Clocks (CAP, MS, MN), pp. 337–342.
DACDAC-1996-RohfleischKW
Reducing Power Dissipation after Technology Mapping by Structural Transformations (BR, AK, BW), pp. 789–794.
DACDAC-1996-SrivastavaP #approach #implementation #linear #optimisation #programmable
Power Optimization in Programmable Processors and ASIC Implementations of Linear Systems: Transformation-based Approach (MBS, MP), pp. 343–348.
DACDAC-1996-TsuiMMP #performance
Improving the Efficiency of Power Simulators by Input Vector Compaction (CYT, RM, DM, MP), pp. 165–168.
DACDAC-1996-Wolfe #power management
Opportunities and Obstacles in Low-Power System-Level CAD (AW), pp. 15–20.
DACDAC-1996-XiD #design #power management
Useful-Skew Clock Routing With Gate Sizing for Low Power Design (JGX, WWMD), pp. 383–388.
ASEKBSE-1996-GomesSW #synthesis
Synthesis of Schedulers for Planned Shutdowns of Power Plants (CPG, DRS, SJW), p. 6.
PODSPODS-1996-BenediktDLW #constraints #power of #query #relational
Relational Expressive Power of Constraint Query Languages (MB, GD, LL, LW), pp. 5–16.
ICALPICALP-1996-AblayevK #branch #on the #power of #random #source code
On the Power of Randomized Branching Programs (FMA, MK), pp. 348–356.
ICALPICALP-1996-Pin #automaton #calculus #first-order #power of
The Expressive Power of Existential First Order Sentences of Büchi’s Sequential Calculus (JÉP), pp. 300–311.
FMFME-1996-PuglieseT #automation #verification
Automatic Verification of a Hydroelectric Power Plant (RP, ET), pp. 425–444.
KRKR-1996-Gottlob #complexity #power of
Complexity and Expressive Power of KR Formalisms (Invited Talk Abstract) (GG), pp. 647–649.
SACSAC-1996-HafnerBH #fuzzy #logic
Fuzzy logic applied to compensation equipment in power electronics (JH, HHB, KH), pp. 619–623.
CAVCAV-1996-BensalemLS #automation #generative #invariant
Powerful Techniques for the Automatic Generation of Invariants (SB, YL, HS), pp. 323–335.
LICSLICS-1996-HillebrandK #on the #power of #λ-calculus
On the Expressive Power of Simply Typed and Let-Polymorphic λ Calculi (GGH, PCK), pp. 253–263.
LICSLICS-1996-KolaitisV #logic #on the #power of
On the Expressive Power of Variable-Confined Logics (PGK, MYV), pp. 348–359.
RTARTA-1996-Cosmo #diagrams #on the #power of
On the Power of Simple Diagrams (RDC), pp. 200–214.
DACDAC-1995-AharonGLLMMMS #functional #generative #verification
Test Program Generation for Functional Verification of PowerPC Processors in IBM (AA, DG, ML, YL, YM, CM, MM, GS), pp. 279–285.
DACDAC-1995-ChangP #power management
Register Allocation and Binding for Low Power (JMC, MP), pp. 29–35.
DACDAC-1995-DevadasM #bibliography #optimisation #power management
A Survey of Optimization Techniques Targeting Low Power VLSI Circuits (SD, SM), pp. 242–247.
DACDAC-1995-ImanP #logic #power management
Logic Extraction and Factorization for Low Power (SI, MP), pp. 248–253.
DACDAC-1995-LavagnoMSS #design #power management #synthesis
Timed Shannon Circuits: A Power-Efficient Design Style and Synthesis Tool (LL, PCM, AS, ALSV), pp. 254–260.
DACDAC-1995-MalleyD #logic #verification
Logic Verification Methodology for PowerPC Microprocessors (CHM, MD), pp. 234–240.
DACDAC-1995-MannePBHSMP
Computing the Maximum Power Cycles of a Sequential Circuit (SM, AP, RIB, GDH, FS, EM, MP), pp. 23–28.
DACDAC-1995-MarculescuMP #correlation #estimation #performance
Efficient Power Estimation for Highly Correlated Input Streams (RM, DM, MP), pp. 628–634.
DACDAC-1995-MartinK #behaviour #named #optimisation #power management
Power-Profiler: Optimizing ASICs Power Consumption at the Behavioral Level (RSM, JPK), pp. 42–47.
DACDAC-1995-Najm #correlation #estimation #feedback
Feedback, Correlation, and Delay Concerns in the Power Estimation of VLSI Circuits (FNN), pp. 612–617.
DACDAC-1995-NajmGH #estimation
Power Estimation in Sequential Circuits (FNN, SG, INH), pp. 635–640.
DACDAC-1995-VittalM #design
Power Optimal Buffered Clock Tree Design (AV, MMS), pp. 497–502.
DACDAC-1995-VittalM95a #design
Power Distribution Topology Design (AV, MMS), pp. 503–507.
DACDAC-1995-XiD #power management #process
Buffer Insertion and Sizing Under Process Variations for Low Power Clock Distribution (JGX, WWMD), pp. 491–496.
ICDARICDAR-v2-1995-KanungoHB #distance #documentation #validation
Power functions and their use in selecting distance functions for document degradation model validation (TK, RMH, HSB), pp. 734–739.
PLDIPLDI-1995-KnoopRS #power of
The Power of Assignment Motion (JK, OR, BS), pp. 233–245.
STOCSTOC-1995-CanettiI #bound #power of #random #scheduling
Bounding the power of preemption in randomized scheduling (RC, SI), pp. 606–615.
ICALPICALP-1995-HenzingerKW #power of
The Expressive Power of Clocks (TAH, PWK, HWT), pp. 417–428.
SIGIRSIGIR-1995-Fuhr #datalog #logic #probability #retrieval
Probabilistic Datalog — A Logic For Powerful Retrieval Methods (NF), pp. 282–290.
SACSAC-1995-TarauDB #empirical #power of #prolog
The power of partial tanslation: an experiment with the C-ification of binary Prolog (PT, BD, KDB), pp. 152–156.
DACDAC-1994-SchobingerN #design #power management
Low Power CMOS Design Strategies (MS, TGN), pp. 594–595.
DATEEDAC-1994-AbderrahmanKS #estimation
Estimation of Simultaneous Switching Power and Ground Noise of Static CMOS Combinational Circuits (AA, BK, YS), p. 658.
DATEEDAC-1994-AkitaA #logic #power management #probability
A Method for Reducing Power Consumption of CMOS Logic Based on Signal Transition Probability (JA, KA), pp. 420–424.
DATEEDAC-1994-KarkkainenTW #bound #monitoring #power management #testing
Boundary Scan Testing Combined with Power Supply Current Monitoring (MK, KT, MW), pp. 232–235.
PODSPODS-1994-KivinenM #information management #power of
The Power of Sampling in Knowledge Discovery (JK, HM), pp. 77–85.
VLDBVLDB-1994-PirotteZMY #abstraction #named #ubiquitous
Materialization: A Powerful and Ubiquitous Abstraction Pattern (AP, EZ, DM, TY), pp. 630–641.
STOCSTOC-1994-CondonHPW #automaton #finite #nondeterminism #on the #power of #probability
On the power of finite automata with both nondeterministic and probabilistic states (preliminary version) (AC, LH, SP, AW), pp. 676–685.
STOCSTOC-1994-KrauseP #on the #power of
On the computational power of depth 2 circuits with threshold and modulo gates (MK, PP), pp. 48–57.
STOCSTOC-1994-Wigderson #independence #power of
The amazing power of pairwise independence (abstract) (AW), pp. 645–647.
ICALPICALP-1994-KanellakisHM #analysis #re-engineering
An Analysis of the Core-ML Language: Expressive Power and Type Reconstruction (PCK, GGH, HGM), pp. 83–105.
ICALPICALP-1994-Siegelmann #network #on the #power of #probability
On The Computational Power of Probabilistic and Faulty Neural Networks (HTS), pp. 23–34.
CHICHI-1994-Nielsen94b #heuristic #power of #usability
Enhancing the explanatory power of usability heuristics (JN), pp. 152–158.
AdaTRI-Ada-1994-KermarrecP #ada #distributed #named #paradigm #programming
Ada-Linda: A Powerful Paradigm for Programming Distributed Ada Applications (YK, LP), pp. 438–445.
ICMLICML-1994-Valdes-PerezP #behaviour #heuristic
A Powerful Heuristic for the Discovery of Complex Patterned Behaviour (REVP, AP), pp. 326–334.
KRKR-1994-LakemeyerM #decidability #first-order #power of
Enhancing the Power of a Decidable First-Order Reasoner (GL, SM), pp. 403–414.
ICLPILPS-1994-Gottlob #complexity #logic programming #power of
Complexity and Expressive Power of Disjunctive Logic Programming (GG), pp. 23–42.
LICSLICS-1994-AbiteboulPV #power of #relational
The Power of Reflective Relational Machines (SA, CHP, VV), pp. 230–240.
LICSLICS-1994-DawarH #power of #quantifier
The Expressive Power of Finitely Many Generalized Quantifiers (AD, LH), pp. 20–29.
DACDAC-1993-TiwariAM
Technology Mapping for Lower Power (VT, PA, SM), pp. 74–79.
DACDAC-1993-TsuiPD #composition #power management
Technology Decomposition and Mapping Targeting Low Power Dissipation (CYT, MP, AMD), pp. 68–73.
ICDARICDAR-1993-ShimadaTST #automation #database #recognition
Paralleled automatic recognition of maps and drawings for constructing electric power distribution databases (SS, YT, HS, KT), pp. 688–691.
SIGMODSIGMOD-1993-BeeriM #algebra #on the #power of #recursion
On the Power of Algebras with Recursion (CB, TM), pp. 377–386.
STOCSTOC-1993-Maass #bound #complexity #learning
Bounds for the computational power and learning complexity of analog neural nets (WM), pp. 335–344.
DLTDLT-1993-CulikK #generative #image #on the #power of
On the Power of L-Systems in Image Generation (KCI, JK), pp. 225–236.
DLTDLT-1993-Kuich
Lindenmayer Systems Generalized to Formal Power Series and Their Growth Functions (WK), pp. 171–178.
DLTDLT-1993-Pardubska #communication #generative #on the #power of
On the Power of Communication Structure for Distributive Generation of Languages (DP), pp. 419–429.
ICALPICALP-1993-Lepisto #morphism #on the #power of
On the Power of Periodic Iteration of Morphisms (AL), pp. 496–506.
ICALPICALP-1993-MignosiS
If a D0L Language is k-Power Free then it is Circular (FM, PS), pp. 507–518.
ICALPICALP-1993-Orponen #on the #power of
On the Computational Power of Discrete Hopfield Nets (PO), pp. 215–226.
HCIHCI-ACS-1993-HollywellH #set #validation #verification
A Tool Set for the Verification and Early Validation of a Control Room Computer-Based Display System for Sizewell “B” Nuclear Power Station (PDH, EMH), pp. 133–138.
TOOLSTOOLS-PACIFIC-1993-KhoslaD #object-oriented
Application of Object-Oriented Technology in a Terminal Power Station (RK, TSD), pp. 21–35.
LOPSTRLOPSTR-1993-Waal #partial evaluation #power of
The Power of Partial Evaluation (DAdW), pp. 113–123.
DACDAC-1992-MitsuhashiK #network #optimisation
Power and Ground Network Topology Optimization for Cell Based VLSIs (TM, ESK), pp. 524–529.
STOCSTOC-1992-FeigeL92a #problem #proving
Two-Prover One-Round Proof Systems: Their Power and Their Problems (Extended Abstract) (UF, LL), pp. 733–744.
ICALPICALP-1992-Straubing #complexity #first-order #power of
Circuit Complexity and the Expressive Power of Generalized First-Order Formulas (HS), pp. 16–27.
LISPLFP-1992-NeubergerM #analysis #deduction #power of #precise #strict
A Precise Relationship Between the Deductive Power of Forward and Backward Strictness Analysis (MN, PM), pp. 127–138.
ICTSSIWPTS-1992-Phalippou #power of #testing
The Limited Power of Testing (MP), pp. 43–54.
PODSPODS-1991-BusscheP #power of
The Expressive Power of Structured Values in Pure OODB’s (JVdB, JP), pp. 291–299.
PODSPODS-1991-Vadaparty #on the #power of #rule-based #set
On the Power of Rule-Based Languages with Sets (KVV), pp. 26–36.
VLDBVLDB-1991-DenninghoffV #parallel #power of #semantics
The Power of Methods With Parallel Semantics (KD, VV), pp. 221–232.
ESOPESOP-J-1990-Felleisen91 #on the #power of #programming language
On the Expressive Power of Programming Languages (MF), pp. 35–75.
ESOPESOP-J-1990-Heckmann91
Power Domain Constructions (RH), pp. 77–117.
STOCSTOC-1991-AspnesBFR #power of
The Expressive Power of Voting Polynomials (JA, RB, MLF, SR), pp. 402–409.
ICALPICALP-1991-DurisG #multi #on the #power of
On the Power of Multiple Reads in a Chip (PD, ZG), pp. 697–706.
ICALPICALP-1991-Larsen #power of #specification
The Expressive Power of Implicit Specifications (KGL), pp. 204–216.
POPLPOPL-1991-SekarMR #abstract interpretation #analysis #on the #strict
On the Power and Limitation of Strictness Analysis Based on Abstract Interpretation (RCS, PM, IVR), pp. 37–48.
CSLCSL-1991-BarguryM #automaton #multi #power of #transitive
The Expressive Power of Transitive Closue and 2-way Multihead Automata (YB, JAM), pp. 1–14.
PODSPODS-1990-KolaitisV #case study #datalog #on the #power of #tool support
On the Expressive Power of Datalog: Tools and a Case Study (PGK, MYV), pp. 61–71.
PODSPODS-1990-Schlipf #logic programming #semantics
The Expressive Powers of the Logic Programming Semantics (JSS), pp. 196–204.
SIGMODSIGMOD-1990-Sheng #database #deduction #named #power of
IDLOG: Extending the Expressive Power of Deductive Database Languages (YHS), pp. 54–63.
ESOPESOP-1990-Felleisen #on the #power of #programming language
On the Expressive Power of Programming Languages (MF), pp. 134–151.
STOCSTOC-1990-Ben-DavidBKTW #algorithm #on the #online #power of
On the Power of Randomization in Online Algorithms (Extended Abstract) (SBD, AB, RMK, GT, AW), pp. 379–386.
ICGTGG-1990-EngelfrietH #generative #graph grammar #power of
The Term Generating Power of Context-Free Hypergraph Grammars (JE, LH), pp. 328–243.
LICSLICS-1990-HarelRV #bound #concurrent #on the #power of #reasoning #source code
On the Power of Bounded Concurrency~III: Reasoning About Programs (Preliminary Report) (DH, RR, MYV), pp. 478–488.
DACDAC-1989-Chowdhury #design #graph #network #reliability
Optimum Design of Reliable IC Power Networks Having General Graph Topologies (SC), pp. 787–790.
DACDAC-1989-DuttaM #automation #network
Automatic Sizing of Power/Ground (P/G) Networks in VLSI (RD, MMS), pp. 783–786.
PODSPODS-1989-LakshmananM #datalog #game studies #induction #power of
Inductive Pebble Games and the Expressive Power of Datalog (VSL, AOM), pp. 301–310.
PODSPODS-1989-X #on the #power of
On the Power of Alexander Templates (HS), pp. 150–159.
SIGMODSIGMOD-1989-HullS #complexity #database #object-oriented #on the #strict
On Accessing Object-Oriented Databases: Expressive Power, Complexity, and Restrictions (Extended Abstract) (RH, JS), pp. 147–158.
VLDBVLDB-1989-KuntzM #query #visual notation
Pasta-3’s Graphical Query Language: Direct Manipulation, Cooperative Queries, Full Expressive Power (MK, RM), pp. 97–105.
OOPSLAOOPSLA-1989-MadsenM #object-oriented #programming
Virtual Classes: A Powerful Mechanism in Object-Oriented Programming (OLM, BMP), pp. 397–406.
LICSLICS-1989-Pitts #polymorphism #type system
Non-trivial Power Types Can’t Be Subtypes of Polymorphic Types (AMP), pp. 6–13.
ICLPNACLP-1989-KiferS #logic programming #on the #power of #source code
On the Expressive Power of Annotated Logic Programs (MK, VSS), pp. 1069–1089.
ICLPNACLP-1989-MaherS #constraints #logic programming #programming language #query
Expanding Query Power in Constraint Logic Programming Languages (MJM, PJS), pp. 20–36.
RTARTA-1989-GarlandG #bibliography
An Overview of LP, The Larch Power (SJG, JVG), pp. 137–151.
DACDAC-1988-Cai #multi
Multi-Pads, Single Layer Power Net Routing in VLSI Circuits (HC), pp. 183–188.
DACDAC-1988-StarkH #network #power management #using
Analyzing CMOS Power Supply Networks Using Ariel (DS, MH), pp. 460–464.
PODSPODS-1988-HullS #database #on the #power of #query
On the Expressive Power of Database Queries with Intermediate Types (RH, JS), pp. 39–51.
PODSPODS-1988-Kuper #logic programming #on the #power of #programming language #set
On the Expressive Power of Logic Programming Languages with Sets (GMK), pp. 10–14.
STOCSTOC-1988-KalyanasundaramS #on the #power of
On the Power of White Pebbles (Extended Abstract) (BK, GS), pp. 258–266.
ICALPICALP-1988-PanangadenS #nondeterminism #power of
Computations, Residuals, and the Power of Indeterminacy (PP, EWS), pp. 439–454.
POPLPOPL-1988-Cardelli #type system
Structural Subtyping and the Notion of Power Type (LC), pp. 70–79.
ICLPJICSCP-1988-BoscoCM88 #functional #logic #power of #programming
Exploiting the Full Power of Logic Plus Functional Programming (PGB, CC, CM), pp. 3–17.
LICSLICS-1988-KfouryTU #on the #polymorphism #power of #recursion
On the Computational Power of Universally Polymorphic Recursion (AJK, JT, PU), pp. 72–81.
DACDAC-1987-Chowdhury #automation #design
An Automated Design of Minimum-Area IC Power/Ground Nets (SC), pp. 223–229.
PODSPODS-1987-BeeriR #on the #power of
On the Power of Magic (CB, RR), pp. 269–284.
PODSPODS-1987-Gucht #algebra #on the #power of #relational
On the Expressive Power of the Extended Relational Algebra for the Unnormalized Relational Model (DVG), pp. 302–312.
VLDBVLDB-1987-BancilhonBKV #database
FAD, a Powerful and Simple Database Language (FB, TB, SK, PV), pp. 97–105.
STOCSTOC-1987-Furer #communication #complexity #power of
The Power of Randomness for Communication Complexity (Preliminary Version) (MF), pp. 178–181.
ICALPICALP-1987-IbarraJ #array #on the #power of
On the Computing Power of One-Way Cellular Arrays (OHI, TJ), pp. 550–562.
HCIHCI-CE-1987-YoshikawaMNUW #flexibility #interface #simulation
Realization of Flexible User-Interface System for Module-Based Simulation System of Nuclear Power Plant (HY, NM, NN, NU, JW), pp. 249–256.
OOPSLAOOPSLA-1987-UngarS #named #power of
Self: The Power of Simplicity (DU, RBS), pp. 227–242.
LICSLICS-1987-Abadi #power of #proving
The Power of Temporal Proofs (MA), pp. 123–130.
DACDAC-1986-DeJesusCW #layout #named #power management
PEARL: an expert system for power supply layout (EJD, JPC, CRW), pp. 615–621.
STOCSTOC-1986-Beame #parallel #power of
Limits on the Power of Concurrent-Write Parallel Machines (PB), pp. 169–176.
DACDAC-1985-ChowdhuryB
The construction of minimal area power and ground nets for VLSI circuits (SUC, MAB), pp. 794–797.
SIGMODSIGMOD-1985-KuperV #logic #on the #power of
On the Expressive Power of the Logical Data Model (Preliminary Report) (GMK, MYV), pp. 180–187.
DACDAC-1984-GlasserH #optimisation
Delay and power optimization in VLSI circuits (LAG, LH), pp. 529–535.
DACDAC-1984-Trimberger #assembly #named #visual notation
VTIcompose — a powerful graphical chip assembly tool (ST), pp. 697–698.
STOCSTOC-1984-HochbaumS #approximate #graph #problem
Powers of Graphs: A Powerful Approximation Technique for Bottleneck Problems (DSH, DBS), pp. 324–333.
LISPLFP-1984-Pettorossi #performance #source code
A Powerful Strategy for Deriving Efficient Programs by Transformation (AP), pp. 273–281.
ICSEICSE-1984-EvansP #effectiveness #evaluation #named
POWER: A Tool for Quantitative Evaluation of Software Project Effectiveness (MWE, LMP), pp. 138–142.
DACDAC-1983-Moulton
Laying the power and ground wires on a VLSI chip (ASM), pp. 754–755.
STOCSTOC-1983-Kannan83a #nondeterminism #power of
Alternation and the Power of Nondeterminism (RK), pp. 344–346.
ICALPICALP-1983-MycroftN #abstract interpretation #using
Strong Abstract Interpretation Using Power Domains (Extended Abstract) (AM, FN), pp. 536–547.
ICALPICALP-1983-Smyth #perspective
Power Domains and Predicate Transformers: A Topological View (MBS), pp. 662–675.
ICALPICALP-1982-BermanHT #logic #nondeterminism #on the #power of
On the Power of Nondeterminism in Dynamic Logic (PB, JYH, JT), pp. 48–60.
ICALPICALP-1982-Blum #context-free grammar #on the #power of
On the Power of Chain Rules in Context Free Grammars (NB), pp. 72–82.
ICALPICALP-1982-Lingas #power of
The Power of Non-Rectilinear Holes (AL), pp. 369–383.
ICALPICALP-1982-Reif #on the #parallel #power of #probability
On the Power of Probabilistic Choice in Synchronous Parallel Computations (JHR), pp. 442–450.
POPLPOPL-1982-Cooper #database #on the #power of #query #relational
On the Expressive Power of Query Languages for Relational Databases (ECC), pp. 361–365.
CADECADE-1982-Book #power of #string #term rewriting
The Power of the Church-Rosser Property for String Rewriting Systems (RVB), pp. 360–368.
DACDAC-1981-RothermelM #layout #power management
Computation of power supply nets in VLSI layout (HJR, DAM), pp. 37–42.
SIGMODSIGMOD-1981-Papadimitriou #on the #power of
On the Power of Locking (CHP), pp. 148–154.
VLDBVLDB-1981-Soderlund #assessment #concurrent #database #modelling
Concurrent Data Base Reorganization — Assessment of a Powerful Technique through Modeling (LS), pp. 499–509.
ICALPICALP-1981-Makowsky81a #logic #named #power of
Errata: Measuring the Expressive Power of Dynamic Logics: An Application of Abstract Model Theory (JAM), p. 551.
ICALPICALP-1980-LangmaackO #hoare #programming language
Present-Day Hoare-Like Systems for Programming Languages with Procedures: Power, Limits and most Likely Expressions (HL, ERO), pp. 363–373.
ICALPICALP-1980-Makowsky #logic #power of
Measuring the Expressive Power of Dynamic Logics: An Application of Abstract Model Theory (JAM), pp. 409–421.
ICALPICALP-1980-Shamir #commutative #encryption #on the #power of
On the Power of Commutativity in Cryptography (AS), pp. 582–595.
ICALPICALP-1980-Vitanyi #on the #power of #realtime #specification
On the Power of Real-Time Machines Under Varying Specifications (Extended Abstract) (PMBV), pp. 658–671.
STOCSTOC-1979-MeyerW #logic #on the #power of
On the Expressive Power of Dynamic Logic (Preliminary Report) (ARM, KW), pp. 167–175.
STOCSTOC-1979-Valiant
Negation Can Be Exponentially Powerful (LGV), pp. 189–196.
ICALPICALP-1979-Schonhage #on the #power of #random
On the Power of Random Access Machines (AS), pp. 520–529.
POPLPOPL-1979-Critcher #functional #parametricity #power of
The Functional Power of Parameter Passage Mechanisms (AC), pp. 158–168.
ICSEICSE-1979-RamamoorthyBFMNS #approach #development #on the #validation
On A Systematic Approach to the Development and Validation of Critical Software for Nuclear Power Plants (CVR, FBB, JMF, YKRM, CWN, KS), pp. 231–240.
STOCSTOC-1978-ThatcherWW #data type #power of #specification
Data Type Specification: Parameterization and the Power of Specification Techniques (JWT, EGW, JBW), pp. 119–132.
ICALPICALP-1978-DammF #on the #power of #recursion #self
On the Power of Self-Application and Higher Type-Recursion (WD, EF), pp. 177–191.
DACDAC-1977-AguleLRS #optimisation
An experimental system for power/timing optimization of LSI chips (BJA, JDL, AER, PKWS), pp. 147–152.
DACDAC-1977-RuehliSG #optimisation
Analytical power/timing optimization technique for digital system (AER, PKWS, GG), pp. 142–146.
ICALPICALP-1977-BookY #bound #on the #power of
On the Computational Power of Reversal-Bounded Machines (RVB, CKY), pp. 111–119.
STOCSTOC-1976-Sudborough #automaton #context-free grammar #multi #on the #power of
On Deterministic Context-Free Languages, Multihead Automata, and the Power of an Auxiliary Pushdown Store (IHS), pp. 141–148.
STOCSTOC-1974-Pratt #matrix #multi #power of
The Power of Negative Thinking in Multiplying Boolean Matrices (VRP), pp. 80–83.
STOCSTOC-1974-PrattRS #power of
A Characterization of the Power of Vector Machines (VRP, MOR, LJS), pp. 122–134.
ICALPICALP-1974-Wijngaarden #generative #power of #two-level grammar
The Generative Power of Two-Level Grammars (AvW), pp. 9–16.
STOCSTOC-1973-Teitelbaum #algebra #analysis #evaluation #fault
Context-Free Error Analysis by Evaluation of Algebraic Power Series (RT), pp. 196–199.
ICALPICALP-1972-Linna #finite #regular expression
Finite Power Property of Regular Languages (ML), pp. 87–98.
STOCSTOC-1971-Stanat #formal method
Formal Languages and Power Series (DFS), pp. 1–11.

Bibliography of Software Language Engineering in Generated Hypertext (BibSLEIGH) is created and maintained by Dr. Vadim Zaytsev.
Hosted as a part of SLEBOK on GitHub.