BibSLEIGH
BibSLEIGH corpus
BibSLEIGH tags
BibSLEIGH bundles
BibSLEIGH people
CC-BY
Open Knowledge
XHTML 1.0 W3C Rec
CSS 2.1 W3C CanRec
email twitter
Used together with:
manag (37)
system (30)
awar (25)
model (23)
chip (22)

Stem thermal$ (all stems)

178 papers:

CASECASE-2015-FarhanPWL #algorithm #machine learning #predict #using
Predicting individual thermal comfort using machine learning algorithms (AAF, KRP, BW, PBL), pp. 708–713.
CASECASE-2015-ZhaoCWCJZ #assessment
Experimental assessment of a satisfaction based thermal comfort control for a group of occupants (QZ, ZC, FW, ZC, YJ, ZZ), pp. 15–20.
DACDAC-2015-KhdrPSH #resource management
Thermal constrained resource management for mixed ILP-TLP workloads in dark silicon chips (HK, SP, MS, JH), p. 6.
DATEDATE-2015-IqtedarHSH #analysis #distributed #probability
Formal probabilistic analysis of distributed dynamic thermal management (SI, OH, MS, JH), pp. 1221–1224.
DATEDATE-2015-KamalIAP #algorithm
A thermal stress-aware algorithm for power and temperature management of MPSoCs (MK, AI, AAK, MP), pp. 954–959.
DATEDATE-2015-KimKKC #manycore #mobile #named
M-DTM: migration-based dynamic thermal management for heterogeneous mobile multi-core processors (YGK, MK, JMK, SWC), pp. 1533–1538.
DATEDATE-2015-LiaoWC #3d #manycore #online
An online thermal-constrained task scheduler for 3D multi-core processors (CHL, CHPW, KC), pp. 351–356.
DATEDATE-2015-LiFBLON #design
Thermal aware design method for VCSEL-based on-chip optical interconnect (HL, AF, SLB, XL, IO, GN), pp. 1120–1125.
DATEDATE-2015-PaganiCSH #modelling #named #performance
MatEx: efficient transient and peak temperature computation for compact thermal models (SP, JJC, MS, JH), pp. 1515–1520.
DATEDATE-2015-PaganoVRCSS #configuration management
Thermal-aware floorplanning for partially-reconfigurable FPGA-based systems (DP, MV, MR, RC, DS, MDS), pp. 920–923.
DATEDATE-2015-RaiT #manycore #modelling
A calibration based thermal modeling technique for complex multicore systems (DR, LT), pp. 1138–1143.
DATEDATE-2015-SinglaKUO #mobile #power management #predict
Predictive dynamic thermal and power management for heterogeneous mobile platforms (GS, GK, AKU, ÜYO), pp. 960–965.
SIGMODSIGMOD-2015-TauheedHA #named #scalability
THERMAL-JOIN: A Scalable Spatial Join for Dynamic Workloads (FT, TH, AA), pp. 939–950.
CHICHI-2015-AbdelrahmanSH0 #interactive
Investigation of Material Properties for Thermal Imaging-Based Interaction (YA, ASS, NH, AS), pp. 15–18.
CHICHI-2015-WilsonDB #feedback #interactive
In the Heat of the Moment: Subjective Interpretations of Thermal Feedback During Interaction (GW, GD, SAB), pp. 2063–2072.
HCIHIMI-IKD-2015-KotaniIAS #interface #using #variability
Changes in Heart Rate Variability by Using Tactile Thermal Interface Device (KK, SI, TA, SS), pp. 405–411.
SACSAC-2015-HamataniUH #smarttech #using
Estimating core body temperature based on human thermal model using wearable sensors (TH, AU, TH), pp. 521–526.
CASECASE-2014-HajovskyPOH #energy #mining
Heat energy collection from thermally active mining dump Hedvika (RH, MP, SO, JH), pp. 44–49.
CASECASE-2014-NodaMNKOI #behaviour #maintenance #online #predict
Online maintaining behavior of high-load and unstable postures based on whole-body load balancing strategy with thermal prediction (SN, MM, SN, YK, KO, MI), pp. 1166–1171.
CASECASE-2014-WangC #coordination
Coordination mechanisms for planning of hydro-thermal subsystem (JW, XC), pp. 345–350.
CASECASE-2014-ZhaoCWJD #case study
Experimental study of group thermal comfort model (QZ, ZC, FW, YJ, JD), pp. 1075–1078.
DACDAC-2014-0001SMAKV #manycore #optimisation
Reinforcement Learning-Based Inter- and Intra-Application Thermal Optimization for Lifetime Improvement of Multicore Systems (AD, RAS, GVM, BMAH, AK, BV), p. 6.
DACDAC-2014-HuXMCHX #thread
Thermal-Sustainable Power Budgeting for Dynamic Threading (XH, YX, JM, GC, YH, YX), p. 6.
DACDAC-2014-Kose #challenge
Thermal Implications of On-Chip Voltage Regulation: Upcoming Challenges and Possible Solutions (SK), p. 6.
DACDAC-2014-SamalPSSDL #3d #modelling #optimisation #performance
Fast and Accurate Thermal Modeling and Optimization for Monolithic 3D ICs (SKS, SP, KS, MS, YD, SKL), p. 6.
DATEDATE-2014-BartoliniCCTB #energy
Unveiling Eurora — Thermal and power characterization of the most energy-efficient supercomputer in the world (AB, MC, CC, GT, LB), pp. 1–6.
DATEDATE-2014-BeneventiBVDB #analysis #identification #logic
Thermal analysis and model identification techniques for a logic + WIDEIO stacked DRAM test chip (FB, AB, PV, DD, LB), pp. 1–4.
DATEDATE-2014-ChienYHLC #analysis #geometry #image
Package geometric aware thermal analysis by infrared-radiation thermal images (JHC, HY, RSH, HJL, SCC), pp. 1–4.
DATEDATE-2014-FourmigueBN #3d #performance #simulation
Efficient transient thermal simulation of 3D ICs with liquid-cooling and through silicon vias (AF, GB, GN), pp. 1–6.
DATEDATE-2014-KhdrESAH #multi #named
mDTM: Multi-objective dynamic thermal management for on-chip systems (HK, TE, MS, HA, JH), pp. 1–6.
DATEDATE-2014-KumarYBT #distributed #effectiveness #named
COOLIP: Simple yet effective job allocation for distributed thermally-throttled processors (PK, HY, IB, LT), pp. 1–4.
DATEDATE-2014-PalominoSASH #named #performance #video
hevcDTM: Application-driven Dynamic Thermal Management for High Efficiency Video Coding (DP, MS, HA, AAS, JH), pp. 1–4.
DATEDATE-2014-PaternaZR #component #mobile
Ambient variation-tolerant and inter components aware thermal management for mobile system on chips (FP, JZ, TSR), pp. 1–6.
DATEDATE-2014-SarmaD #estimation #network #runtime
Minimal sparse observability of complex networks: Application to MPSoC sensor placement and run-time thermal estimation & tracking (SS, ND), pp. 1–6.
DATEDATE-2014-SassolasSGAVBFP #architecture #design #evaluation
Early design stage thermal evaluation and mitigation: The locomotiv architectural case (TS, CS, AG, AA, PV, HB, LF, NP), pp. 1–2.
DATEDATE-2014-ShinPM #architecture #hybrid #using
Thermal management of batteries using a hybrid supercapacitor architecture (DS, MP, EM), pp. 1–6.
DATEDATE-2014-WuWDHYY #in memory #integration #manycore #memory management
A thermal resilient integration of many-core microprocessors and main memory by 2.5D TSI I/Os (SSW, KW, SMPD, TYH, MY, HY), pp. 1–4.
DATEDATE-2014-YuSH #adaptation #scalability
Thermal-aware frequency scaling for adaptive workloads on heterogeneous MPSoCs (HY, RS, YH), pp. 1–6.
DATEDATE-2014-ZhangAJC #manycore #network
Thermal management of manycore systems with silicon-photonic networks (TZ, JLA, AJ, AKC), pp. 1–6.
CHICHI-2014-ShiraziAHSKS #interactive
Exploiting thermal reflection for interactive systems (ASS, YA, NH, SS, MK, AS), pp. 3483–3492.
HCIDHM-2014-LiZYD #simulation
Simulation on Thermal Control System of the Extravehicular Spacesuit (TL, JZ, XY, LD), pp. 112–123.
HCIHIMI-DE-2014-SakaguchiIH #development #performance #using
Development of High-Speed Thermal Display Using Water Flow (MS, KI, KH), pp. 233–240.
SACSAC-2014-TsaiC #3d #manycore
A thermal-throttling server in 3D multicore chips (THT, YSC), pp. 1425–1430.
SACSAC-2014-ZanattaBGL #component #framework #monitoring #off the shelf
Building a WSN infrastructure with COTS components for the thermal monitoring of datacenters (GZ, GDB, RG, JCBL), pp. 1443–1448.
HPCAHPCA-2014-ZhengMW #energy
Exploiting thermal energy storage to reduce data center capital and operating expenses (WZ, KM, XW), pp. 132–141.
CASECASE-2013-LiYY #energy #novel #performance
A novel parabolic trough solar lighting and thermal system for building energy efficiency (TL, FY, CY), pp. 657–662.
CASECASE-2013-RoyerBTT #assessment #behaviour #energy #modelling #multi #simulation #using
Modelling of a multi-zone building and assessment of its thermal behaviour using an energy simulation software (SR, MB, ST, TT), pp. 735–740.
CASECASE-2013-ZhaoZXCWS #framework
A unified control framework of HVAC system for thermal and acoustic comforts in office building (YZ, QZ, LX, ZC, FW, FS), pp. 416–421.
DACDAC-2013-DevWR #3d #integration #testing #using
High-throughput TSV testing and characterization for 3D integration using thermal mapping (KD, GW, SR), p. 6.
DATEDATE-2013-BouhadibaMM #energy #modelling #validation
System-level modeling of energy in TLM for early validation of power and thermal management (TB, MM, FM), pp. 1609–1614.
DATEDATE-2013-DaloukasMETS #approach #network #parallel #performance #power management
A parallel fast transform-based preconditioning approach for electrical-thermal co-simulation of power delivery networks (KD, AM, NEE, PT, GIS), pp. 1689–1694.
DATEDATE-2013-DiversiBTBB #identification
SCC thermal model identification via advanced bias-compensated least-squares (RD, AB, AT, FB, LB), pp. 230–235.
DATEDATE-2013-ElfadelMA #formal method #industrial #manycore
Closed-loop control for power and thermal management in multi-core processors: formal methods and industrial practice (IME, RM, DA), pp. 1879–1881.
DATEDATE-2013-FourmigueBN #3d #simulation
Explicit transient thermal simulation of liquid-cooled 3D ICs (AF, GB, GN), pp. 1385–1390.
DATEDATE-2013-LeeWHY #3d #hybrid #named
NUMANA: a hybrid numerical and analytical thermal simulator for 3-D ICs (YML, THW, PYH, CPY), pp. 1379–1384.
DATEDATE-2013-WangTSL #algorithm
A power-driven thermal sensor placement algorithm for dynamic thermal management (HW, SXDT, SS, XL), pp. 1215–1220.
DATEDATE-2013-XieYPSC #adaptation
Adaptive thermal management for portable system batteries by forced convection cooling (QX, SY, MP, DS, NC), pp. 1225–1228.
DATEDATE-2013-XydisPS #configuration management
Thermal-aware datapath merging for coarse-grained reconfigurable processors (SX, GP, CS), pp. 1649–1654.
DATEDATE-2013-ZhaoLBT #detection #manycore #probability #runtime
Run-time probabilistic detection of miscalibrated thermal sensors in many-core systems (JZ, S(L, WB, RT), pp. 1395–1398.
HCIDUXU-NTE-2013-Lam #interactive #using
Creating Instantly Disappearing Prints Using Thermochromic Paint and Thermal Printer in an Interactive Art Installation (MLL), pp. 290–295.
CASECASE-2012-Ralston #automation #using
Automated longwall shearer horizon control using thermal infrared-based seam tracking (JCR), pp. 20–25.
DACDAC-2012-AthikulwongsePL #3d
Exploiting die-to-die thermal coupling in 3D IC placement (KA, MP, SKL), pp. 741–746.
DACDAC-2012-MengKC #3d #constraints #energy #manycore #optimisation #performance
Optimizing energy efficiency of 3-D multicore systems with stacked DRAM under power and thermal constraints (JM, KK, AKC), pp. 648–655.
DACDAC-2012-RanieriVCAV #algorithm #manycore #named
EigenMaps: algorithms for optimal thermal maps extraction and sensor placement on multicore processors (JR, AV, AC, DA, MV), pp. 636–641.
DACDAC-2012-ZhouLCKQY #framework #monitoring
An information-theoretic framework for optimal temperature sensor allocation and full-chip thermal monitoring (HZ, XL, CYC, EK, HQ, SCY), pp. 642–647.
DATEDATE-2012-JuanCMC #modelling #optimisation #power management #statistics
Statistical thermal modeling and optimization considering leakage power variations (DCJ, YLC, DM, YWC), pp. 605–610.
DATEDATE-2012-LiuFQ #framework #manycore
Neighbor-aware dynamic thermal management for multi-core platform (GL, MF, GQ), pp. 187–192.
DATEDATE-2012-SabrySA #3d #using
Thermal balancing of liquid-cooled 3D-MPSoCs using channel modulation (MMS, AS, DA), pp. 599–604.
CHICHI-2012-HalveyWBH #feedback #quote
“Baby it’s cold outside”: the influence of ambient temperature and humidity on thermal feedback (MH, GW, SAB, SAH), pp. 715–724.
ICPRICPR-2012-LiuW #image
Posed and spontaneous expression distinguishment from infrared thermal images (ZL, SW), pp. 1108–1111.
ICPRICPR-2012-SunD #recognition #sketching
Sketch-based face alignment for thermal face recognition (LS, XXD), pp. 2347–2350.
SACSAC-2012-TsaiC #3d #manycore #realtime #scheduling
Thermal-aware real-time task scheduling for three-dimensional multicore chip (THT, YSC), pp. 1618–1624.
HPCAHPCA-2012-AyoubNR #cpu #energy #memory management #named
JETC: Joint energy thermal and cooling management for memory and CPU subsystems in servers (RZA, RN, TR), pp. 299–310.
DACDAC-2011-BailisRGBS #injection #named
Dimetrodon: processor-level preventive thermal management via idle cycle injection (PB, VJR, SG, DMB, MIS), pp. 89–94.
DACDAC-2011-CongLS #3d
Thermal-aware cell and through-silicon-via co-placement for 3D ICs (JC, GL, YS), pp. 670–675.
DACDAC-2011-GeQ #machine learning #multi #using
Dynamic thermal management for multimedia applications using machine learning (YG, QQ), pp. 95–100.
DACDAC-2011-HochmanBW #empirical #reduction
A stabilized discrete empirical interpolation method for model reduction of electrical, thermal, and microelectromechanical systems (AH, BNB, JKW), pp. 540–545.
DACDAC-2011-KumarT #realtime
Cool shapers: shaping real-time tasks for improved thermal guarantees (PK, LT), pp. 468–473.
DACDAC-2011-KungHSS #optimisation
Thermal signature: a simple yet accurate thermal index for floorplan optimization (JK, IH, SSS, YS), pp. 108–113.
DACDAC-2011-ThieleSYB #analysis #embedded #multi #synthesis
Thermal-aware system analysis and software synthesis for embedded multi-processors (LT, LS, HY, IB), pp. 268–273.
DATEDATE-2011-BartoliniCTB #distributed #energy #multi #predict #self
A distributed and self-calibrating model-predictive controller for energy and thermal management of high-performance multicores (AB, MC, AT, LB), pp. 830–835.
DATEDATE-2011-FourmigueBNAO #3d #architecture #evaluation #multi
Multi-granularity thermal evaluation of 3D MPSoC architectures (AF, GB, GN, EMA, IO), pp. 575–578.
DATEDATE-2011-HameedFH #3d #adaptation #architecture #manycore #runtime
Dynamic thermal management in 3D multi-core architecture through run-time adaptation (FH, MAAF, JH), pp. 299–304.
DATEDATE-2011-HanumaiahV #manycore #realtime
Reliability-aware thermal management for hard real-time applications on multi-core processors (VH, SBKV), pp. 137–142.
DATEDATE-2011-JuanGM #3d #evaluation #multi #process #statistics
Statistical thermal evaluation and mitigation techniques for 3D Chip-Multiprocessors in the presence of process variations (DCJ, SG, DM), pp. 383–388.
DATEDATE-2011-LungHKC #3d #manycore #online #optimisation #throughput
Thermal-aware on-line task allocation for 3D multi-core processor throughput optimization (CLL, YLH, DMK, SCC), pp. 8–13.
DATEDATE-2011-SabrySATLSBTBM #3d #design #towards
Towards thermally-aware design of 3D MPSoCs with inter-tier cooling (MMS, AS, DA, YT, YL, SS, NB, JRT, TB, BM), pp. 1466–1471.
DATEDATE-2011-XuPM
Analytical heat transfer model for thermal through-silicon vias (HX, VFP, GDM), pp. 395–400.
DATEDATE-2011-ZhangHYG #case study #interface #reliability
Case study: Alleviating hotspots and improving chip reliability via carbon nanotube thermal interface (WZ, JH, SY, PG), pp. 1071–1076.
CHICHI-2011-LarsonCGRHFP #interactive #named
HeatWave: thermal imaging for surface user interaction (ECL, GC, SG, XR, BLH, DF, SP), pp. 2565–2574.
CHICHI-2011-WilsonHBH #feedback #mobile
Some like it hot: thermal feedback for mobile devices (GW, MH, SAB, SAH), pp. 2555–2564.
HCIDHM-2011-ShenY #assessment
The Application of the Human Model in the Thermal Comfort Assessment of Fighter Plane’s Cockpit (HS, XY), pp. 357–366.
ICEISICEIS-v2-2011-GuLZBWC #assessment #enterprise #information management #safety
A Case-based Enterprise Information System for Thermal Power Plants’ Safety Assessment (DxG, CyL, CrZ, IB, JW, WeC), pp. 32–39.
HPCAHPCA-2011-LiuLNMMH #hardware
Hardware/software techniques for DRAM thermal management (SL, BL, AN, SOM, GM, NH), pp. 515–525.
CASECASE-2010-HewlettK #simulation
Dynamic simulation of ship-system thermal load management (PTH, TMK), pp. 734–741.
CASECASE-2010-HolsonbackK #aspect-oriented
Thermal aspects of a shipboard integrated electric power system (CRH, TMK), pp. 742–749.
CASECASE-2010-QiC #constraints #optimisation #probability
Stochastic optimization of hydro-thermal system with annual water consumption constraints (YQ, XC), pp. 1001–1006.
CASECASE-2010-TahersimaSRN #analysis
Thermal analysis of an HVAC system with TRV controlled hydronic radiator (FT, JS, HR, PGN), pp. 756–761.
CASECASE-2010-ZhangWPTCPJ #analysis
Micro-thermal-fluid transient analysis and active control for two-phase microelectronics cooling (TZ, JTW, YP, TT, JYC, RP, MKJ), pp. 750–755.
DACDAC-2010-CochranR #consistency #detection #predict #runtime
Consistent runtime thermal prediction and control through workload phase detection (RC, SR), pp. 62–67.
DACDAC-2010-GeMQ #distributed #manycore #migration
Distributed task migration for thermal management in many-core systems (YG, PM, QQ), pp. 579–584.
DACDAC-2010-NowrozCR #monitoring
Thermal monitoring of real processors: techniques for sensor allocation and full characterization (ANN, RC, SR), pp. 56–61.
DACDAC-2010-ZhangC #embedded
Thermal aware task sequencing on embedded processors (SZ, KSC), pp. 585–590.
DACDAC-2010-ZhangS #adaptation #performance
Adaptive and autonomous thermal tracking for high performance computing systems (YZ, AS), pp. 68–73.
DATEDATE-2010-ChenDS #algorithm #analysis
Properties of and improvements to time-domain dynamic thermal analysis algorithms (XC, RPD, LS), pp. 1165–1170.
DATEDATE-2010-EguiaTSPT #behaviour #design #manycore #modelling
General behavioral thermal modeling and characterization for multi-core microprocessor design (TJAE, SXDT, RS, EHP, MT), pp. 1136–1141.
DATEDATE-2010-LongM #bias #monitoring #network #optimisation
Optimization of the bias current network for accurate on-chip thermal monitoring (JL, SOM), pp. 1365–1368.
DATEDATE-2010-YuZQB #behaviour #design #power management
Behavioral level dual-vth design for reduced leakage power with thermal awareness (JY, QZ, GQ, JB), pp. 1261–1266.
ASPLOSASPLOS-2010-Mesa-MartinezAR #behaviour
Characterizing processor thermal behavior (FJMM, EKA, JR), pp. 193–204.
HPDCHPDC-2010-AbbasiVG #internet
Thermal aware server provisioning and workload distribution for internet data centers (ZA, GV, SKSG), pp. 130–141.
DACDAC-2009-AyalaAB #analysis #data flow
Thermal-aware data flow analysis (JLA, DA, PB), pp. 613–614.
DACDAC-2009-BaoAEP #dependence #energy #online #optimisation #scalability
On-line thermal aware dynamic voltage scaling for energy optimization with frequency/temperature dependency consideration (MB, AA, PE, ZP), pp. 490–495.
DACDAC-2009-CochranR
Spectral techniques for high-resolution thermal characterization with limited sensor data (RC, SR), pp. 478–483.
DACDAC-2009-HanumaiahRVC #constraints #manycore #throughput
Throughput optimal task allocation under thermal constraints for multi-core processors (VH, RR, SBKV, KSC), pp. 776–781.
DACDAC-2009-JayaseelanM #adaptation #architecture
Dynamic thermal management via architectural adaptation (RJ, TM), pp. 484–489.
DACDAC-2009-LeeK #manycore #optimisation #throughput #using
Optimizing throughput of power- and thermal-constrained multicore processors using DVFS and per-core power-gating (JL, NSK), pp. 47–50.
DACDAC-2009-LinZWC
Thermal-driven analog placement considering device matching (MPHL, HZ, MDFW, YWC), pp. 593–598.
DACDAC-2009-YeLCC #analysis #layout #process #variability
Variability analysis under layout pattern-dependent rapid-thermal annealing process (YY, FL, MC, YC), pp. 551–556.
DACDAC-2009-ZhangS #estimation #using
Accurate temperature estimation using noisy thermal sensors (YZ, AS), pp. 472–477.
DATEDATE-2009-CoskunAARL #3d #architecture #manycore
Dynamic thermal management in 3D multicore architectures (AKC, JLA, DA, TSR, YL), pp. 1410–1415.
DATEDATE-2009-HanumaiahVC #constraints #manycore #performance
Performance optimal speed control of multi-core processors under thermal constraints (VH, SBKV, KSC), pp. 1548–1551.
DATEDATE-2009-HsiehH #3d #design #memory management
Thermal-aware memory mapping in 3D designs (ACH, TH), pp. 1361–1366.
DATEDATE-2009-KhanK09a #architecture #co-evolution #design #hardware #multi
Hardware/software co-design architecture for thermal management of chip multiprocessors (OK, SK), pp. 952–957.
DATEDATE-2009-LeeKHBJFL #3d #co-evolution #design #network
Co-design of signal, power, and thermal distribution networks for 3D ICs (YJL, YJK, GH, MSB, YKJ, AGF, SKL), pp. 610–615.
DATEDATE-2009-WangHZC #design
Exploiting narrow-width values for thermal-aware register file designs (SW, JSH, SGZ, SWC), pp. 1422–1427.
DATEDATE-2009-WangM #using
An accurate interconnect thermal model using equivalent transmission line circuit (BW, PM), pp. 280–283.
DATEDATE-2009-YeoK #behaviour #manycore
Temperature-aware scheduler based on thermal behavior grouping in multicore systems (IY, EJK), pp. 946–951.
HCIHCI-NIMT-2009-IizukaY #case study
Experimental Study about Effect of Thermal Information Presentation to Mouse (SI, SY), pp. 444–450.
DACDAC-2008-HuangSSRS #design #manycore #perspective
Many-core design from a thermal perspective (WH, MRS, KS, RJR, KS), pp. 746–749.
DACDAC-2008-JungRP #manycore #modelling #probability
Stochastic modeling of a thermally-managed multi-core system (HJ, PR, MP), pp. 728–733.
DACDAC-2008-PuriVEWFYK #problem #question
Keeping hot chips cool: are IC thermal problems hot air? (RP, DV, DE, AJW, PDF, AY, SVK), pp. 634–635.
DACDAC-2008-YeoLK #manycore #predict
Predictive dynamic thermal management for multicore systems (IY, CCL, EJK), pp. 734–739.
DATEDATE-2008-MulasPBCABAM #architecture #multi #policy #streaming
Thermal Balancing Policy for Streaming Computing on Multiprocessor Architectures (FM, MP, MB, SC, AA, LB, DA, GDM), pp. 734–739.
HPCAHPCA-2008-RamosB #named #predict
C-Oracle: Predictive thermal management for data centers (LER, RB), pp. 111–122.
CASECASE-2007-ZhouGWJFLU #precise
Active Thermal Management for Precision Positioning (RZ, WG, JTW, MKJ, JF, GL, MU), pp. 45–50.
DACDAC-2007-GoplenS #3d
Placement of 3D ICs with Thermal and Interlayer Via Considerations (BG, SSS), pp. 626–631.
DACDAC-2007-SundaresanM #analysis #distributed
An Analysis of Timing Violations Due to Spatially Distributed Thermal Effects in Global Wires (KS, NRM), pp. 515–520.
DATEDATE-2007-MondalRKRLVM #3d #robust
Thermally robust clocking schemes for 3D integrated circuits (MM, AJR, SK, TR, GML, NV, YM), pp. 1206–1211.
HPCAHPCA-2007-ChoiKSSWL #modelling
Modeling and Managing Thermal Profiles of Rack-mounted Servers with ThermoStat (JC, YK, AS, JS, QW, JL), pp. 205–215.
HPCAHPCA-2007-PuttaswamyL #3d #architecture
Thermal Herding: Microarchitecture Techniques for Controlling Hotspots in High-Performance 3D-Integrated Processors (KP, GHL), pp. 193–204.
DACDAC-2006-AtienzaVPPBMM #framework #multi #performance
A fast HW/SW FPGA-based thermal emulation framework for multi-processor system-on-chip (DA, PGDV, GP, FP, LB, GDM, JMM), pp. 618–623.
DACDAC-2006-KumarSPJ #approach #coordination #named
HybDTM: a coordinated hardware-software approach for dynamic thermal management (AK, LS, LSP, NKJ), pp. 548–553.
DACDAC-2006-LoiASLSB #3d #analysis #performance
A thermally-aware performance analysis of vertically integrated (3-D) processor-memory hierarchy (GLL, BA, NS, SCL, TS, KB), pp. 991–996.
DATEDATE-2006-BhanjaOLP #design #novel #robust
Novel designs for thermally robust coplanar crossing in QCA (SB, MO, FL, SP), pp. 786–791.
DATEDATE-2006-ChakrabortySDMMP #bound #optimisation
Thermal resilient bounded-skew clock tree optimization methodology (AC, PS, KD, AM, EM, MP), pp. 832–837.
DATEDATE-2006-HealyVEBLLL #architecture #performance #trade-off
Microarchitectural floorplanning under performance and thermal tradeoff (MBH, MV, ME, CSB, SKL, HHSL, GHL), pp. 1288–1293.
DATEDATE-2006-LiuI #optimisation #scheduling #using
Test scheduling with thermal optimization for network-on-chip systems using variable-rate on-chip clocking (CL, VI), pp. 652–657.
DATEDATE-2006-WangM #algorithm #analysis #multi
A logarithmic full-chip thermal analysis algorithm based on multi-layer Green’s function (BW, PM), pp. 39–44.
DATEDATE-2006-WangXVI #analysis #optimisation
On-chip bus thermal analysis and optimization (FW, YX, NV, MJI), pp. 850–855.
DATEDATE-2006-WongL #3d
3D floorplanning with thermal vias (EW, SKL), pp. 878–883.
DATEDATE-2006-YangGZSD #adaptation #analysis #design #synthesis
Adaptive chip-package thermal analysis for synthesis and design (YY, Z(G, CZ, LS, RPD), pp. 844–849.
ICPRICPR-v3-2006-CharoentamPJ #image #multi #problem #robust
A Robust Region-Based Multiscale Image Fusion Scheme for Mis-Registration Problem of Thermal and Visible Images (OC, VP, SJ), pp. 669–672.
LCTESLCTES-2006-MutyamLNKI #functional
Compiler-directed thermal management for VLIW functional units (MM, FL, NV, MTK, MJI), pp. 163–172.
CASECASE-2005-NgYHD #energy #process
Diagnosis of sheet metal stamping processes based on thermal energy distribution (YMHN, MY, YH, RD), pp. 191–196.
DATEDATE-2005-BotaRRS #testing
Smart Temperature Sensor for Thermal Testing of Cell-Based ICs (SAB, MR, JLR, JS), pp. 464–465.
DATEDATE-2005-HungXVKI #embedded #scheduling
Thermal-Aware Task Allocation and Scheduling for Embedded Systems (WLH, YX, NV, MTK, MJI), pp. 898–899.
DATEDATE-2005-RosingerAC #agile #generative
Rapid Generation of Thermal-Safe Test Schedules (PMR, BMAH, KC), pp. 840–845.
DATEDATE-2005-RosselloCBKS #concurrent #performance
A Fast Concurrent Power-Thermal Model for Sub-100nm Digital ICs (JLR, VC, SAB, AK, JS), pp. 206–211.
HPCAHPCA-2005-LiBHS #architecture #energy #performance #smt
Performance, Energy, and Thermal Considerations for SMT and CMP Architectures (YL, DMB, ZH, KS), pp. 71–82.
HPCAHPCA-2005-SundaresanM #energy #modelling
Accurate Energy Dissipation and Thermal Modeling for Nanometer-Scale Buses (KS, NRM), pp. 51–60.
DACDAC-2004-HuangSSSGV #design #modelling
Compact thermal modeling for temperature-aware design (WH, MRS, KS, KS, SG, SV), pp. 878–883.
DATEDATE-v1-2004-Skadron #architecture #hybrid
Hybrid Architectural Dynamic Thermal Management (KS), pp. 10–15.
DATEDATE-v2-2004-WangTC #network #optimisation
Thermal and Power Integrity Based Power/Ground Networks Optimization (TYW, JLT, CCPC), pp. 830–835.
ICPRICPR-v4-2004-DavisS #detection #people #robust
Robust Detection of People in Thermal Imagery (JWD, VS), pp. 713–716.
ICPRICPR-v4-2004-FanL #image #using #verification
The Using of Thermal Images of Palm-dorsa Vein-patterns for Biometric Verification (KCF, CLL), pp. 450–453.
ICPRICPR-v4-2004-SocolinskyS #recognition
Thermal Face Recognition Over Time (DAS, AS), pp. 187–190.
SACSAC-2004-ZhouLA #bound #image
Boundary extraction in thermal images by edge map (QZ, ZL, JKA), pp. 254–258.
DATEDATE-2003-RenczSP #algorithm #layout #performance #simulation
A Fast Algorithm for the Layout Based Electro-Thermal Simulation (MR, VS, AP), pp. 11032–11037.
ICPRICPR-v4-2002-SocolinskyS #analysis #comparative #performance #recognition
A Comparative Analysis of Face Recognition Performance with Visible and Thermal Infrared Imagery (DAS, AS), p. 217–?.
HPCAHPCA-2002-SkadronAS #locality #modelling
Control-Theoretic Techniques and Thermal-RC Modeling for Accurate and Localized Dynamic Thermal Management (KS, TFA, MRS), pp. 17–28.
HPCAHPCA-2001-BrooksM
Dynamic Thermal Management for High-Performance Microprocessors (DMB, MM), pp. 171–182.
DACDAC-2000-TsaiK #performance #reduction #simulation
Fast temperature calculation for transient electrothermal simulation by mixed frequency/time domain thermal model reduction (CHT, SMK), pp. 750–755.
DACDAC-1999-BanerjeeMSH #on the
On Thermal Effects in Deep Sub-Micron VLSI Interconnects (KB, AM, ALSV, CH), pp. 885–891.
DATEDATE-1998-SzekelyR #performance #static analysis
Fast Field Solvers for Thermal and Electrostatic Analysis (VS, MR), pp. 518–523.
DATEEDTC-1997-SzekelyPPRC #simulation
SISSSI-A tool for dynamic electro-thermal simulation of analog VLSI cells (VS, AP, AP, MR, AC), p. 617.
DACDAC-1996-ChengTDRK #named #reliability
iCET: A Complete Chip-Level Thermal Reliability Diagnosis Tool for CMOS VLSI Chips (YKC, CCT, AD, ER, SMK), pp. 548–551.
ICPRICPR-1996-GriffinKWM
Techniques for the interpretation of thermal paint coated samples (AG, JK, TW, JM), pp. 959–963.
DACDAC-1994-KovalFSD #named
MONSTR: A Complete Thermal Simulator of Electronic Systems (VK, IWF, AJS, SWD), pp. 570–575.

Bibliography of Software Language Engineering in Generated Hypertext (BibSLEIGH) is created and maintained by Dr. Vadim Zaytsev.
Hosted as a part of SLEBOK on GitHub.