Proceedings of the 33rd Design Automation Conference
BibSLEIGH corpus
BibSLEIGH tags
BibSLEIGH bundles
BibSLEIGH people
EDIT!
CC-BY
Open Knowledge
XHTML 1.0 W3C Rec
CSS 2.1 W3C CanRec
email twitter

Thomas Pennino, Ellen J. Yoffa
Proceedings of the 33rd Design Automation Conference
DAC, 1996.

SYS
DBLP
Scholar
Full names Links ISxN
@proceedings{DAC-1996,
	acmid         = "240518",
	address       = "Las Vegas, Nevada, USA",
	editor        = "Thomas Pennino and Ellen J. Yoffa",
	isbn          = "0-89791-779-0",
	publisher     = "{ACM Press}",
	title         = "{Proceedings of the 33rd Design Automation Conference}",
	year          = 1996,
}

Contents (151 items)

DAC-1996-KamonM #modelling
Package and Interconnect Modeling of the HFA3624, a 2.4GHz RF to IF Converter (MK, SSM), pp. 2–7.
DAC-1996-SatyanarayanaP #analysis #energy #named
HEAT: Hierarchical Energy Analysis Tool (JHS, KKP), pp. 9–14.
DAC-1996-Wolfe #power management
Opportunities and Obstacles in Low-Power System-Level CAD (AW), pp. 15–20.
DAC-1996-ImanP #named #optimisation #synthesis
POSE: Power Optimization and Synthesis Environment (SI, MP), pp. 21–26.
DAC-1996-LidskyR #web
Early Power Exploration — A World Wide Web Application (DL, JMR), pp. 27–32.
DAC-1996-Camposano #behaviour #synthesis
Behavioral Synthesis (RC), pp. 33–34.
DAC-1996-ErcanliP #scheduling #synthesis
A Register File and Scheduling Model for Application Specific Processor Synthesis (EE, CAP), pp. 35–40.
DAC-1996-MehendaleVS #code generation #linear #multi
Optimized Code Generation of Multiplication-free Linear Transforms (MM, GV, SDS), pp. 41–46.
DAC-1996-MonahanB #analysis #concurrent #optimisation
Concurrent Analysis Techniques for Data Path Timing Optimization (CM, FB), pp. 47–50.
DAC-1996-LiG #optimisation #using
HDL Optimization Using Timed Decision Tables (JL, RKG), pp. 51–54.
DAC-1996-VerlindJL #analysis #performance
Efficient Partial Enumeration for Timing Analysis of Asynchronous Systems (EV, GGdJ, BL), pp. 55–58.
DAC-1996-SemenovY #petri net #using #verification
Verification of asynchronous circuits using Time Petri Net unfolding (ALS, AY), pp. 59–62.
DAC-1996-CortadellaKKLY #encoding #synthesis #tool support
Methodology and Tools for State Encoding in Asynchronous Circuit Synthesis (JC, MK, AK, LL, AY), pp. 63–66.
DAC-1996-KudvaGJ #distributed
A Technique for Synthesizing Distributed Burst-mode Circuits (PK, GG, HMJ), pp. 67–70.
DAC-1996-TheobaldNW #heuristic #logic #named
Espresso-HF: A Heuristic Hazard-Free Minimizer for Two-Level Logic (MT, SMN, TW), pp. 71–76.
DAC-1996-KudvaGJN #multi #network #synthesis
Synthesis for Hazard-free Customized CMOS Complex-Gate Networks Under Multiple-Input Changes (PK, GG, HMJ, SMN), pp. 77–82.
DAC-1996-Johannes #clustering
Partitioning of VLSI Circuits and Systems (FMJ), pp. 83–87.
DAC-1996-LiLLC #approach #clustering #linear
New Spectral Linear Placement and Clustering Approach (JL, JL, LTL, CKC), pp. 88–93.
DAC-1996-HuttonGRC #generative #random
Characterization and Parameterized Random Generation of Digital Circuits (MDH, JPG, JR, DGC), pp. 94–99.
DAC-1996-DuttD #approach #clustering
A Probability-Based Approach to VLSI Circuit Partitioning (SD, WD), pp. 100–105.
DAC-1996-Sangiovanni-VincentelliMS #verification
Verification of Electronic Systems (ALSV, PCM, AS), pp. 106–111.
DAC-1996-ChandrakasanYVA #design #tool support
Design Considerations and Tools for Low-voltage Digital System Design (AC, IY, CV, DA), pp. 113–118.
DAC-1996-WunderLM #concept #layout #modelling #named #simulation
VAMP: A VHDL-Based Concept for Accurate Modeling and Post Layout Timing Simulation of Electronic Systems (BW, GL, KDMG), pp. 119–124.
DAC-1996-DesaiY #cpu #design #simulation #using #verification
A Systematic Technique for Verifying Critical Path Delays in a 300MHz Alpha CPU Design Using Circuit Simulation (MPD, YTY), pp. 125–130.
DAC-1996-WagnerD #overview #perspective #synthesis #testing
High-Level Synthesis for Testability: A Survey and Perspective (KDW, SD), pp. 131–136.
DAC-1996-IyerK #architecture #named #self #synthesis
Introspection: A Low Overhead Binding Technique During Self-Diagnosing Microarchitecture Synthesis (BI, RK), pp. 137–142.
DAC-1996-ParulkarGB #bound #data flow #graph
Lower Bounds on Test Resources for Scheduled Data Flow Graphs (IP, SKG, MAB), pp. 143–148.
DAC-1996-TodescoM #named #parallel #simulation
Symphony: A Simulation Backplane for Parallel Mixed-Mode Co-Simulation of VLSI Systems (ARWT, THYM), pp. 149–154.
DAC-1996-Dahlgren #logic #simulation #using
Oscillation Control in Logic Simulation using Dynamic Dominance Grahps (PD), pp. 155–160.
DAC-1996-HuangCCL #generative #simulation
Compact Vector Generation for Accurate Power Simulation (SYH, KCC, KTC, TCL), pp. 161–164.
DAC-1996-TsuiMMP #performance
Improving the Efficiency of Power Simulators by Input Vector Compaction (CYT, RM, DM, MP), pp. 165–168.
DAC-1996-VideiraVS #communication #design #performance
Efficient Communication in a Design Environment (IV, PV, HS), pp. 169–174.
DAC-1996-SuttonD #design #process
A Description Language for Design Process Management (PRS, SWD), pp. 175–180.
DAC-1996-HagermanD
Improved Tool and Data Selection in Task Management (JWH, SWD), pp. 181–184.
DAC-1996-JohnsonCB #design #markov #metric #process #simulation
Application of a Markov Model to the Measurement, Simulation, and Diagnosis of an Iterative Design Process (EWJ, LAC, JBB), pp. 185–188.
DAC-1996-Rudell #design #logic #named #synthesis #tutorial
Tutorial: Design of a Logic Synthesis System (RLR), pp. 191–196.
DAC-1996-Coudert #on the #problem
On Solving Covering Problems (OC), pp. 197–202.
DAC-1996-Park
A New Complete Diagnosis Patterns for Wiring Interconnects (SP), pp. 203–208.
DAC-1996-ChenG #fault #generative #satisfiability
A Satisfiability-Based Test Generator for Path Delay Faults in Combinational Circuts (CAC, SKG), pp. 209–214.
DAC-1996-PomeranzR #on the #sequence #testing
On Static Compaction of Test Sequences for Synchronous Sequential Circuits (IP, SMR), pp. 215–220.
DAC-1996-BasaranR #algorithm #constraints #performance
An O(n) Algorithm for Transistor Stacking with Performance Constraints (BB, RAR), pp. 221–226.
DAC-1996-MiliozziVCMS #design #modelling #using
Use of Sensitivities and Generalized Substrate Models in Mixed-Signal IC Design (PM, IV, EC, EM, ALSV), pp. 227–232.
DAC-1996-SawantG #verification
RTL Emulation: The Next Leap in System Verification (SS, PG), pp. 233–235.
DAC-1996-BorchersHB #behaviour #equation #generative
Equation-Based Behavioral Model Generation for Nonlinear Analog Circuits (CB, LH, EB), pp. 236–239.
DAC-1996-TsaiM #logic #multi #synthesis
Multilevel Logic Synthesis for Arithmetic Functions (CCT, MMS), pp. 242–247.
DAC-1996-HansenS #diagrams #synthesis #using
Synthesis by Spectral Translation Using Boolean Decision Diagrams (JPH, MS), pp. 248–253.
DAC-1996-ThakurWK #composition #multi
Delay Minimal Decomposition of Multiplexers in Technology Mapping (ST, DFW, SK), pp. 254–257.
DAC-1996-HuangCC #fault #verification
Error Correction Based on Verification Techniques (SYH, KCC, KTC), pp. 258–261.
DAC-1996-ChenLH #layout
Layout Driven Selecting and Chaining of Partial Scan (CSC, KHL, TH), pp. 262–267.
DAC-1996-LinMCL #logic
Test Point Insertion: Scan Paths through Combinational Logic (CCL, MMS, KTC, MTCL), pp. 268–273.
DAC-1996-LiouLC #performance #pipes and filters #pseudo #testing
Area Efficient Pipelined Pseudo-Exhaustive Testing with Retiming (HYL, TTYL, CKC), pp. 274–279.
DAC-1996-KernsY #analysis #congruence #multi #network #performance #reduction #scalability
Stable and Efficient Reduction of Large, Multiport RC Networks by Pole Analysis via Congruence Transformations (KJK, ATY), pp. 280–285.
DAC-1996-RoychowdhuryM #scalability
Homotopy Techniques for Obtaining a DC Solution of Large-Scale MOS Circuits (JSR, RCM), pp. 286–291.
DAC-1996-TelicheveskyKW #analysis #performance
Efficient AC and Noise Analysis of Two-Tone RF Circuits (RT, KSK, JW), pp. 292–297.
DAC-1996-CarleyGRS #synthesis #tool support
Synthesis Tools for Mixed-Signal ICs: Progress on Frontend and Backend Strategies (LRC, GGEG, RAR, WMCS), pp. 298–303.
DAC-1996-HosseiniMK #analysis #code generation #functional #verification
Code Generation and Analysis for the Functional Verification of Microprocessors (AH, DM, PK), pp. 305–310.
DAC-1996-PopescuM #design #verification
Innovative Verification Strategy Reduces Design Cycle Time for High-End Sparc Processor (VP, BM), pp. 311–314.
DAC-1996-GanapathyNJFWN #functional #hardware #verification
Hardware Emulation for Functional Verification of K5 (GG, RN, GJ, DF, MW, JN), pp. 315–318.
DAC-1996-MonacoHR #functional #verification
Functional Verification Methodology for the PowerPC 604 Microprocessor (JM, DH, RR), pp. 319–324.
DAC-1996-KantrowitzN #analysis #correctness #simulation #verification #what
I’m Done Simulating: Now What? Verification Coverage Analysis and Correctness Checking of the DECchip 21164 Alpha Microprocessor (MK, LMN), pp. 325–330.
DAC-1996-RaghunathanDJ #analysis #reduction
Glitch Analysis and Reduction in Register Transfer Level (AR, SD, NKJ), pp. 331–336.
DAC-1996-PapachristouSN #design #effectiveness #multi #power management
An Effective Power Management Scheme for RTL Design Based on Multiple Clocks (CAP, MS, MN), pp. 337–342.
DAC-1996-SrivastavaP #approach #implementation #linear #optimisation #programmable
Power Optimization in Programmable Processors and ASIC Implementations of Linear Systems: Transformation-based Approach (MBS, MP), pp. 343–348.
DAC-1996-MonteiroDAM #power management #scheduling
Scheduling Techniques to Enable Power Management (JM, SD, PA, AM), pp. 349–352.
DAC-1996-DasguptaK #process #reliability
Electromigration Reliability Enhancement via Bus Activity Distribution (AD, RK), pp. 353–356.
DAC-1996-KrauterXDP #image
A Sparse Image Method for BEM Capacitance Extraction (BK, YX, EAD, LTP), pp. 357–362.
DAC-1996-AluruNW #analysis #parallel
A Parallel Precorrected FFT Based Capacitance Extraction Program for Signal Integrity Analysis (NRA, VBN, JW), pp. 363–366.
DAC-1996-TauschW #multi
Multipole Accelerated Capacitance Calculation for Structures with Multiple Dielectrics with high Permittivity Ratios (JT, JKW), pp. 367–370.
DAC-1996-SunDH #equation #geometry #independence #parametricity #performance #using
Fast Parameters Extraction of General Three-Dimension Interconnects Using Geometry Independent Measured Equation of Invariance (WS, WWMD, WHI), pp. 371–376.
DAC-1996-PhilippsCL #analysis #performance #reduction
Efficient Full-Wave Electromagnetic Analysis via Model-Order Reduction of Fast Integral Transforms (JRP, EC, DDL), pp. 377–382.
DAC-1996-XiD #design #power management
Useful-Skew Clock Routing With Gate Sizing for Low Power Design (JGX, WWMD), pp. 383–388.
DAC-1996-DesaiCJ #cpu #network #performance
Sizing of Clock Distribution Networks for High Performance CPU Chips (MPD, RC, JJ), pp. 389–394.
DAC-1996-LillisCLH #performance #trade-off
New Performance Driven Routing Techniques With Explicit Area/Delay Tradeoff and Simultaneous Wire Sizing (JL, CKC, TTYL, CYH), pp. 395–400.
DAC-1996-OhPP #bound #linear #programming #using
Constructing Lower and Upper Bounded Delay Routing Trees Using Linear Programming (JO, IP, MP), pp. 401–404.
DAC-1996-ChenCW #optimisation #performance
Fast Performance-Driven Optimization for Buffered Clock Trees Based on Lagrangian Relaxation (CPC, YWC, DFW), pp. 405–408.
DAC-1996-HutchinsH #how #perl #tool support
How to Write Awk and Perl Scripts to Enable Your EDA Tools to Work Together (RCH, SH), pp. 409–414.
DAC-1996-JonesP #automation #design #functional #generative
The Automatic Generation of Functional Test Vectors for Rambus Designs (KDJ, JPP), pp. 415–420.
DAC-1996-CasaubieilhMBBPRBEMBB #functional #verification
Functional Verification Methodology of Chameleon Processor (FC, AM, MB, MB, FP, FR, MB, JE, GM, GB, CB), pp. 421–426.
DAC-1996-BrownMVCGGGLZS #design #experience #multi #programmable #scalability #tool support #using
Experience in Designing a Large-scale Multiprocessor using Field-Programmable Devices and Advanced CAD Tools (SDB, NM, ZGV, SC, AG, RG, MG, KL, ZZ, SS), pp. 427–432.
DAC-1996-BoglioloBR #estimation
Power Estimation of Cell-Based CMOS Circuits (AB, LB, BR), pp. 433–438.
DAC-1996-ChengCWM #estimation #hybrid
A New Hybrid Methodology for Power Estimation (DIC, KTC, DCW, MMS), pp. 439–444.
DAC-1996-LimSPS #approach #estimation #process #statistics
A Statistical Approach to the Estimation of Delay Dependent Switching Activities in CMOS Combinational Circuits (YJL, KIS, HJP, MS), pp. 445–450.
DAC-1996-KhatriNKMBS #automaton #nondeterminism
Engineering Change in a Non-Deterministic FSM Setting (SPK, AN, SCK, KLM, RKB, ALSV), pp. 451–456.
DAC-1996-IyerLA #identification
Identifying Sequential Redundancies Without Search (MAI, DEL, MA), pp. 457–462.
DAC-1996-HiguchiM #algorithm #finite #performance #reduction #state machine
A Fast State Reduction Algorithm for Incompletely Specified Finite State Machines (HH, YM), pp. 463–466.
DAC-1996-FerrandiFMPS #automaton #network #optimisation
Symbolic Optimization of FSM Networks Based on Sequential ATPG Techniques (FF, FF, EM, MP, DS), pp. 467–470.
DAC-1996-Koch
Module Compaction in FPGA-based Regular Datapaths (AK), pp. 471–476.
DAC-1996-KuoLC #clustering #network
Network Partitioning into Tree Hierarchies (MTK, LTL, CKC), pp. 477–482.
DAC-1996-ChenH #algorithm #approximate #performance
Efficient Approximation Algorithms for Floorplan Area Minimization (DZC, XH), pp. 483–486.
DAC-1996-ChenCW96a
Optimal Wire-Sizing Formular Under the Elmore Delay Model (CPC, YPC, DFW), pp. 487–490.
DAC-1996-FujimotoK #design #verification
VLSI Design and System Level Verification for the Mini-Disc (TF, TK), pp. 491–496.
DAC-1996-EdamatsuIH #design #video
Design Methodologies for consumer-use video signal processing LSIs (HE, SI, KH), pp. 497–502.
DAC-1996-MiyaharaOM #design
Design Methodology for Analog High Frequency ICs (YM, YO, SM), pp. 503–508.
DAC-1996-MurrayMBTMV
Issues and Answers in CAD Tool Interoperability (MM, UBM, BB, YT, BM, TV), pp. 509–514.
DAC-1996-AdamsT #design #hardware
The Design of Mixed Hardware/Software Systems (JKA, DET), pp. 515–520.
DAC-1996-VercauterenLM #architecture #embedded
Constructing Application-Specific Heterogeneous Embedded Architectures from Custom HW/SW Applications (SV, BL, HDM), pp. 521–526.
DAC-1996-BinhISH #algorithm #clustering #design #hardware #pipes and filters
A Hardware/Software Partitioning Algorithm for Designing Pipelined ASIPs with Least Gate Counts (NNB, MI, AS, NH), pp. 527–532.
DAC-1996-KahngM #analysis
Analysis of RC Interconnections Under Ramp Input (ABK, SM), pp. 533–538.
DAC-1996-Sheehan #performance
An AWE Technique for Fast Printed Circuit Board Delays (BNS), pp. 539–543.
DAC-1996-DartuTP #megamodelling #simulation
RC-Interconnect Macromodels for Timing Simulation (FD, BT, LTP), pp. 544–547.
DAC-1996-ChengTDRK #named #reliability
iCET: A Complete Chip-Level Thermal Reliability Diagnosis Tool for CMOS VLSI Chips (YKC, CCT, AD, ER, SMK), pp. 548–551.
DAC-1996-Burch #verification
Techniques for Verifying Superscalar Microprocessors (JRB), pp. 552–557.
DAC-1996-LevittO #pipes and filters #scalability #verification
A Scalable Formal Verification Methodology for Pipelined Microprocessors (JRL, KO), pp. 558–563.
DAC-1996-IpD #reduction #using
State Reduction Using Reversible Rules (CNI, DLD), pp. 564–567.
DAC-1996-BalarinHJLS #embedded #network #verification
Formal Verification of Embedded Systems based on CFSM Networks (FB, HH, AJ, LL, ALSV), pp. 568–571.
DAC-1996-BerrebiKVTHFJB #control flow #data flow #synthesis
Combined Control Flow Dominated and Data Flow Dominated High-Level Synthesis (EB, PK, SV, SDT, JCH, JF, AAJ, IB), pp. 573–578.
DAC-1996-HuiskenW #architecture #design #named #synthesis
FADIC: Architectural Synthesis applied in IC Design (JH, FW), pp. 579–584.
DAC-1996-LeeHCF #design #modelling #synthesis #using
Domain-Specific High-Level Modeling and Synthesis for ATM Switch Design Using VHDL (MTCL, YCH, BC, MF), pp. 585–590.
DAC-1996-AraujoML #architecture #code generation #using
Using Register-Transfer Paths in Code Generation for Heterogeneous Memory-Register Architectures (GA, SM, MTCL), pp. 591–596.
DAC-1996-LiemPJ #architecture #compilation
Address Calculation for Retargetable Compilation and Exploration of Instruction-Set Architectures (CL, PGP, AAJ), pp. 597–600.
DAC-1996-Gupta #analysis #constraints #embedded #execution
Analysis of Operation Delay and Execution Rate Constraints for Embedded Systems (RKG), pp. 601–604.
DAC-1996-SuzukiS #estimation #hardware #performance
Efficient Software Performance Estimation Methods for Hardware/Software Codesign (KS, ALSV), pp. 605–610.
DAC-1996-TutuianuDP #approximate
An Explicit RC-Circuit Delay Approximation Based on the First Three Moments of the Impulse Response (BT, FD, LTP), pp. 611–616.
DAC-1996-ChandramouliS #modelling #proximity
Modeling the Effects of Temporal Proximity of Input Transitions on Gate Propagation Delay and Transition Time (VC, KAS), pp. 617–622.
DAC-1996-NevesF #process #scheduling
Optimal Clock Skew Scheduling Tolerant to Process Variations (JLN, EGF), pp. 623–628.
DAC-1996-Matsunaga #equivalence #performance
An Efficient Equivalence Checker for Combinational Circuits (YM), pp. 629–634.
DAC-1996-SanghaviRBS #memory management #performance
High Performance BDD Package By Exploiting Memory Hiercharchy (JVS, RKR, RKB, ALSV), pp. 635–640.
DAC-1996-StornettaB #implementation #parallel #performance
Implementation of an Efficient Parallel BDD Package (TS, FB), pp. 641–644.
DAC-1996-ClarkeKZ #fault #model checking #word
Word Level Model Checking — Avoiding the Pentium FDIV Error (EMC, MK, XZ), pp. 645–648.
DAC-1996-PandeyRBB #array #evaluation #using #verification
Formal Verification of PowerPC Arrays Using Symbolic Trajectory Evaluation (MP, RR, DLB, REB), pp. 649–654.
DAC-1996-BeerBEL #named #verification
RuleBase: An Industry-Oriented Formal Verification Tool (IB, SBD, CE, AL), pp. 655–660.
DAC-1996-Bryant #analysis
Bit-Level Analysis of an SRT Divider Circuit (REB), pp. 661–665.
DAC-1996-Eiriksson #design #verification
Integrating Formal Verification Methods with A Conventional Project Design Flow (ÁTE), pp. 666–671.
DAC-1996-Lin #design #hardware #network
A System Design Methodology for Software/Hardware Co-Development of Telecommunication Network Applications (BL), pp. 672–677.
DAC-1996-VercauterenLM96a #architecture #embedded #kernel #realtime
A Strategy for Real-Time Kernel Support in Application-Specific HW/SW Embedded Architectures (SV, BL, HDM), pp. 678–683.
DAC-1996-SchnaiderY #development #hardware #simulation
Software Development in a Hardware Simulation Environment (BS, EY), pp. 684–689.
DAC-1996-ZivojnovicM
Compiled HW/SW Co-Simulation (VZ, HM), pp. 690–695.
DAC-1996-MarculescuMP #generative #probability #sequence #synthesis
Stochastic Sequential Machine Synthesis Targeting Constrained Sequence Generation (DM, RM, MP), pp. 696–701.
DAC-1996-MehtaOI #clustering #energy
Energy Characterization based on Clustering (HM, RMO, MJI), pp. 702–707.
DAC-1996-HassounE #architecture #pipes and filters
Architectural Retiming: Pipelining Latency-Constrained Circuts (SH, CE), pp. 708–713.
DAC-1996-LalgudiPP #effectiveness #optimisation #problem
Optimizing Systems for Effective Block-Processing: The k-Delay Problem (KNL, MCP, MP), pp. 714–719.
DAC-1996-PanL
Optimal Clock Period FPGA Technology Mapping for Sequential Circuits (PP, CLL), pp. 720–725.
DAC-1996-CongH #composition #design
Structural Gate Decomposition for Depth-Optimal Technology Mapping in LUT-based FPGA Design (JC, YYH), pp. 726–729.
DAC-1996-LeglWE #approach #design
A Boolean Approach to Performance-Directed Technology Mapping for LUT-Based FPGA Designs (CL, BW, KE), pp. 730–733.
DAC-1996-CoudertHM #algorithm #case study #comparative
New Algorithms for Gate Sizing: A Comparative Study (OC, RWH, SM), pp. 734–739.
DAC-1996-SatoKEM #design #optimisation
Post-Layout Optimization for Deep Submicron Design (KS, MK, HE, NM), pp. 740–745.
DAC-1996-BamjiM #algorithm #network #optimisation
Enhanced Network Flow Algorithm for Yield Optimization (CB, EM), pp. 746–751.
DAC-1996-TengCRK #reliability
Hierarchical Electromigration Reliability Diagnosis for VLSI Interconnects (CCT, YKC, ER, SMK), pp. 752–757.
DAC-1996-GenderenM #performance #using
Using Articulation Nodes to Improve the Efficiency of Finite-Element based Resistance Extraction (AJvG, NPvdM), pp. 758–763.
DAC-1996-EliasM #modelling #scalability
Extracting Circuit Models for Large RC Interconnections that are Accurate up to a Predefined Signal Frequency (PJHE, NPvdM), pp. 764–769.
DAC-1996-Smith #c
VHDL & Verilog Compared & Contrasted — Plus Modeled Example Written in VHDL, Verilog and C (DJS), pp. 771–776.
DAC-1996-SahmMPSS #development #standard
VDHL Development System and Coding Standard (HS, CM, JP, JS, SS), pp. 777–782.
DAC-1996-ChenS #algorithm #power management
An Exact Algorithm for Low Power Library-Specific Gate Re-Sizing (DSC, MS), pp. 783–788.
DAC-1996-RohfleischKW
Reducing Power Dissipation after Technology Mapping by Structural Transformations (BR, AK, BW), pp. 789–794.
DAC-1996-ChenPL #reduction
Desensitization for Power Reduction in Sequential Circuits (XC, PP, CLL), pp. 795–800.
DAC-1996-BurgunRFBL #fault
Serial Fault Emulation (LB, FR, GF, JB, OL), pp. 801–806.
DAC-1996-XiangVFP #design
Partial Scan Design Based on Circuit State Information (DX, SV, WKF, JHP), pp. 807–812.
DAC-1996-GoodbyO #pseudo
Pseudorandom-Pattern Test Resistance in High-Performance DSP Datapaths (LG, AO), pp. 813–818.
DAC-1996-DasguptaK96a #order #reliability
Hot-Carrier Reliability Enhancement via Input Reordering and Transistor Sizing (AD, RK), pp. 819–824.
DAC-1996-LokanathanBR #concurrent #library #optimisation #process
A Methodology for Concurrent Fabrication Process/Cell Library Optimization (ANL, JBB, JER), pp. 825–830.
DAC-1996-LiM #adaptation #linear #modelling #parametricity #using
Computing Parametric Yield Adaptively Using Local Linear Models (ML, LSM), pp. 831–836.

Bibliography of Software Language Engineering in Generated Hypertext (BibSLEIGH) is created and maintained by Dr. Vadim Zaytsev.
Hosted as a part of SLEBOK on GitHub.