BibSLEIGH
BibSLEIGH corpus
BibSLEIGH tags
BibSLEIGH bundles
BibSLEIGH people
CC-BY
Open Knowledge
XHTML 1.0 W3C Rec
CSS 2.1 W3C CanRec
email twitter
Used together with:
base (39)
system (23)
sql (20)
awar (19)
rout (17)

Stem no$ (all stems)

241 papers:

DACDAC-2015-KlineXMJ #energy #memory management
Domain-wall memory buffer for low-energy NoCs (DKJ, HX, RGM, AKJ), p. 6.
DACDAC-2015-LiuKDK #data access #network #reduction
Network footprint reduction through data access and computation placement in NoC-based manycores (JL, JK, WD, MTK), p. 6.
DACDAC-2015-ZhanOGZ0 #approach #named #network #power management #towards
DimNoC: a dim silicon approach towards power-efficient on-chip network (JZ, JO, FG, JZ, YX), p. 6.
DATEDATE-2015-BokhariJSHP #adaptation
Malleable NoC: dark silicon inspired adaptable Network-on-Chip (HB, HJ, MS, JH, SP), pp. 1245–1248.
DATEDATE-2015-CasuG
Rate-based vs delay-based control for DVFS in NoC (MRC, PG), pp. 1096–1101.
DATEDATE-2015-ChenEC #3d #hybrid
Enabling vertical wormhole switching in 3D NoC-bus hybrid systems (CC, ME, SDC), pp. 507–512.
DATEDATE-2015-MajumderLBP #analysis #architecture #manycore #probability
NoC-enabled multicore architectures for stochastic analysis of biomolecular reactions (TM, XL, PB, PP), pp. 1102–1107.
DATEDATE-2015-MazloumiM #hybrid #memory management #multi
A hybrid packet/circuit-switched router to accelerate memory access in NoC-based chip multiprocessors (AM, MM), pp. 908–911.
DATEDATE-2015-MineoRPACM #architecture #energy #performance #self
A closed loop transmitting power self-calibration scheme for energy efficient WiNoC architectures (AM, MSR, MP, GA, VC, MNM), pp. 513–518.
DATEDATE-2015-PsarrasSND #named #network #performance #scheduling
PhaseNoC: TDM scheduling at the virtual-channel level for efficient network traffic isolation (AP, IS, CN, GD), pp. 1090–1095.
DATEDATE-2015-SchaffnerGSB #architecture #image #linear
DRAM or no-DRAM?: exploring linear solver architectures for image domain warping in 28 nm CMOS (MS, FKG, AS, LB), pp. 707–712.
DATEDATE-2015-ZhuCPP #manycore #named
TAPP: temperature-aware application mapping for NoC-based many-core processors (DZ, LC, TMP, MP), pp. 1241–1244.
DRRDRR-2015-NayefO #assessment #documentation #image #quality
Metric-based no-reference quality assessment of heterogeneous document images (NN, JMO).
HTHT-2015-JangHL #process
No Reciprocity in “Liking” Photos: Analyzing Like Activities in Instagram (JYJ, KH, DL), pp. 273–282.
CHICHI-2015-PritchardVO
Your Money’s No Good Here: The Elimination of Cash Payment on London Buses (GWP, JV, PO), pp. 907–916.
CHICHI-2015-SkovJSL #monitoring
No News is Good News: Remote Monitoring of Implantable Cardioverter-Defibrillator Patients (MBS, PGJ, CSS, AL), pp. 827–836.
CSCWCSCW-2015-QuattroneCM #bias #dataset
There’s No Such Thing as the Perfect Map: Quantifying Bias in Spatial Crowd-sourcing Datasets (GQ, LC, PDM), pp. 1021–1032.
HCIDUXU-UI-2015-BeltranUPSSSPCA #design #game studies #learning
Inclusive Gaming Creation by Design in Formal Learning Environments: “Girly-Girls” User Group in No One Left Behind (MEB, YU, AP, CS, WS, BS, SdlRP, MFCU, MTA), pp. 153–161.
HCIHCI-UC-2015-NakanishiTUYS #safety
Fukushima No. 1 Nuclear Power Plant: The Moment of “Safety Myth” Collapses (AN, TT, HU, MY, DS), pp. 350–357.
ICEISICEIS-v1-2015-ChevalierMKTT #implementation #multi
Implementing Multidimensional Data Warehouses into NoSQL (MC, MEM, AK, OT, RT), pp. 172–183.
ECIRECIR-2015-HarveyC #exclamation #hashtag #personalisation #twitter
Long Time, No Tweets! Time-aware Personalised Hashtag Suggestion (MH, FC), pp. 581–592.
SEKESEKE-2015-PereiraSA #interface #sql #standard
Endowing NoSQL DBMS with SQL Features Through Standard Call Level Interfaces (ÓMP, DS, RLA), pp. 201–207.
ICSEICSE-v1-2015-WinterSNSC #fault #injection #parallel
No PAIN, No Gain? The Utility of PArallel Fault INjections (SW, OS, RN, NS, DC), pp. 494–505.
ASPLOSASPLOS-2015-PangDL #less is more
More is Less, Less is More: Molecular-Scale Photonic NoC Power Topologies (JP, CD, ARL), pp. 283–296.
HPCAHPCA-2015-ChenZPP #towards
Power punch: Towards non-blocking power-gating of NoC routers (LC, DZ, MP, TMP), pp. 378–389.
SOSPSOSP-2015-DragojevicNNRSB #consistency #distributed #performance #transaction
No compromises: distributed transactions with consistency, availability, and performance (AD, DN, EBN, MR, AS, AB, MC), pp. 54–70.
RTARTA-2015-DasS #linear #logic #term rewriting
No complete linear term rewriting system for propositional logic (AD, LS), pp. 127–142.
DACDAC-2014-AncajasCR #named
Fort-NoCs: Mitigating the Threat of a Compromised NoC (DMA, KC, SR), p. 6.
DACDAC-2014-ParikhDB #configuration management #power management
Power-Aware NoCs through Routing and Topology Reconfiguration (RP, RD, VB), p. 6.
DACDAC-2014-ZhanXS #fine-grained #named
NoC-Sprinting: Interconnect for Fine-Grained Sprinting in the Dark Silicon Era (JZ, YX, GS), p. 6.
DATEDATE-2014-ButerOGO #configuration management #named
DCM: An IP for the autonomous control of optical and electrical reconfigurable NoCs (WB, CO, DG, AGO), pp. 1–4.
DATEDATE-2014-GomonyAG #optimisation #performance #realtime
Coupling TDM NoC and DRAM controller for cost and performance optimization of real-time systems (MDG, BA, KG), pp. 1–6.
DATEDATE-2014-LeePB #configuration management
Brisk and limited-impact NoC routing reconfiguration (DL, RP, VB), pp. 1–6.
DATEDATE-2014-LiuJL #parallel
Parallel probe based dynamic connection setup in TDM NoCs (SL, AJ, ZL), pp. 1–6.
DATEDATE-2014-MatsutaniKFKTKBMA #3d #random
Low-latency wireless 3D NoCs via randomized shortcut chips (HM, MK, IF, TK, YT, TK, PB, RM, HA), pp. 1–6.
DATEDATE-2014-MineoPAC #adaptation #energy #performance
An adaptive transmitting power technique for energy efficient mm-wave wireless NoCs (AM, MP, GA, VC), pp. 1–6.
DATEDATE-2014-QiZ #modelling #performance #simulation
Efficient simulation and modelling of non-rectangular NoC topologies (JQ, MZ), pp. 1–4.
DATEDATE-2014-RaminiGGBFB #architecture #energy
Assessing the energy break-even point between an optical NoC architecture and an aggressive electronic baseline (LR, AG, PG, SB, HTF, DB), pp. 1–6.
DATEDATE-2014-RobinoO
From Simulink to NoC-based MPSoC on FPGA (FR, ), pp. 1–4.
DATEDATE-2014-WettinMKYPH #evaluation #network #performance
Performance evaluation of wireless NoCs in presence of irregular network routing strategies (PW, JM, RK, XY, PPP, DHH), pp. 1–6.
SIGMODSIGMOD-2014-KangLMKO #database #memory management #relational
Durable write cache in flash memory SSD for relational and NoSQL databases (WHK, SWL, BM, YSK, MO), pp. 529–540.
VLDBVLDB-2014-NtarmosPT #database #query #rank
Rank Join Queries in NoSQL Databases (NN, IP, PT), pp. 493–504.
STOCSTOC-2014-KalaiRR #how #power of #proving
How to delegate computations: the power of no-signaling proofs (YTK, RR, RDR), pp. 485–494.
ICFPICFP-2014-MarlowBCP #abstraction #concurrent #data access #performance
There is no fork: an abstraction for efficient, concurrent, and concise data access (SM, LB, JC, JP), pp. 325–337.
HILTHILT-2014-Anderson #ada
From Ada 9x to spaceport America: going where no one has gone before (CA), pp. 1–2.
ICEISICEIS-v1-2014-KammererN #approach #comparison #named #sql
Application-Mimes — An Approach for Quantitative Comparison of SQL — and NoSQL-databases (MK, JN), pp. 256–263.
ICMLICML-c2-2014-KricheneDB #convergence #learning #on the
On the convergence of no-regret learning in selfish routing (WK, BD, AMB), pp. 163–171.
KDIRKDIR-2014-CastelltortL #analysis #graph
NoSQL Graph-based OLAP Analysis (AC, AL), pp. 217–224.
KEODKEOD-2014-Aalst #mining #people #process #what
No Knowledge Without Processes — Process Mining as a Tool to Find Out What People and Organizations Really Do (WMPvdA), pp. 1–11.
SIGIRSIGIR-2014-ChenJZBZSY #category theory #recommendation
Does product recommendation meet its waterloo in unexplored categories?: no, price comes to help (JC, QJ, SZ, SB, LZ, ZS, YY), pp. 667–676.
MODELSMoDELS-2014-ShahWKRPB #benchmark #framework #metric #persistent #scalability
A Framework to Benchmark NoSQL Data Stores for Large-Scale Model Persistence (SMS, RW, DSK, LMR, RFP, KB), pp. 586–601.
MODELSMoDELS-2014-ShahWKRPB #benchmark #framework #metric #persistent #scalability
A Framework to Benchmark NoSQL Data Stores for Large-Scale Model Persistence (SMS, RW, DSK, LMR, RFP, KB), pp. 586–601.
SACSAC-2014-CruzMOV
Workload-aware table splitting for NoSQL (FC, FM, RO, RV), pp. 399–404.
SACSAC-2014-RithLM #sql
Speaking in tongues: SQL access to NoSQL systems (JR, PSL, KMW), pp. 855–857.
FSEFSE-2014-BaysalHG #information management #issue tracking
No issue left behind: reducing information overload in issue tracking (OB, RH, MWG), pp. 666–677.
ASPLOSASPLOS-2014-KwonKP #multi
Locality-oblivious cache organization leveraging single-cycle multi-hop NoCs (WCK, TK, LSP), pp. 715–728.
ISMMISMM-2014-Joisha #performance #scalability
Sticky tries: fast insertions, fast lookups, no deletions for large key universes (PGJ), pp. 35–46.
LICSLICS-CSL-2014-DemriD #logic
Expressive completeness of separation logic with two variables and no separating conjunction (SD, MD), p. 10.
LICSLICS-CSL-2014-HeijltjesH #equivalence #proving
No proof nets for MLL with units: proof equivalence in MLL is PSPACE-complete (WH, RH), p. 10.
DACDAC-2013-AbousamraJM #multi
Proactive circuit allocation in multiplane NoCs (AA, AKJ, RGM), p. 10.
DACDAC-2013-AncajasNCR #architecture
HCI-tolerant NoC router microarchitecture (DMA, JMN, KC, SR), p. 10.
DACDAC-2013-ZhanSOTNX #design #embedded #energy #optimisation #realtime
Designing energy-efficient NoC for real-time embedded systems through slack optimization (JZ, NS, JO, LT, VN, YX), p. 6.
DATEDATE-2013-AncajasCR #approach
Proactive aging management in heterogeneous NoCs through a criticality-driven routing approach (DMA, KC, SR), pp. 1032–1037.
DATEDATE-2013-ChenPKSCP #configuration management #named
SMART: a single-cycle reconfigurable NoC for SoC applications (CHOC, SP, TK, SS, APC, LSP), pp. 338–343.
DATEDATE-2013-DaneshtalabEPT #interface #manycore #named #network
CARS: congestion-aware request scheduler for network interfaces in NoC-based manycore systems (MD, ME, JP, HT), pp. 1048–1051.
DATEDATE-2013-EbrahimiDP #3d #algorithm #fault tolerance #using
Fault-tolerant routing algorithm for 3D NoC using Hamiltonian path strategy (ME, MD, JP), pp. 1601–1604.
DATEDATE-2013-GhiribaldiBN #architecture #effectiveness #manycore
A transition-signaling bundled data NoC switch architecture for cost-effective GALS multicore systems (AG, DB, SMN), pp. 332–337.
DATEDATE-2013-GiraoSW #clustering #policy
Exploring resource mapping policies for dynamic clustering on NoC-based MPSoCs (GG, TS, FRW), pp. 681–684.
DATEDATE-2013-ParkQPC #embedded #logic #self
40.4fJ/bit/mm low-swing on-chip signaling with self-resetting logic repeaters embedded within a mesh NoC in 45nm SOI CMOS (SP, MQ, LSP, APC), pp. 1637–1642.
DATEDATE-2013-QianJBTMM #analysis #named #performance #using
SVR-NoC: a performance analysis tool for network-on-chips using learning-based support vector regression model (ZQ, DCJ, PB, CYT, DM, RM), pp. 354–357.
DATEDATE-2013-RaminiGBB #3d #analysis #manycore #power management #using
Contrasting wavelength-routed optical NoC topologies for power-efficient 3D-stacked multicore processors using physical-layer analysis (LR, PG, SB, DB), pp. 1589–1594.
DATEDATE-2013-WachterEAM #fault tolerance
Topology-agnostic fault-tolerant NoC routing method (EW, AE, AMA, FM), pp. 1595–1600.
DATEDATE-2013-YakovlevVR #industrial #logic #roadmap #tool support
Advances in asynchronous logic: from principles to GALS & NoC, recent industry applications, and commercial CAD tools (AY, PV, MR), pp. 1715–1724.
DATEDATE-2013-ZoniF
Sensor-wise methodology to face NBTI stress of NoC buffers (DZ, WF), pp. 1038–1043.
DocEngDocEng-2013-PinkneyBB #readability
No need to justify your choice: pre-compiling line breaks to improve eBook readability (AJP, SRB, DFB), pp. 237–240.
SIGMODSIGMOD-2013-KonstantinouTMK #distributed #named
DBalancer: distributed load balancing for NoSQL data-stores (IK, DT, IM, NK), pp. 1037–1040.
VLDBVLDB-2013-Dey #scalability #transaction
Scalable Transactions across Heterogeneous NoSQL Key-Value Data Stores (AD), pp. 1434–1439.
VLDBVLDB-2013-HardockPGB #database #named
NoFTL: Database Systems on FTL-less Flash Storage (SH, IP, RG, APB), pp. 1278–1281.
ITiCSEITiCSE-2013-Buck #approach #reliability
First, do no harm: a curricular approach to reliability (DB), p. 319.
DLTDLT-2013-KutribMW #automaton #finite #multi
One-Way Multi-Head Finite Automata with Pebbles But No States (MK, AM, MW), pp. 313–324.
ICALPICALP-v1-2013-MuchaS #problem #scheduling #symmetry
No-Wait Flowshop Scheduling Is as Hard as Asymmetric Traveling Salesman Problem (MM, MS), pp. 769–779.
CHICHI-2013-LewisUM #named
UMUX-LITE: when there’s no time for the SUS (JRL, BU, DEM), pp. 2099–2102.
ICMLICML-c3-2013-SchaulZL #learning
No more pesky learning rates (TS, SZ, YL), pp. 343–351.
POPLPOPL-2013-BenzakenCNS #semantics
Static and dynamic semantics of NoSQL languages (VB, GC, KN, JS), pp. 101–114.
REFSQREFSQ-2013-Herrmann #requirements
Requirements Engineering in Practice: There Is No Requirements Engineer Position (AH), pp. 347–361.
ICSTSAT-2013-Stuckey #problem
There Are No CNF Problems (PJS), pp. 19–21.
DACDAC-2012-BhardwajCR #adaptation #algorithm #towards
Towards graceful aging degradation in NoCs through an adaptive routing algorithm (KB, KC, SR), pp. 382–391.
DACDAC-2012-HuangCTCK #design #generative #named #novel
Attackboard: a novel dependency-aware traffic generator for exploring NoC design space (YSCH, YCC, TCT, YYC, CTK), pp. 376–381.
DACDAC-2012-KahngLN #estimation #modelling
Explicit modeling of control and data for improved NoC router estimation (ABK, BL, SN), pp. 392–397.
DACDAC-2012-ParkKCDCP #prototype
Approaching the theoretical limits of a mesh NoC with a 16-node chip prototype in 45nm SOI (SP, TK, CHOC, BKD, AC, LSP), pp. 398–405.
DACDAC-2012-ZhaoJDZKI #design #hybrid #multi #optimisation
A hybrid NoC design for cache coherence optimization for chip multiprocessors (HZ, OJ, WD, YZ, MTK, MJI), pp. 834–842.
DATEDATE-2012-AkbariSFB #3d #low cost #named #performance #reliability
AFRA: A low cost high performance reliable routing for 3D mesh NoCs (SA, AS, MF, RB), pp. 332–337.
DATEDATE-2012-BhardwajCR #algorithm
An MILP-based aging-aware routing algorithm for NoCs (KB, KC, SR), pp. 326–331.
DATEDATE-2012-ChaoCTHC #configuration management #scheduling
Congestion-aware scheduling for NoC-based reconfigurable systems (HLC, YRC, SYT, PAH, SJC), pp. 1561–1566.
DATEDATE-2012-GaoWHZL #clustering #concurrent #debugging #manycore
A clustering-based scheme for concurrent trace in debugging NoC-based multicore systems (JG, JW, YH, LZ, XL), pp. 27–32.
DATEDATE-2012-LiuJL #constant #parallel
Parallel probing: Dynamic and constant time setup procedure in circuit switching NoC (SL, AJ, ZL), pp. 1289–1294.
DATEDATE-2012-RichterC #manycore #reduction
Test pin count reduction for NoC-based Test delivery in multicore SOCs (MR, KC), pp. 787–792.
DATEDATE-2012-StefanMAG #multi #performance
A TDM NoC supporting QoS, multicast, and fast connection set-up (RAS, AMM, JAA, KG), pp. 1283–1288.
SIGMODSIGMOD-2012-AlagiannisBBIA #execution #named #performance #query
NoDB: efficient query execution on raw data files (IA, RB, MB, SI, AA), pp. 241–252.
VLDBVLDB-2012-AlagiannisBBIA #adaptation #query
NoDB in Action: Adaptive Query Processing on Raw Data (IA, RB, MB, SI, AA), pp. 1942–1945.
VLDBVLDB-2012-FloratouTDPZ #question
Can the Elephants Handle the NoSQL Onslaught? (AF, NT, DJD, JMP, DZ), pp. 1712–1723.
VLDBVLDB-2012-MouratidisY #information management
Shortest Path Computation with No Information Leakage (KM, MLY), pp. 692–703.
VLDBVLDB-2012-Schindler #database
I/O Characteristics of NoSQL Databases (JS), pp. 2020–2021.
STOCSTOC-2012-Censor-HillelHKM #dependence #performance
Global computation in a poorly connected world: fast rumor spreading with no dependence on conductance (KCH, BH, JAK, PM), pp. 961–970.
ICALPICALP-v1-2012-BabaiCQ #morphism #polynomial
Polynomial-Time Isomorphism Test for Groups with No Abelian Normal Subgroups — (Extended Abstract) (LB, PC, YQ), pp. 51–62.
CHICHI-2012-Jamison-PowellLDGL #quote #twitter
“I can’t get no sleep”: discussing #insomnia on twitter (SJP, CL, LD, AG, SWL), pp. 1501–1510.
CSCWCSCW-2012-JonesA #social
We don’t need no stinkin’ badges: examining the social role of badges in the huffington post (JJ, NA), pp. 249–252.
ICEISICEIS-v1-2012-Wichert #fault #retrieval
Product Quantization for Vector Retrieval with No Error (AW), pp. 87–92.
CIKMCIKM-2012-AltingovdeBCOSU #query #web
Characterizing web search queries that match very few or no results (ISA, RB, BBC, RO, ES, ÖU), pp. 2000–2004.
ECIRECIR-2012-NeumayerBN12a #effectiveness #semantics
When Simple is (more than) Good Enough: Effective Semantic Search with (almost) no Semantics (RN, KB, KN), pp. 540–543.
ICMLICML-2012-LanctotGBB #game studies #learning
No-Regret Learning in Extensive-Form Games with Imperfect Recall (ML, RGG, NB, MB), p. 135.
ICPRICPR-2012-GuZYZ #assessment #image #quality
A new no-reference stereoscopic image quality assessment based on ocular dominance theory and degree of parallax (KG, GZ, XY, WZ), pp. 206–209.
ICPRICPR-2012-XuZY #metric
No reference measurement of contrast distortion and optimal contrast enhancement (HX, GZ, XY), pp. 1981–1984.
HPCAHPCA-2012-MaJW #communication #performance
Supporting efficient collective communication in NoCs (SM, NDEJ, ZW), pp. 165–176.
ISSTAISSTA-2012-GrossFZ #search-based #testing
Search-based system testing: high coverage, no false alarms (FG, GF, AZ), pp. 67–77.
VMCAIVMCAI-2012-Nipkow #education #proving #semantics
Teaching Semantics with a Proof Assistant: No More LSD Trip Proofs (TN), pp. 24–38.
DACDAC-2011-HolcombBS #performance #verification
Abstraction-based performance verification of NoCs (DEH, BAB, SAS), pp. 492–497.
DACDAC-2011-LiLWCDCHCLHHMBWTWKHC #interface #low cost #testing
A low-cost wireless interface with no external antenna and crystal oscillator for cm-range contactless testing (CFL, CYL, CHW, SLC, LMD, CCC, HJH, MYC, JJL, SYH, PCH, HPM, JCB, CWW, CCT, CHW, YSK, CTH, TYC), pp. 771–776.
DACDAC-2011-SharifiK #multi #process
Process variation-aware routing in NoC based multicores (AS, MTK), pp. 924–929.
DACDAC-2011-TsaiZCH #bidirectional #fault tolerance #using
A fault-tolerant NoC scheme using bidirectional channel (WCT, DYZ, SJC, YHH), pp. 918–923.
DACDAC-2011-WalterKCK #capacity #multi
Capacity optimized NoC for multi-mode SoC (IW, EK, IC, SK), pp. 942–947.
DATEDATE-2011-CararaASM
Achieving composability in NoC-based MPSoCs through QoS management at software level (EC, GMA, GS, FGM), pp. 407–412.
DATEDATE-2011-ChouM #multi #named #resource management
FARM: Fault-aware resource management in NoC-based multiprocessor platforms (CLC, RM), pp. 673–678.
DATEDATE-2011-KakoeeBB #communication #named #network #reliability
ReliNoC: A reliable network for priority-based on-chip communication (MRK, VB, LB), pp. 667–672.
DATEDATE-2011-KunzGW #hardware #memory management #performance #transaction
Improving the efficiency of a hardware transactional memory on an NoC-based MPSoC (LK, GG, FRW), pp. 1168–1171.
DATEDATE-2011-PorquetGS #architecture #flexibility #memory management #named
NoC-MPU: A secure architecture for flexible co-hosting on shared memory MPSoCs (JP, AG, CS), pp. 591–594.
DATEDATE-2011-WinterF #runtime #scheduling
Guaranteed service virtual channel allocation in NoCs for run-time task scheduling (MW, GF), pp. 419–424.
SIGMODSIGMOD-2011-KiferM #privacy
No free lunch in data privacy (DK, AM), pp. 193–204.
VLDBVLDB-2011-SrinivasanB #named #realtime
Citrusleaf: A Real-Time NoSQL DB which Preserves ACID (VS, BB), pp. 1340–1350.
CHICHI-2011-HuangWD #problem #using
No clicks, no problem: using cursor movements to understand and improve search (JH, RWW, STD), pp. 1225–1234.
CSCWCSCW-2011-Johri #communication #distributed #email #exclamation #tool support
Look ma, no email!: blogs and IRC as primary and preferred communication tools in a distributed firm (AJ), pp. 305–308.
CIKMCIKM-2011-KonstantinouABTK #database #on the
On the elasticity of NoSQL databases over cloud management platforms (IK, EA, CB, DT, NK), pp. 2385–2388.
CIKMCIKM-2011-Pasca #query #using #web #what
Asking what no one has asked before: using phrase similarities to generate synthetic web search queries (MP), pp. 1347–1352.
SIGIRSIGIR-2011-TureEL #similarity
No free lunch: brute force vs. locality-sensitive hashing for cross-lingual pairwise similarity (FT, TE, JJL), pp. 943–952.
POPLPOPL-2011-DimoulasFFF #contract
Correct blame for contracts: no more scapegoating (CD, RBF, CF, MF), pp. 215–226.
CGOCGO-2011-KandemirZLY #locality #multi #optimisation
Neighborhood-aware data locality optimization for NoC-based multicores (MTK, YZ, JL, TY), pp. 191–200.
DACDAC-2010-JangP #design #performance
Application-aware NoC design for efficient SDRAM access (WJ, DZP), pp. 453–456.
DATEDATE-2010-BerahaWCK #case study #design #requirements
Leveraging application-level requirements in the design of a NoC for a 4G SoC — a case study (RB, IW, IC, AK), pp. 1408–1413.
DATEDATE-2010-FuHLL
Accelerating Lightpath setup via broadcasting in binary-tree waveguide in Optical NoCs (BF, YH, HL, XL), pp. 933–936.
DATEDATE-2010-HernandezSD #process
A methodology for the characterization of process variation in NoC links (CH, FS, JD), pp. 685–690.
DATEDATE-2010-MeyerHT #effectiveness
Cost-effective slack allocation for lifetime improvement in NoC-based MPSoCs (BHM, ASH, DET), pp. 1596–1601.
DATEDATE-2010-NassarBDDG #evaluation #named
BCDL: A high speed balanced DPL for FPGA with global precharge and no early evaluation (MN, SB, JLD, GD, SG), pp. 849–854.
DATEDATE-2010-SharifiZK #feedback #multi
Feedback control for providing QoS in NoC based multicores (AS, HZ, MTK), pp. 1384–1389.
DATEDATE-2010-ThonnartVC #framework #integration #power management
A fully-asynchronous low-power framework for GALS NoC integration (YT, PV, FC), pp. 33–38.
DATEDATE-2010-TotaCRRZ #architecture #hybrid #message passing #multi #named
MEDEA: a hybrid shared-memory/message-passing multiprocessor NoC-based architecture (ST, MRC, MRR, LR, MZ), pp. 45–50.
DATEDATE-2010-ZhangYDHRL #manycore #symmetry
Performance-asymmetry-aware topology virtualization for defect-tolerant NoC-based many-core processors (LZ, YY, JD, YH, SR, XL), pp. 1566–1571.
STOCSTOC-2010-DellM #polynomial #satisfiability
Satisfiability allows no nontrivial sparsification unless the polynomial-time hierarchy collapses (HD, DvM), pp. 251–260.
ICALPICALP-v1-2010-Ito #approximate #proving
Polynomial-Space Approximation of No-Signaling Provers (TI), pp. 140–151.
ECIRECIR-2010-AnderkaSP #bound #similarity #why
Cross-Language High Similarity Search: Why No Sub-linear Time Bound Can Be Expected (MA, BS, MP), pp. 640–644.
ICMLICML-2010-SrinivasKKS #design #optimisation #process
Gaussian Process Optimization in the Bandit Setting: No Regret and Experimental Design (NS, AK, SK, MWS), pp. 1015–1022.
RERE-2010-Berenbach #industrial #requirements
Requirements Engineering for Industrial Systems: No Easy Answers (BB), pp. 373–374.
SACSAC-2010-GhoshS #constraints #design #energy #performance
Efficient mapping and voltage islanding technique for energy minimization in NoC under design constraints (PG, AS), pp. 535–541.
DACDAC-2009-ChouCWCCWW #3d #manycore
No cache-coherence: a single-cycle ring interconnection for multi-core L1-NUCA sharing on 3D chips (SHC, CCC, CNW, YCC, TFC, CCW, JSW), pp. 587–592.
DACDAC-2009-KandemirOM #concurrent #thread
Dynamic thread and data mapping for NoC based CMPs (MTK, ÖÖ, SPM), pp. 852–857.
DACDAC-2009-SeiculescuMBM #synthesis
NoC topology synthesis for supporting shutdown of voltage islands in SoCs (CS, SM, LB, GDM), pp. 822–825.
DATEDATE-2009-FickDCBSB #algorithm #fault tolerance
A highly resilient routing algorithm for fault-tolerant NoCs (DF, AD, GKC, VB, DS, DB), pp. 21–26.
DATEDATE-2009-KahngLPS #design #performance
ORION 2.0: A fast and accurate NoC power and area model for early-stage design space exploration (ABK, BL, LSP, KS), pp. 423–428.
DATEDATE-2009-KwonYUJ #performance #problem
In-network reorder buffer to improve overall NoC performance while resolving the in-order requirement problem (WCK, SY, JU, SWJ), pp. 1058–1063.
DATEDATE-2009-YangO #adaptation #towards
Towards no-cost adaptive MPSoC static schedules through exploitation of logical-to-physical core mapping latitude (CY, AO), pp. 63–68.
DATEDATE-2009-ZuoFQWJNLYB #manycore
Group-caching for NoC based multicore cache coherent systems (ZW, FS, QZ, WJ, JL, ND, LX, YAT, BQ), pp. 755–760.
STOCSTOC-2009-KleinbergPT #game studies #learning #multi
Multiplicative updates outperform generic no-regret learning in congestion games: extended abstract (RK, GP, ÉT), pp. 533–542.
MLDMMLDM-2009-Calliess #on the
On Fixed Convex Combinations of No-Regret Learners (JPC), pp. 494–504.
TLCATLCA-2009-FujitaS #type system
Existential Type Systems with No Types in Terms (KeF, AS), pp. 112–126.
DACDAC-2008-YuB #fault #multi #using
Multiple defect diagnosis using no assumptions on failing pattern characteristics (XY, RD(B), pp. 361–366.
DATEDATE-2008-Dutt #design
Memory-aware NoC Exploration and Design (ND), pp. 1128–1129.
DATEDATE-2008-HosseinabadyKMP #architecture #energy #graph #latency #performance #scalability
De Bruijn Graph as a Low Latency Scalable Architecture for Energy Efficient Massive NoCs (MH, MRK, JM, DKP), pp. 1370–1373.
DATEDATE-2008-LoiAB #3d
Developing Mesochronous Synchronizers to Enable 3D NoCs (IL, FA, LB), pp. 1414–1419.
DATEDATE-2008-Lotfi-KamranDLN #protocol
BARP-A Dynamic Routing Protocol for Balanced Distribution of Traffic in NoCs (PLK, MD, CL, ZN), pp. 1408–1413.
DATEDATE-2008-MassasP #comparison #manycore #memory management #policy
Comparison of memory write policies for NoC based Multicore Cache Coherent Systems (PGdM, FP), pp. 997–1002.
DATEDATE-2008-MedardoniLB #design #self
Variation tolerant NoC design by means of self-calibrating links (SM, ML, DB), pp. 1402–1407.
DATEDATE-2008-OggVAYDB
Serialized Asynchronous Links for NoC (SO, EV, BMAH, AY, CD, LB), pp. 1003–1008.
DocEngDocEng-2008-CodocedoA #documentation #information management #mining #repository
No mining, no meaning: relating documents across repositories with ontology-driven information extraction (VC, HA), pp. 110–118.
ICMLICML-2008-GordonGM #game studies #learning
No-regret learning in convex games (GJG, AG, CM), pp. 360–367.
ASPLOSASPLOS-2008-RaghavendraRTWZ #coordination #multi #power management
No “power” struggles: coordinated multi-level power management for the data center (RR, PR, VT, ZW, XZ), pp. 48–59.
ISMMISMM-2008-SartorHM
No bit left behind: the limits of heap data compression (JBS, MH, KSM), pp. 111–120.
DACDAC-2007-BhojwaniM #concurrent #online #protocol #robust
A Robust Protocol for Concurrent On-Line Test (COLT) of NoC-based Systems-on-a-Chip (PB, RNM), pp. 670–675.
DATEDATE-2007-BolotinCGK
Routing table minimization for irregular mesh NoCs (EB, IC, RG, AK), pp. 942–947.
DATEDATE-2007-BriereGBNMGO #assessment #framework
System level assessment of an optical NoC in an MPSoC platform (MB, BG, YB, GN, FM, FG, IO), pp. 1084–1089.
DATEDATE-2007-HosseinabadyDN #testing #using
Using the inter- and intra-switch regularity in NoC switch testing (MH, AD, ZN), pp. 361–366.
DATEDATE-2007-TangX #debugging #framework #manycore
A multi-core debug platform for NoC-based systems (ST, QX), pp. 870–875.
STOCSTOC-2007-Holenstein #parallel
Parallel repetition: simplifications and the no-signaling case (TH), pp. 411–419.
OOPSLAOOPSLA-2007-Edwards
No ifs, ands, or buts: uncovering the simplicity of conditionals (JE), pp. 639–658.
LCTESLCTES-2007-ChenLK #multi
Compiler-directed application mapping for NoC based chip multiprocessors (GC, FL, MTK), pp. 155–157.
DACDAC-2006-HuZCGC #communication #latency #power management #synthesis
Communication latency aware low power NoC synthesis (YH, YZ, HC, RLG, CKC), pp. 574–579.
DATEDATE-2006-AngioliniMCBR #layout
Contrasting a NoC and a traditional interconnect fabric with layout awareness (FA, PM, SC, LB, LR), pp. 124–129.
DATEDATE-2006-Benini #design
Application specific NoC design (LB), pp. 491–495.
DATEDATE-2006-HosseinabadyBBN #concurrent #testing
A concurrent testing method for NoC switches (MH, AB, MNB, ZN), pp. 1171–1176.
DATEDATE-2006-ManolacheEP #communication #optimisation #synthesis
Buffer space optimisation with communication synthesis and traffic shaping for NoCs (SM, PE, ZP), pp. 718–723.
ITiCSEITiCSE-2006-Bibby #exclamation #feedback
Getting feedback: no pressure! (PB), p. 346.
PLDIPLDI-2006-ChenLKI #energy #scalability
Reducing NoC energy consumption through compiler-directed channel voltage scaling (GC, FL, MTK, MJI), pp. 193–203.
ICPRICPR-v2-2006-HuD #classification #multi #theorem
A “No Panacea Theorem” for Multiple Classifier Combination (RH, RID), pp. 1250–1253.
DACDAC-2005-ManolacheEP #communication #energy #fault #latency
Fault and energy-aware communication mapping with guaranteed latency for applications implemented on NoC (SM, PE, ZP), pp. 266–269.
DATEDATE-2005-MarconCMSRH #energy
Exploring NoC Mapping Strategies: An Energy and Timing Aware Technique (CAMM, NLVC, FGM, AAS, IMR, FH), pp. 502–507.
DATEDATE-2005-OgrasM #approach #architecture #communication #composition #energy #synthesis #using
Energy- and Performance-Driven NoC Communication Architecture Synthesis Using a Decomposition Approach (ÜYO, RM), pp. 352–357.
DATEDATE-2005-VanderperrenD #approach #complexity #design #uml
UML 2 and SysML: An Approach to Deal with Complexity in SoC/NoC Design (YV, WD), pp. 716–717.
CHICHI-2005-MarkGH
No task left behind?: examining the nature of fragmented work (GM, VMG, JH), pp. 321–330.
MODELSMoDELS-2005-Tolvanen #modelling
Keynote Address II: Domain-Specific Modeling: No One Size Fits All (JPT), p. 279.
MODELSMoDELS-2005-Tolvanen #modelling
Keynote Address II: Domain-Specific Modeling: No One Size Fits All (JPT), p. 279.
SACSAC-2005-FradkinK #classification #learning
Methods for learning classifier combinations: no clear winner (DF, PBK), pp. 1038–1043.
DACDAC-2004-MuraliM #automation #generative #named
SUNMAP: a tool for automatic topology selection and generation for NoCs (SM, GDM), pp. 914–919.
DATEDATE-v2-2004-MuraliM #architecture
Bandwidth-Constrained Mapping of Cores onto NoC Architectures (SM, GDM), pp. 896–903.
DATEDATE-2005-MelloMCM04 #multi #named #network
MultiNoC: A Multiprocessing System Enabled by a Network on Chip (AM, LM, NC, FGM), pp. 234–239.
KRKR-2004-LakemeyerL #exclamation
Situations, Si! Situation Terms, No! (GL, HJL), pp. 516–526.
SIGIRSIGIR-2004-SandersonJ
Forming test collections with no system pooling (MS, HJ), pp. 33–40.
OSDIOSDI-2004-WalfishSKBMS #harmful
Middleboxes No Longer Considered Harmful (MW, JS, MNK, HB, RM, SS), pp. 215–230.
DATEDATE-2003-HuM #architecture #energy #flexibility #performance
Exploiting the Routing Flexibility for Energy/Performance Aware Mapping of Regular NoC Architectures (JH, RM), pp. 10688–10693.
DLTDLT-2003-HemaspaandraMT
Computation with Absolutely No Space Overhead (LAH, PM, TT), pp. 325–336.
CAiSECAiSE-2003-GeneroP #complexity #diagrams #metric #uml
No-redundant Metrics for UML Class Diagram Structural Complexity (MEM, MG, MP), pp. 127–142.
KDDKDD-2003-LawrenceHC #modelling #predict
Passenger-based predictive modeling of airline no-show rates (RDL, SJH, JC), pp. 397–406.
HPCAHPCA-2003-MemikRM
Just Say No: Benefits of Early Cache Miss Determinatio (GM, GR, WHMS), pp. 307–316.
ASEASE-2002-GueheneucDJ #dynamic analysis #java #source code
No Java without Caffeine: A Tool for Dynamic Analysis of Java Programs (YGG, RD, NJ), p. 117–?.
CHICHI-2001-DohertyCBB #interface #performance
Improving the performance of the cyberlink mental interface with “yes / no program” (EPD, GC, CB, DB), pp. 69–76.
ICMLICML-2001-JafariGGE #equilibrium #game studies #learning #nash #on the
On No-Regret Learning, Fictitious Play, and Nash Equilibrium (AJ, AG, DG, GE), pp. 226–233.
RERE-2001-Greenspan #question #requirements #what
Extreme RE: What If There Is No Time for Requirements Engineering? (SJG), pp. 282–285.
ICSEICSE-2001-ShepardK #how
How to Do Inspections When There is No Time (TS, DK), pp. 718–719.
IJCARIJCAR-2001-AngerKL #logic programming #reasoning #semantics #set #source code
NoMoRe : A System for Non-monotonic Reasoning with Logic Programs under Answer Set Semantics (CA, KK, TL), pp. 325–330.
ICPRICPR-v4-2000-HoN
OCR with No Shape Training (TKH, GN), pp. 4027–4030.
HTHT-1999-KreutzES
No Longer Lost in WWW-Based Hyperspaces (RK, BE, KS), pp. 133–134.
VLDBVLDB-1999-Pound
In Cyber Space No One can Hear You Scream (CP), pp. 207–210.
FoSSaCSFoSSaCS-1999-BodeiDNN #process #static analysis
Static Analysis of Processes for No and Read-Up and No Write-Down (CB, PD, FN, HRN), pp. 120–134.
SACSAC-1999-LuR #functional #information management #knowledge base #named #principle
KAT: A Knowledge Acquisition Tool for Acquiring Functional Knowledge Based Upon the No-Causality-In-Functional Principle (CL, DJR), pp. 8–13.
SIGMODSIGMOD-1998-Adelberg #automation #documentation #named
NoDoSE — A Tool for Semi-Automatically Extracting Semi-Structured Data from Text Documents (BA), pp. 283–294.
CHICHI-1997-ScholtzSLN #design #named
Design: No Job too Small (JS, TS, PL, JN), pp. 447–454.
ICALPICALP-1996-Miltersen #bound #multi
Lower Bounds for Static Dictionaries on RAMs with Bit Operations But No Multiplication (PBM), pp. 442–453.
HPCAHPCA-1996-Libeskind-HadasWH #fault tolerance #multi
Fault-Tolerant Multicast Routing in the Mesh with No Virtual Channels (RLH, KW, TH), pp. 180–190.
PODSPODS-1995-KeidarD #commit
Increasing the Resilience of Atomic Commit at No Additional Cost (IK, DD), pp. 245–254.
TACASTACAS-1995-KnoopSV #analysis #exclamation #explosion #for free #parallel
Parallelism for Free: Bitvector Analyses -> No State Explosion! (JK, BS, JV), pp. 264–289.
HTHT-ECHT-1994-KaplanM #design #ontology
Where No Mind Has Gone Before: Ontological Design for Virtual Spaces (NK, SM), pp. 206–216.
KDDKDD-1994-Siebes #database #scalability
Homogeneous Discoveries Contain No Surprises: Inferring Risk Profiles from Large Databases (AS), pp. 97–108.
CADECADE-1994-NieuwenhuisR #constraints
AC-Superposition with Constraints: No AC-Unifiers Needed (RN, AR), pp. 545–559.
SEKESEKE-1993-Antoniou #information management #specification
Engineering Issues for No monotonic Knowledge: Structuring, Specification, Modules (GA), pp. 183–190.
HPDCHPDC-1992-GrimshawWP #biology #case study #experience
No Pain and Gain — Experiences with Mentat on a Biological Application (ASG, EAW, WRP), pp. 57–66.
ISMMIWMM-1992-Puaut #distributed #garbage collection
Distributed Garbage Collection of Active Objects with No Global Synchronisation (IP), pp. 148–164.
LICSLICS-1992-Seth #axiom #recursion
There is No Recursive Axiomatization for Feasible Functionals of Type~2 (AS), pp. 286–295.
POPLPOPL-1991-CaiP #array #quote
“Look Ma, No Hashing, And No Arrays Neither” (JC, RP), pp. 143–154.
RTARTA-1991-Socher-Ambrosius #algebra #convergence #term rewriting
Boolean Algebra Admits No Convergent Term Rewriting System (RSA), pp. 264–274.
ICALPICALP-1990-Malecki #polymorphism
Generic Terms Having No Polymorphic Types (SM), pp. 46–59.
POPLPOPL-1987-BernsteinJR #parallel #scheduling
Scheduling Arithmetic and Load Operations in Parallel with No Spilling (DB, JMJ, MR), pp. 263–273.
POPLPOPL-1985-Lamport #concurrent #specification #what #why
What It Means for a Concurrent Program to Satisfy a Specification: Why No One Has Specified Priority (LL), pp. 78–83.
ICSEICSE-1982-BuyanskyS
No 1A ESS Laboratory Support System — Erasable Flag Facility (DVB, JWS), pp. 279–286.
STOCSTOC-1980-Tompa80a #algorithm #implementation #polynomial #sublinear #transitive
Two Familiar Transitive Closure Algorithms which Admit No Polynomial Time, Sublinear Space Implementations (MT), pp. 333–338.

Bibliography of Software Language Engineering in Generated Hypertext (BibSLEIGH) is created and maintained by Dr. Vadim Zaytsev.
Hosted as a part of SLEBOK on GitHub.