BibSLEIGH
BibSLEIGH corpus
BibSLEIGH tags
BibSLEIGH bundles
BibSLEIGH people
CC-BY
Open Knowledge
XHTML 1.0 W3C Rec
CSS 2.1 W3C CanRec
email twitter
Used together with:
network (83)
algorithm (83)
base (62)
use (48)
problem (40)

Stem rout$ (all stems)

626 papers:

CASECASE-2015-ScottiPQC #composition #design
Modular and systematic design of supervisory control system integrating PLC, SCADA and task routing for a modular production system (WAFS, NBP, MHdQ, JERC), pp. 807–812.
DACDAC-2015-ChenC #architecture
Routing-architecture-aware analytical placement for heterogeneous FPGAs (SYC, YWC), p. 6.
DACDAC-2015-DingCM #self
Detailed routing for spacer-is-metal type self-aligned double/quadruple patterning lithography (YD, CCNC, WKM), p. 6.
DACDAC-2015-SuC #complexity
Nanowire-aware routing considering high cut mask complexity (YHS, YWC), p. 6.
DACDAC-2015-XuYGHP #named #self
PARR: pin access planning and regular routing for self-aligned double patterning (XX, BY, JRG, CLH, DZP), p. 6.
DACDAC-2015-YaoHC #constraints #named
PACOR: practical control-layer routing flow with length-matching constraint for flow-based microfluidic biochips (HY, TYH, YC), p. 6.
DATEDATE-2015-BalboniFB #configuration management #distributed #latency #multi #network #scalability
Synergistic use of multiple on-chip networks for ultra-low latency and scalable distributed routing reconfiguration (MB, JF, DB), pp. 806–811.
DATEDATE-2015-BishnoiLGF #2d #named
d2-LBDR: distance-driven routing to handle permanent failures in 2D mesh NOCs (RB, VL, MSG, JF), pp. 800–805.
DATEDATE-2015-ChungSS #identification
Identifying redundant inter-cell margins and its application to reducing routing congestion (WC, SS, YS), pp. 1659–1664.
DATEDATE-2015-LiuLY #approach #effectiveness
An effective triple patterning aware grid-based detailed routing approach (ZL, CL, EFYY), pp. 1641–1646.
DATEDATE-2015-LourencoMH #using
Layout-aware sizing of analog ICs using floorplan & routing estimates for parasitic extraction (NCL, RM, NH), pp. 1156–1161.
SIGMODSIGMOD-2015-WangLYXZ #approach #network #performance
Efficient Route Planning on Public Transportation Networks: A Labelling Approach (SW, WL, YY, XX, SZ), pp. 967–982.
FoSSaCSFoSSaCS-2015-HoO #problem
The Cyclic-Routing UAV Problem is PSPACE-Complete (HMH, JO), pp. 328–342.
SEFMSEFM-2015-KamaliHKP #analysis #distributed #formal method
Formal Analysis of Proactive, Distributed Routing (MK, PH, MK, LP), pp. 175–189.
CHICHI-2015-KosmallaDK #automation #metric #named #recognition #using
ClimbSense: Automatic Climbing Route Recognition using Wrist-worn Inertia Measurement Units (FK, FD, AK), pp. 2033–2042.
HCIHIMI-IKD-2015-BelyiPRM #multi
A Multi-agent Based System for Route Planning (EB, IP, AR, VM), pp. 500–512.
ICEISICEIS-v1-2015-LongoLSP #maintenance #optimisation
Optimizing Routine Maintenance Team Routes (FL, ARL, MS, AP), pp. 535–546.
KDDKDD-2015-HolleczekAYJAGL #agile #metric #recommendation
Traffic Measurement and Route Recommendation System for Mass Rapid Transit (MRT) (TH, DTA, SY, YJ, SA, HLG, SL, ASN), pp. 1859–1868.
KDDKDD-2015-QianCMSL #named #recommendation
SCRAM: A Sharing Considered Route Assignment Mechanism for Fair Taxi Route Recommendations (SQ, JC, FLM, IS, ML), pp. 955–964.
SIGIRSIGIR-2015-Bagdouri
Cross-Platform Question Routing for Better Question Answering (MB), p. 1053.
SACSAC-2015-HuangSZSXD #privacy #pseudo
A source-location privacy protection strategy via pseudo normal distribution-based phantom routing in WSNs (JH, MS, SZ, YS, CCX, QD), pp. 688–694.
CAVCAV-2015-ErezN #automation #bound #graph #smt #using
Finding Bounded Path in Graph Using SMT for Automatic Clock Routing (AE, AN), pp. 20–36.
CASECASE-2014-LiLT #petri net #probability #process #scheduling
A color petri net based scheduling model for remanufacturing system with stochastic process routing (LL, CL, YT), pp. 474–479.
CASECASE-2014-YuanLJ #health #probability #problem #scheduling
Home health care crew scheduling and routing problem with stochastic service times (BY, RL, ZJ), pp. 564–569.
DACDAC-2014-HeldS #optimisation
Post-Routing Latch Optimization for Timing Closure (SH, US), p. 6.
DACDAC-2014-LinHL
Parasitic-aware Sizing and Detailed Routing for Binary-weighted Capacitors in Charge-scaling DAC (MPHL, VWHH, CYL), p. 6.
DACDAC-2014-LinLCLH #algorithm #performance
An Efficient Bi-criteria Flow Channel Routing Algorithm For Flow-based Microfluidic Biochips (CXL, CHL, ICC, DTL, TYH), p. 6.
DACDAC-2014-LinRGDS #algorithm #performance
An Efficient Wire Routing and Wire Sizing Algorithm for Weight Minimization of Automotive Systems (CWL, LR, PG, JD, ALSV), p. 6.
DACDAC-2014-LiuFC #process #self #using
Overlay-Aware Detailed Routing for Self-Aligned Double Patterning Lithography Using the Cut Process (IJL, SYF, YWC), p. 6.
DACDAC-2014-MoctarB #parallel
Parallel FPGA Routing based on the Operator Formulation (YOMM, PB), p. 6.
DACDAC-2014-ParikhDB #configuration management #power management
Power-Aware NoCs through Routing and Topology Reconfiguration (RP, RD, VB), p. 6.
DACDAC-2014-RenMRZ #fault tolerance #network #using
Fault-tolerant Routing for On-chip Network Without Using Virtual Channels (PR, QM, XR, NZ), p. 6.
DACDAC-2014-WangSYHC #functional
Practical Functional and Washing Droplet Routing for Cross-Contamination Avoidance in Digital Microfluidic Biochips (QW, YS, HY, TYH, YC), p. 6.
DATEDATE-2014-BahrebarS #approach #network
Improving hamiltonian-based routing methods for on-chip networks: A turn model approach (PB, DS), pp. 1–4.
DATEDATE-2014-ChienPWWLWW #cost analysis
Mask-cost-aware ECO routing∗ (HAC, ZYP, YRW, THW, HCL, CFW, TCW), pp. 1–4.
DATEDATE-2014-LeeLC
Design-for-debug routing for FIB probing (CYL, THL, TCC), pp. 1–4.
DATEDATE-2014-LeePB #configuration management
Brisk and limited-impact NoC routing reconfiguration (DL, RP, VB), pp. 1–6.
DATEDATE-2014-SilvaLCH #multi
Electromigration-aware and IR-Drop avoidance routing in analog multiport terminal structures (RMAeS, NCL, AC, NH), pp. 1–6.
DATEDATE-2014-WettinMKYPH #evaluation #network #performance
Performance evaluation of wireless NoCs in presence of irregular network routing strategies (PW, JM, RK, XY, PPP, DHH), pp. 1–6.
HTHT-2014-QuerciaSA #recommendation
The shortest path to happiness: recommending beautiful, quiet, and happy routes in the city (DQ, RS, LMA), pp. 116–125.
VLDBVLDB-2014-WangLHCSWLT #named #realtime #recommendation
R3: A Real-Time Route Recommendation System (HW, GL, HH, SC, BS, HW, WSL, KLT), pp. 1549–1552.
STOCSTOC-2014-FriggstadS #algorithm #approximate #bound
Approximation algorithms for regret-bounded vehicle routing and applications to distance-constrained vehicle routing (ZF, CS), pp. 744–753.
STOCSTOC-2014-KrishnaswamyNPS #approximate #clustering #design #energy #network #performance
Cluster before you hallucinate: approximating node-capacitated network design and energy efficient routing (RK, VN, KP, CS), pp. 734–743.
ICALPICALP-v1-2014-BhattacharyaKM #coordination
Coordination Mechanisms for Selfish Routing over Time on a Tree (SB, JK, VSM), pp. 186–197.
ICALPICALP-v1-2014-GavinskyL #reduction
En Route to the Log-Rank Conjecture: New Reductions and Equivalent Formulations (DG, SL), pp. 514–524.
CHICHI-2014-PrasadTGH #interface #named
HaptiMoto: turn-by-turn haptic route guidance interface for motorcyclists (MP, PT, DWG, TAH), pp. 3597–3606.
CSCWCSCW-2014-Oeldorf-HirschHMTG #network #social
To search or to ask: the routing of information needs between traditional search engines and social networks (AOH, BH, MRM, JT, DG), pp. 16–27.
HCIDUXU-ELAS-2014-VilarDRNV #artificial reality #case study #using
A Pilot Study Using Virtual Reality to Investigate the Effects of Emergency Egress Signs Competing with Environmental Variables on Route Choices (EV, ED, FR, PN, EV), pp. 369–377.
ICEISICEIS-v1-2014-FreireCSS #process #query #semantics
Preserving the Original Query Semantics in Routing Processes (CF, NC, DS, ACS), pp. 70–80.
ICEISICEIS-v1-2014-TitoRSFTS #information management #named #recommendation
RecRoute — A Bus Route Recommendation System Based on Users’ Contextual Information (AdOT, ARRR, LMdS, LAVF, PRT, ACS), pp. 357–366.
ICEISICEIS-v2-2014-SilvaTDO #process
Combining the Spray Technique with Routes to Improve the Routing Process in VANETS (MJdS, FAT, SED, RARO), pp. 583–590.
CIKMCIKM-2014-HsiehL #mining
Mining and Planning Time-aware Routes from Check-in Data (HPH, CTL), pp. 481–490.
ICMLICML-c2-2014-KricheneDB #convergence #learning #on the
On the convergence of no-regret learning in selfish routing (WK, BD, AMB), pp. 163–171.
SACSAC-2014-ChangTK #3d
A traffic-balanced routing scheme for heat balance in 3D networks-on-chip (WCC, HWT, CFK), pp. 1437–1442.
SACSAC-2014-KinaiBWMWS #framework
Traffic 411: a traffic congestion routing and awareness platform for Nairobi (AK, REB, AWB, EM, KW, OS), pp. 1475–1476.
HPCAHPCA-2014-AnsariMXT #energy #named #network
Tangle: Route-oriented dynamic voltage minimization for variation-afflicted, energy-efficient on-chip networks (AA, AKM, JX, JT), pp. 440–451.
HPDCHPDC-2014-PrisacariRHCMH #nearest neighbour #network #performance
Efficient task placement and routing of nearest neighbor exchanges in dragonfly networks (BP, GR, PH, DC, CM, TH), pp. 129–140.
CASECASE-2013-SilvaCTS #automation #linear #optimisation #programming
A mixed-integer linear programming model for automatic routing decisions in oil production optimization (TLS, EC, AFT, SS), pp. 280–285.
DACDAC-2013-Du0SSLMW #self
Spacer-is-dielectric-compliant detailed routing for self-aligned double patterning lithography (YD, QM, HS, JS, GLP, AM, MDFW), p. 6.
DACDAC-2013-FangLC #multi
Stitch-aware routing for multiple e-beam lithography (SYF, IJL, YWC), p. 6.
DACDAC-2013-HoOCT #array
Coupling-aware length-ratio-matching routing for capacitor arrays in analog integrated circuits (KHH, HCO, YWC, HFT), p. 6.
DACDAC-2013-HuKM #complexity #coordination
Taming the complexity of coordinated place and route (JH, MCK, ILM), p. 7.
DACDAC-2013-KahngKL #reduction
Smart non-default routing for clock power reduction (ABK, SK, HL), p. 7.
DACDAC-2013-LiuWSALLV #constraints #design #estimation
Routing congestion estimation with real design constraints (WHL, YW, CCNS, CJA, ZL, YLL, NV), p. 8.
DACDAC-2013-OuCC
Simultaneous analog placement and routing with current flow and current density considerations (HCO, HCCC, YWC), p. 6.
DATEDATE-2013-AncajasCR #approach
Proactive aging management in heterogeneous NoCs through a criticality-driven routing approach (DMA, KC, SR), pp. 1032–1037.
DATEDATE-2013-EbrahimiDP #3d #algorithm #fault tolerance #using
Fault-tolerant routing algorithm for 3D NoC using Hamiltonian path strategy (ME, MD, JP), pp. 1601–1604.
DATEDATE-2013-RaminiGBB #3d #analysis #manycore #power management #using
Contrasting wavelength-routed optical NoC topologies for power-efficient 3D-stacked multicore processors using physical-layer analysis (LR, PG, SB, DB), pp. 1589–1594.
DATEDATE-2013-WachterEAM #fault tolerance
Topology-agnostic fault-tolerant NoC routing method (EW, AE, AMA, FM), pp. 1595–1600.
STOCSTOC-2013-HarrisS #constraints
Constraint satisfaction, packet routing, and the lovasz local lemma (DGH, AS), pp. 685–694.
STOCSTOC-2013-LenzenP #performance #using
Fast routing table construction using small messages: extended abstract (CL, BPS), pp. 381–390.
CSCWCSCW-2013-AliSN #sequence
Photographer paths: sequence alignment of geotagged photos for exploration-based route planning (AEA, SNAvS, FN), pp. 985–994.
HCIHIMI-HSM-2013-ParkRSOKAVSM #assessment #development
Development of Haptic Assistance for Route Assessment Tool of NASA NextGen Cockpit Situation Display (EP, JR, PS, RO, MTK, GBA, KPLV, TZS, PM), pp. 163–172.
ICEISICEIS-v1-2013-IsmailHQDE #clustering #evaluation #query #simulation #using
Clustering using Hypergraph for P2P Query Routing — Simulation and Evaluation (AI, MH, MQ, ND, MES), pp. 247–254.
KDDKDD-2013-LiuYK #adaptation #modelling #process #using
Adaptive collective routing using gaussian process dynamic congestion models (SL, YY, RK), pp. 704–712.
SACSAC-2013-HoangLCMC #network #protocol
A backward-compatible protocol for inter-routing over heterogeneous overlay networks (GNH, LL, VC, PM, HNC), pp. 649–651.
CASECASE-2012-KlotzSSFTS #on the #verification
On the formal verification of routing in material handling systems (TK, NS, BS, EF, KT, JS), pp. 8–13.
CASECASE-2012-YalcindagMS #health #problem
Operator assignment and routing problems in home health care services (SY, AM, ES), pp. 329–334.
DACDAC-2012-0002ZW #comparison
Triple patterning aware routing and its comparison with double patterning aware routing in 14nm technology (QM, HZ, MDFW), pp. 591–596.
DACDAC-2012-BhardwajCR #adaptation #algorithm #towards
Towards graceful aging degradation in NoCs through an adaptive routing algorithm (KB, KC, SR), pp. 382–391.
DACDAC-2012-Gester0NPSV #algorithm #data type #performance
Algorithms and data structures for fast and good VLSI routing (MG, DM, TN, CP, CS, JV), pp. 459–464.
DACDAC-2012-LeeLHCCLS #design
Obstacle-avoiding free-assignment routing for flip-chip designs (PWL, HCL, YKH, YWC, CFC, IJL, CFS), pp. 1088–1093.
DACDAC-2012-LiANSVZ #design #physics #predict
Guiding a physical design closure system to produce easier-to-route designs with more predictable timing (ZL, CJA, GJN, CCNS, NV, NYZ), pp. 465–470.
DACDAC-2012-OuCC #constraints #multi
Non-uniform multilevel analog routing with matching constraints (HCO, HCCC, YWC), pp. 549–554.
DACDAC-2012-RyzhenkoB #satisfiability #standard
Standard cell routing via boolean satisfiability (NR, SB), pp. 603–612.
DACDAC-2012-ShojaeiDR #integer #programming
Confidentiality preserving integer programming for global routing (HS, AD, PR), pp. 709–716.
DACDAC-2012-Suto #design #using
Rule agnostic routing by using design fabrics (GS), pp. 471–475.
DACDAC-2012-ZhangC #named
GDRouter: interleaved global routing and detailed routing for ultimate routability (YZ, CC), pp. 597–602.
DATEDATE-2012-AbedW #online
Double-patterning friendly grid-based detailed routing with online conflict resolution (ISA, AGW), pp. 1475–1478.
DATEDATE-2012-AkbariSFB #3d #low cost #named #performance #reliability
AFRA: A low cost high performance reliable routing for 3D mesh NoCs (SA, AS, MF, RB), pp. 332–337.
DATEDATE-2012-BhardwajCR #algorithm
An MILP-based aging-aware routing algorithm for NoCs (KB, KC, SR), pp. 326–331.
DATEDATE-2012-ChenLPCPWHWM #design
Nano-Electro-Mechanical relays for FPGA routing: Experimental demonstration and a design technique (CC, WSL, RP, SC, JP, JW, RTH, HSPW, SM), pp. 1361–1366.
DATEDATE-2012-EbrahimiDLPT #algorithm #network
CATRA- congestion aware trapezoid-based routing algorithm for on-chip networks (ME, MD, PL, JP, HT), pp. 320–325.
DATEDATE-2012-HsuCCLC #effectiveness #on the #pseudo
On effective flip-chip routing via pseudo single redistribution layer (HWH, MLC, HMC, HCL, SHC), pp. 1597–1602.
DATEDATE-2012-HuangHLLLG #power management
Off-path leakage power aware routing for SRAM-based FPGAs (KH, YH, XL, BL, HL, JG), pp. 87–92.
DATEDATE-2012-TsaiLL #analysis #configuration management #design
Design and analysis of via-configurable routing fabrics for structured ASICs (HPT, RBL, LCL), pp. 1479–1482.
VLDBVLDB-2012-CaoCCX #keyword
Keyword-aware Optimal Route Search (XC, LC, GC, XX), pp. 1136–1147.
STOCSTOC-2012-Chuzhoy12a #constant #graph
Routing in undirected graphs with constant congestion (JC), pp. 855–874.
ICALPICALP-v1-2012-GortzNS #probability
Stochastic Vehicle Routing with Recourse (ILG, VN, RS), pp. 411–423.
ICALPICALP-v2-2012-KosowskiLNS #graph
k-Chordal Graphs: From Cops and Robber to Compact Routing via Treewidth (AK, BL, NN, KS), pp. 610–622.
CSCWCSCW-2012-PriedhorskyPST #algorithm #evaluation #personalisation #recommendation
Recommending routes in the context of bicycling: algorithms, evaluation, and the value of personalization (RP, DP, SS, LGT), pp. 979–988.
CIKMCIKM-2012-ZhouLZ #community #learning #quality
Joint relevance and answer quality learning for question routing in community QA (GZ, KL, JZ), pp. 1492–1496.
ICPRICPR-2012-ErYAK #analysis #modelling
Traffic accident risk analysis based on relation of Common Route Models (UE, SY, OA, MEK), pp. 2561–2564.
KDDKDD-2012-WeiZP #nondeterminism
Constructing popular routes from uncertain trajectories (LYW, YZ, WCP), pp. 195–203.
SACSAC-2012-HuH #network
A density-aware routing scheme in delay tolerant networks (CLH, BJH), pp. 563–568.
SACSAC-2012-ItaniGBKC
BGP-inspired autonomic service routing for the cloud (WI, CG, RB, AIK, AC), pp. 406–411.
SACSAC-2012-MahdianGTM #smarttech #using
Location based routing using smartphones in an infrastructureless environment (AM, HG, ST, SM), pp. 594–599.
SACSAC-2012-SalehSRDBB #design #distributed #problem
Mechanism design for decentralized vehicle routing problem (MS, AS, SR, MD, JB, AB), pp. 749–754.
HPCAHPCA-2012-MaJW12a #adaptation #algorithm #design #performance
Whole packet forwarding: Efficient design of fully adaptive routing algorithms for networks-on-chip (SM, NDEJ, ZW), pp. 467–478.
CASECASE-2011-LiTL #process
A GERT-based analytical method for remanufacturing process routing (CL, YT, CL), pp. 462–467.
CASECASE-2011-StumpM #multi #persistent #problem
Multi-robot persistent surveillance planning as a Vehicle Routing Problem (ES, NM), pp. 569–575.
DACDAC-2011-MaYW #algorithm
An optimal algorithm for layer assignment of bus escape routing on PCBs (QM, EFYY, MDFW), pp. 176–181.
DACDAC-2011-Nieberg
Gridless pin access in detailed routing (TN), pp. 170–175.
DACDAC-2011-SharifiK #multi #process
Process variation-aware routing in NoC based multicores (AS, MTK), pp. 924–929.
DACDAC-2011-ZhuDC #architecture #cpu #gpu #named
Hermes: an integrated CPU/GPU microarchitecture for IP routing (YZ, YD, YC), pp. 1044–1049.
DATEDATE-2011-ChaixAZN #adaptation #concurrent #fault tolerance
A fault-tolerant deadlock-free adaptive routing for on chip interconnects (FC, DA, NEZ, MN), pp. 909–912.
DATEDATE-2011-HuangHL #fault
Cross-layer optimized placement and routing for FPGA soft error mitigation (KH, YH, XL), pp. 58–63.
DATEDATE-2011-LuHCT #bound
Steiner tree based rotary clock routing with bounded skew and capacitive load balancing (JL, VH, XC, BT), pp. 455–460.
DATEDATE-2011-TsaiLCKCK #bound #on the
On routing fixed escaped boundary pins for high speed boards (TYT, RJL, CYC, CYK, HMC, YK), pp. 461–466.
DATEDATE-2011-WuDL #multi
Power-driven global routing for multi-supply voltage domains (THW, AD, JTL), pp. 443–448.
ICALPICALP-v2-2011-Chechik #fault tolerance #graph
Fault-Tolerant Compact Routing Schemes for General Graphs (SC), pp. 101–112.
ICALPICALP-v2-2011-Huang #game studies
Collusion in Atomic Splittable Routing Games (CCH), pp. 564–575.
HCIHCI-MIIE-2011-AkahoNYKKN #artificial reality #case study #evaluation #navigation
A Study and Evaluation on Route Guidance of a Car Navigation System Based on Augmented Reality (KA, TN, YY, KK, HK, SN), pp. 357–366.
HCIHCI-MIIE-2011-PlocherJC #using
Using Sound Patterns to Enhance Directional Sound for Emergency Route Guidance (TP, ZJJ, FYDC), pp. 329–337.
HCIHCI-MIIE-2011-WangZYZSP #what
Believe What You Hear, Not What You See — Vision Interferes with Auditory Route Guidance in Complex Environment (YW, HZ, LY, KZ, XS, TP), pp. 346–354.
HCIHIMI-v1-2011-PavelJ #approach #authentication #design #logic #protocol
Routing Functionality in the Logic Approach for Authentication Protocol Design (OP, HJ), pp. 366–373.
ICEISICEIS-v1-2011-LuoS #network #novel
A Novel Service Routing Method in a Service-oriented Network (XL, JS), pp. 560–563.
ICEISICEIS-v2-2011-ZhangZ #algorithm #optimisation #research
Research on the Route Optimization of Book Distribution based on the Tabu Search Algorithm (PZ, ZZ), pp. 125–130.
ICEISICEIS-v4-2011-ShailaVPTVP #named #network
KCSR: Keymatches Constrained Secure Routing in Heterogeneous Wireless Sensor Networks (KS, GHV, CRP, VT, KRV, LMP), pp. 13–22.
ICEISICEIS-v4-2011-YangJZH #multi #problem
Vehicle Routing Problem with Multi-depot and Multi-task (HY, LJ, YZ, MH), pp. 650–655.
CIKMCIKM-2011-LiKL #community
Question routing in community question answering: putting category in its place (BL, IK, MRL), pp. 2041–2044.
SIGIRSIGIR-2011-LawBH
The effects of choice in routing relevance judgments (EL, PNB, EH), pp. 1127–1128.
SACSAC-2011-BaoCCC #network #trust
Hierarchical trust management for wireless sensor networks and its application to trust-based routing (FB, IRC, MC, JHC), pp. 1732–1738.
SACSAC-2011-KalendarJTD #architecture #novel
Novel processor architecture for modified advanced routing in NGN (MK, DJ, AT, GD), pp. 486–491.
CASECASE-2010-HuZLW #assembly #automation #concurrent #flexibility
Deadlock-free control of ratio-enforced automated manufacturing systems with flexible routes and assembly operations (HH, MZ, ZL, NW), pp. 459–464.
CASECASE-2010-TaoW #3d #algorithm #constraints #heuristic #problem
A new packing heuristic based algorithm for Vehicle Routing Problem with Three-dimensional Loading constraints (YT, FW), pp. 972–977.
DACDAC-2010-CongLR #concurrent #named
ACES: application-specific cycle elimination and splitting for deadlock-free routing on irregular network-on-chip (JC, CL, GR), pp. 443–448.
DACDAC-2010-KongMYW #algorithm
An optimal algorithm for finding disjoint rectangles and its application to PCB routing (HK, QM, TY, MDFW), pp. 212–217.
DACDAC-2010-LinL #graph
Double patterning lithography aware gridless detailed routing with innovative conflict graph (YHL, YLL), pp. 398–403.
DACDAC-2010-LiuKLC #bound #concurrent #multi #thread
Multi-threaded collision-aware global routing with bounded-length maze routing (WHL, WCK, YLL, KYC), pp. 200–205.
DACDAC-2010-LiuZYCSZ #design
Global routing and track assignment for flip-chip designs (XL, YZ, GKY, CC, JS, XZ), pp. 90–93.
DACDAC-2010-WuDL #approach #integer #parallel #programming
A parallel integer programming approach to global routing (THW, AD, JTL), pp. 194–199.
DACDAC-2010-YanC
Two-sided single-detour untangling for bus routing (JTY, ZWC), pp. 206–211.
DACDAC-2010-ZhaoC
Synchronization of washing operations with droplet routing for cross-contamination avoidance in digital microfluidic biochips (YZ, KC), pp. 635–640.
DATEDATE-2010-GaoM #lazy evaluation
Enhancing double-patterning detailed routing with lazy coloring and within-path conflict avoidance (XG, LM), pp. 1279–1284.
DATEDATE-2010-MuZZLDZ
IP routing processing with graphic processors (SM, XZ, NZ, JL, YSD, SZ), pp. 93–98.
SIGMODSIGMOD-2010-GrafKRS #named
PAROS: pareto optimal route selection (FG, HPK, MR, MS), pp. 1199–1202.
VLDBVLDB-2010-LevinKSS #constraints #interactive #order
Interactive Route Search in the Presence of Order Constraints (RL, YK, ES, YS), pp. 117–128.
ICALPICALP-v2-2010-BunnO #network
Asynchronous Throughput-Optimal Routing in Malicious Networks (PB, RO), pp. 236–248.
ICALPICALP-v2-2010-EvenM #algorithm #online #random
An O(logn)-Competitive Online Centralized Randomized Packet-Routing Algorithm for Lines (GE, MM), pp. 139–150.
SEFMSEFM-2010-BenettiMV #ad hoc #model checking #network #protocol
Model Checking Ad Hoc Network Routing Protocols: ARAN vs. endairA (DB, MM, LV), pp. 191–202.
CIKMCIKM-2010-DoulkeridisVNKV #multi #on the
On the selectivity of multidimensional routing indices (CD, AV, KN, YK, MV), pp. 109–118.
CIKMCIKM-2010-KurashimaIIF #recommendation #using
Travel route recommendation using geotags in photo sharing sites (TK, TI, GI, KF), pp. 579–588.
CIKMCIKM-2010-LiK #community
Routing questions to appropriate answerers in community question answering services (BL, IK), pp. 1585–1588.
SEKESEKE-2010-Bouabana-TebibelGM #ad hoc #protocol
Secure ad-hoc routing protocol (TBT, RNG, SM), pp. 771–776.
SIGIRSIGIR-2010-WhiteH #web
Assessing the scenic route: measuring the value of search trails in web logs (RWW, JH), pp. 587–594.
OOPSLAOOPSLA-2010-LiZH #named #programming
G-Finder: routing programming questions closer to the experts (WL, CZ, SH), pp. 62–73.
ASPLOSASPLOS-2010-KirmanM #power management #using
A power-efficient all-optical on-chip interconnect using wavelength-based oblivious routing (NK, JFM), pp. 15–28.
ASPLOSASPLOS-2010-PelleyMZWU
Power routing: dynamic power provisioning in the data center (SP, DM, PZ, TFW, JU), pp. 231–242.
CASECASE-2009-BiradarM #approach #multi #reliability
Reliable ring based multicast routing scheme in MANET: An agent based approach (RCB, SSM), pp. 507–512.
DACDAC-2009-DingZHCP #framework #integration #named #power management
O-Router: an optical routing framework for low power on-chip silicon nano-photonic integration (DD, YZ, HH, RTC, DZP), pp. 264–269.
DACDAC-2009-FangWC #co-evolution #design
Flip-chip routing with unified area-I/O pad assignments for package-board co-design (JWF, MDFW, YWC), pp. 336–339.
DACDAC-2009-WuDL #3d #integer #named #programming #scalability #using
GRIP: scalable 3D global routing using integer programming (THW, AD, JTL), pp. 320–325.
DACDAC-2009-YanW #network
A correct network flow model for escape routing (TY, MDFW), pp. 332–335.
DACDAC-2009-YuanLP
Double patterning lithography friendly detailed routing with redundant via consideration (KY, KL, DZP), pp. 63–66.
DATEDATE-2009-ConcerIB #algorithm #named #network #novel
aEqualized: A novel routing algorithm for the Spidergon Network On Chip (NC, SI, LB), pp. 749–754.
DATEDATE-2009-EbrahimiDNMAPT #multi #protocol
An efficent dynamic multicast routing protocol for distributing traffic in NOCs (ME, MD, MHN, SM, AAK, JP, HT), pp. 1064–1069.
DATEDATE-2009-FickDCBSB #algorithm #fault tolerance
A highly resilient routing algorithm for fault-tolerant NoCs (DF, AD, GKC, VB, DS, DB), pp. 21–26.
DATEDATE-2009-LoiAB #configuration management #interface #network #synthesis
Synthesis of low-overhead configurable source routing tables for network interfaces (IL, FA, LB), pp. 262–267.
DATEDATE-2009-LongLFDY #adaptation #clustering #energy #network
Energy-efficient spatially-adaptive clustering and routing in wireless sensor networks (HL, YL, XF, RPD, HY), pp. 1267–1272.
DATEDATE-2009-SauvageGDMN #constraints
Successful attack on an FPGA-based WDDL DES cryptoprocessor without place and route constraints (LS, SG, JLD, YM, MN), pp. 640–645.
DATEDATE-2009-ZhaoC
Cross-contamination avoidance for droplet routing in digital microfluidic biochips (YZ, KC), pp. 1290–1295.
VLDBVLDB-2009-NehmeWRB #multi #query
Query Mesh: Multi-Route Query Processing Technology (RVN, KW, EAR, EB), pp. 1530–1533.
WRLAWRLA-2008-RiescoV09 #implementation #maude #protocol
Implementing and analyzing in Maude the Enhanced Interior Gateway Routing Protocol (AR, AV), pp. 249–266.
STOCSTOC-2009-DietzfelbingerW #bound
Tight lower bounds for greedy routing in uniform small world rings (MD, PW), pp. 591–600.
CHICHI-2009-ChungS
Going my way: a user-aware route planner (JC, CS), pp. 1899–1902.
HCIHCI-AUII-2009-QuSPW #case study #information retrieval
A Study of Information Retrieval of En Route Display of Fire Information on PDA (WQ, XS, TP, LW), pp. 86–94.
HCIHIMI-II-2009-FurutaSKAKT #analysis #collaboration #communication
Analysis of Team Communication and Collaboration in En-Route Air Traffic Control (KF, YS, TK, HA, DK, MT), pp. 758–765.
ICEISICEIS-AIDSS-2009-JuanRMJF #problem
A Simulation-based Methodology to Assist Decision-makers in Real Vehicle Routing Problems (AAJ, DR, DM, JJ, JF), pp. 212–217.
CIKMCIKM-2009-DoulkeridisVNKV #distributed #multi #performance #query
Multidimensional routing indices for efficient distributed query processing (CD, AV, KN, YK, MV), pp. 1489–1492.
ICMLICML-2009-AiolliMS #kernel
Route kernels for trees (FA, GDSM, AS), pp. 17–24.
KDDKDD-2009-PrakashVAFF #internet #named
BGP-lens: patterns and anomalies in internet routing updates (BAP, NV, DA, MF, CF), pp. 1315–1324.
SACSAC-2009-CavalliMO #approach #consistency #protocol #testing
A passive conformance testing approach for a MANET routing protocol (ARC, SM, EMdO), pp. 207–211.
SACSAC-2009-GarciaBG #estimation
Cross-layer cooperation between membership estimation and routing (JCG, SB, PG), pp. 8–15.
SACSAC-2009-KimR #multi #network #realtime
Opportunistic real-time routing in multi-hop wireless sensor networks (JK, BR), pp. 2197–2201.
SACSAC-2009-KokashCRI #flexibility #network #protocol
A flexible QoS-aware routing protocol for infrastructure-less B3G networks (NK, RSC, PGR, VI), pp. 212–216.
SACSAC-2009-NeedelsK #distributed #peer-to-peer
Secure routing in peer-to-peer distributed hash tables (KN, MK), pp. 54–58.
SACSAC-2009-OliveiraA #named #protocol
NECTAR: a DTN routing protocol based on neighborhood contact history (ECRdO, CVNdA), pp. 40–46.
ESEC-FSEESEC-FSE-2009-Schafer #concept #navigation
IQ routes and HD traffic: technology insights about tomtom’s time-dynamic navigation concept (RPS), pp. 171–172.
HPCAHPCA-2009-FidalgoVM #adaptation #multi #named #network
MRR: Enabling fully adaptive multicast routing for CMP interconnection networks (PAF, VP, JÁG), pp. 355–366.
HPDCHPDC-2009-HironakaST #concurrent #performance #using
High performance wide-area overlay using deadlock-free routing (KH, HS, KT), pp. 81–90.
SOSPSOSP-2009-DobrescuEACFIKMR #named #parallel
RouteBricks: exploiting parallelism to scale software routers (MD, NE, KJA, BGC, KRF, GI, AK, MM, SR), pp. 15–28.
CASECASE-2008-Froehlich #modelling
Mission control: Local versus global modeling of robot tasking and routing (RF), pp. 566–571.
CASECASE-2008-LiuWJ #protocol
A geographic source routing protocol for traffic sensing in urban environment (LL, ZW, WKJ), pp. 347–352.
CASECASE-2008-LiuWZ #detection #multi #network
Cooperative multipath routing and relay based on noncoherent detection in wireless sensor networks (LL, ZW, MZ), pp. 128–132.
CASECASE-2008-NishiTI #approach #automation #composition #optimisation #petri net
Petri Net decomposition approach for the simultaneous optimization of task assignment and routing with automated guided vehicles (TN, YT, MI), pp. 175–180.
CASECASE-2008-XuFWY #algorithm #multi #problem
An Or-opt NSGA-II algorithm for multi-objective Vehicle Routing Problem with Time Windows (HX, WF, TW, LY), pp. 309–314.
DACDAC-2008-ChenLC #predict
Predictive formulae for OPC with applications to lithography-friendly routing (TCC, GWL, YWC), pp. 510–515.
DACDAC-2008-LiuCJHZDH
Topological routing to maximize routability for package substrate (SL, GC, TTJ, LH, TZ, RD, XH), pp. 566–569.
DACDAC-2008-LukasiewyczGHTRL #concurrent #integration #network #optimisation
Concurrent topology and routing optimization in automotive network integration (ML, MG, CH, JT, RR, BL), pp. 626–629.
DACDAC-2008-YuhSYC #algorithm
A progressive-ILP based routing algorithm for cross-referencing biochips (PHY, SSS, CLY, YWC), pp. 284–289.
DACDAC-2008-ZhangGT #2d #algorithm #configuration management #fault tolerance
A reconfigurable routing algorithm for a fault-tolerant 2D-Mesh Network-on-Chip (ZZ, AG, ST), pp. 441–446.
DATEDATE-2008-ChenL #architecture
Wire Sizing Alternative — An Uniform Dual-rail Routing Architecture (FWC, YYL), pp. 796–799.
DATEDATE-2008-KulikowskiVWT
Power Balanced Gates Insensitive to Routing Capacitance Mismatch (KJK, VV, ZW, AT), pp. 1280–1285.
DATEDATE-2008-Lotfi-KamranDLN #protocol
BARP-A Dynamic Routing Protocol for Balanced Distribution of Traffic in NoCs (PLK, MD, CL, ZN), pp. 1408–1413.
DATEDATE-2008-VelevG #comparison #encoding #problem #satisfiability
Comparison of Boolean Satisfiability Encodings on FPGA Detailed Routing Problems (MNV, PG), pp. 1268–1273.
VLDBVLDB-2008-PhanL #enterprise #framework
A request-routing framework for SOA-based enterprise computing (TP, WSL), pp. 996–1007.
VLDBVLDB-2008-ShaoCTYA #enterprise #named #problem #recommendation
EasyTicket: a ticket routing recommendation engine for enterprise problem resolution (QS, YC, ST, XY, NA), pp. 1436–1439.
TACASTACAS-2008-SaksenaWJ #ad hoc #graph grammar #modelling #protocol #verification
Graph Grammar Modeling and Verification of Ad Hoc Routing Protocols (MS, OW, BJ), pp. 18–32.
STOCSTOC-2008-LevinSZ #game studies
Interdomain routing and games (HL, MS, AZ), pp. 57–66.
STOCSTOC-2008-Rexford #internet
Rethinking internet routing (JR), pp. 55–56.
ICALPICALP-A-2008-ChekuriK #algorithm #problem
Algorithms for 2-Route Cut Problems (CC, SK), pp. 472–484.
KDDKDD-2008-ShaoCTYA #mining #performance #sequence
Efficient ticket routing by resolution sequence mining (QS, YC, ST, XY, NA), pp. 605–613.
SACSAC-2008-MaagG #approach #protocol #self #testing #using
Interoperability testing of a MANET routing protocol using a node self-similarity approach (SM, CG), pp. 1908–1912.
SACSAC-2008-OliveiraRSCBM #heuristic #problem
A vehicular waiting time heuristic for dynamic vehicle routing problem (HCBdO, GMR, MMdS, LAC, VRB, GRM), pp. 13–17.
SACSAC-2008-VasiraniO #coordination #distributed #problem
Decentralized coordination strategies for the vehicle routing problem (MV, SO), pp. 130–131.
LCTESLCTES-2008-SutterCAM #array #configuration management
Placement-and-routing-based register allocation for coarse-grained reconfigurable arrays (BDS, PC, TVA, BM), pp. 151–160.
PPoPPPPoPP-2008-AnsariKJLKW #adaptation #algorithm #case study #concurrent #experience #memory management #transaction #using
Experiences using adaptive concurrency in transactional memory with Lee’s routing algorithm (MA, CK, KJ, ML, CCK, IW), pp. 261–262.
CASECASE-2007-HanGS
Optimal Supply Location Selection and Routing for Emergency Material Delivery (YH, XG, LS), pp. 1039–1044.
CASECASE-2007-NishiHIG #composition #generative #multi #scheduling
A Decomposition Method with Cut Generation for Simultaneous Production Scheduling and Routing for multiple AGVs (TN, YH, MI, IEG), pp. 658–663.
CASECASE-2007-OkMLK #adaptation #distributed #energy #network
Distributed Energy-Adaptive Routing for Wireless Sensor Networks (CO, PM, SL, SRTK), pp. 905–910.
DACDAC-2007-FangHC #algorithm #design #integer #linear #programming
An Integer Linear Programming Based Routing Algorithm for Flip-Chip Design (JWF, CHH, YWC), pp. 606–611.
DACDAC-2007-GolshanB
Single-Event-Upset (SEU) Awareness in FPGA Routing (SG, EB), pp. 330–333.
DACDAC-2007-Ozdal #clustering
Escape Routing For Dense Pin Clusters In Integrated Circuits (MMO), pp. 49–54.
DACDAC-2007-PanC #algorithm #named
IPR: An Integrated Placement and Routing Algorithm (MP, CCNC), pp. 59–62.
DACDAC-2007-XuC #synthesis
Integrated Droplet Routing in the Synthesis of Microfluidic Biochips (TX, KC), pp. 948–953.
DACDAC-2007-ZhouTLW #how #logic
How Much Can Logic Perturbation Help from Netlist to Final Routing for FPGAs (CLZ, WCT, WHL, YLW), pp. 922–927.
DATEDATE-2007-BolotinCGK
Routing table minimization for irregular mesh NoCs (EB, IC, RG, AK), pp. 942–947.
DATEDATE-2007-SpindlerJ #estimation #performance
Fast and accurate routing demand estimation for efficient routability-driven placement (PS, FMJ), pp. 1226–1231.
ITiCSEITiCSE-2007-AlstesL #learning #named #network #online #programming
VERKKOKE: learning routing and network programming online (AA, JL), pp. 91–95.
STOCSTOC-2007-AndrewsJS #network #protocol #scheduling
Stability of the max-weight routing and scheduling protocol in dynamic networks and at critical loads (MA, KJ, ALS), pp. 145–154.
STOCSTOC-2007-ChuzhoyGKT #graph
Hardness of routing with congestion in directed graphs (JC, VG, SK, KT), pp. 165–178.
AGTIVEAGTIVE-2007-KreowskiK #community
Communities of Autonomous Units for Pickup and Delivery Vehicle Routing (HJK, SK), pp. 281–296.
HCIHCI-AS-2007-ZhangLL #algorithm #fault #random
A Routing Algorithm for Random Error Tolerance in Network-on-Chip (LZ, HL, XL), pp. 1210–1219.
HCIHCI-IPT-2007-BattisteJJGD
Flight Crew Perspective on the Display of 4D Information for En Route and Arrival Merging and Spacing (VB, WWJ, NHJ, SG, AQVD), pp. 541–550.
HCIHIMI-IIE-2007-SatoA #analysis #behaviour
Analysis of Naturalistic Driving Behavior While Approaching an Intersection and Implications for Route Guidance Presentation (TS, MA), pp. 618–627.
HCIHIMI-IIE-2007-ShinPCC #ad hoc #energy #human-computer #mobile #network #performance
Energy Efficient Route Discovery for Mobile HCI in Ad-Hoc Networks (KS, KP, MYC, HC), pp. 635–644.
HCIHIMI-MTT-2007-EnriquezBH #communication
Embodied Communication Between Human and Robot in Route Guidance (GE, YB, SH), pp. 824–829.
ICEISICEIS-AIDSS-2007-WenningGTSK #algorithm #distributed #framework
Investigations on Object-Centered Routing in Dynamic Environments: Algorithmic Framework and Initial Numerical Results — Support for Distributed Decision Making in Transport Systems (BLW, CG, ATG, JS, HK), pp. 225–230.
CIKMCIKM-2007-ChanZ #algorithm #evaluation #performance #query
A fast unified optimal route query evaluation algorithm (EPFC, JZ), pp. 371–380.
ECIRECIR-2007-NottelmannF #distributed #formal method #network #peer-to-peer #query
A Decision-Theoretic Model for Decentralised Query Routing in Hierarchical Peer-to-Peer Networks (HN, NF), pp. 148–159.
KDDKDD-2007-BhagwatEM #clustering #corpus #documentation #scalability #similarity
Content-based document routing and index partitioning for scalable similarity-based searches in a large corpus (DB, KE, PM), pp. 105–112.
MODELSMoDELS-2007-HanH #modelling #navigation #web
Relating Navigation and Request Routing Models in Web Applications (MH, CH), pp. 346–359.
MODELSMoDELS-2007-HanH #modelling #navigation #web
Relating Navigation and Request Routing Models in Web Applications (MH, CH), pp. 346–359.
QAPLQAPL-2007-BistarelliMRS #constraints #graph #logic programming #modelling #multi #using
Modelling Multicast QoS Routing by using Best-Tree Search in And-or Graphs and Soft Constraint Logic Programming (SB, UM, FR, FS), pp. 111–127.
RERE-2007-Alexander #constraints #experience
Choosing a Tram Route: An Experience in Trading-Off Constraints (IA), pp. 350–355.
SACSAC-2007-JunJ #ad hoc #automation #mobile #network #protocol
Automated routing protocol selection in mobile ad hoc networks (TJ, CJ), pp. 906–913.
SACSAC-2007-PetterssonSK #approach #network
A CP-LP approach to network management in OSPF routing (MPP, RS, KK), pp. 311–315.
SACSAC-2007-TetsuyaAHN #ad hoc #generative #network #using
Topology information generation methods using a routing table in ad hoc network applications (TT, AK, TH, SN), pp. 896–900.
FATESTestCom-FATES-2007-GrepetM #ad hoc #protocol #self
Nodes Self-similarity to Test Wireless Ad Hoc Routing Protocols (CG, SM), pp. 123–137.
ASEASE-2006-ReinhardSMGM #diagrams
Human-Friendly Line Routing for Hierarchical Diagrams (TR, CS, SM, MG, NMS), pp. 273–276.
CASECASE-2006-NishiM #composition #optimisation #petri net #problem
Decomposition of Petri Nets for Optimization of Routing Problem for AGVs in Semiconductor Fabrication Bays (TN, RM), pp. 236–241.
CASECASE-2006-XingTXH #complexity #concurrent #flexibility #policy #polynomial
Optimal Polynomial Complexity Deadlock Avoidance Policies for Manufacturing Systems with Flexible Routings (KX, FT, HX, BH), pp. 448–453.
DACDAC-2006-ChenCCCH #novel
Novel full-chip gridless routing considering double-via insertion (HYC, MFC, YWC, LC, BH), pp. 755–760.
DACDAC-2006-LiZJ #concurrent #named #network #proximity
DyXY: a proximity congestion-aware deadlock-free dynamic routing method for network on chip (ML, QAZ, WBJ), pp. 849–852.
DACDAC-2006-MuraliABM #fault tolerance #multi #network
A multi-path routing strategy with guaranteed in-order packet delivery and fault-tolerance for networks on chip (SM, DA, LB, GDM), pp. 845–848.
DACDAC-2006-RadT #clustering #hybrid
A new hybrid FPGA with nanoscale clusters and CMOS routing (RMR, MT), pp. 727–730.
DACDAC-2006-ShiC #array #performance
Efficient escape routing for hexagonal array of high density I/Os (RS, CKC), pp. 1003–1008.
DACDAC-2006-ShiMYH #simulation
Circuit simulation based obstacle-aware Steiner routing (YS, PM, HY, LH), pp. 385–388.
DATEDATE-2006-DuttA #incremental #locality #performance #using
Efficient timing-driven incremental routing for VLSI circuits using DFS and localized slack-satisfaction computations (SD, HA), pp. 768–773.
DATEDATE-2006-KimH
Associative skew clock routing for difficult instances (MSK, JH), pp. 762–767.
DATEDATE-2006-MinzTL #3d
Optical routing for 3D system-on-package (JRM, ST, SKL), pp. 337–338.
DATEDATE-2006-SuHC #synthesis
Droplet routing in the synthesis of digital microfluidic biochips (FS, WLH, KC), pp. 323–328.
SIGMODSIGMOD-2006-PapaemmanouilACJY06a #named
XPORT: extensible profile-driven overlay routing trees (OP, YA, , JJ, YY), pp. 769–771.
VLDBVLDB-2006-ChiticariuT #debugging
Debugging Schema Mappings with Routes (LC, WCT), pp. 79–90.
ITiCSEITiCSE-2006-LindqvistL #education #named #online
VERKKOKE: online teaching environment for telecommunications software and routing (JL, SL), p. 319.
ICALPICALP-v1-2006-GairingMT #game studies #latency #linear
Routing (Un-) Splittable Flow in Games with Player-Specific Linear Latency Functions (MG, BM, KT), pp. 501–512.
ICALPICALP-v1-2006-KormanP #graph
Dynamic Routing Schemes for General Graphs (AK, DP), pp. 619–630.
CHICHI-2006-CosleyFTR #bibliography #community #using
Using intelligent task routing and contribution review to help communities build artifacts of lasting value (DC, DF, LGT, JR), pp. 1037–1046.
EDOCEDOC-2006-Hashemzadeh #navigation #network #performance
A Fast and Efficient Route Finding Method for Car Navigation Systems with Neural Networks (MH), pp. 423–426.
EDOCEDOC-2006-KunduB #using #xml
Secure Dissemination of XML Content Using Structure-based Routing (AK, EB), pp. 153–164.
ICEISICEIS-ISAS-2006-Weghorn #internet #network
Technology for Least-Cost Network Routing via Bluetooth and its Practical Application — Replacing Internet Access through Wireless Phone Networks by BT Data Links (HW), pp. 394–402.
CIKMCIKM-2006-MichelBNTWZ #keyword
Discovering and exploiting keyword and attribute-value co-occurrences to improve P2P routing indices (SM, MB, NN, PT, GW, CZ), pp. 172–181.
ECIRECIR-2006-NottelmannF #architecture #network #peer-to-peer #query
Comparing Different Architectures for Query Routing in Peer-to-Peer Networks (HN, NF), pp. 253–264.
ICPRICPR-v1-2006-ShimawakiSMS #estimation #image #sequence #video
Estimation of Ball Route under Overlapping with Players and Lines in Soccer Video Image Sequence (TS, TS, JM, YS), pp. 359–362.
ICPRICPR-v3-2006-ZhengS
Removing Temporal Stationary Blur in Route Panoramas (JYZ, MS), pp. 709–713.
SACSAC-2006-JinNCY #information retrieval #performance #query #semantics
Efficient query routing for information retrieval in semantic overlays (HJ, XN, HC, ZY), pp. 1669–1673.
SACSAC-2006-LeongL #algorithm #multi #problem
A multi-agent algorithm for vehicle routing problem with time window (HWL, ML), pp. 106–111.
SACSAC-2006-LucraftEA #internet
Enforcing path uniqueness in internet routing (DL, AE, FA), pp. 399–403.
DACDAC-2005-HoCCC #architecture #multi
Multilevel full-chip routing for the X-based architecture (TYH, CFC, YWC, SJC), pp. 597–602.
DACDAC-2005-MitraYP #named #performance #simulation #using
RADAR: RET-aware detailed routing using fast lithography simulations (JM, PY, DZP), pp. 369–372.
DATEDATE-2005-AlexandreCCSME #framework #named #research
TSUNAMI: An Integrated Timing-Driven Place And Route Research Platform (CA, HC, JPC, MS, CM, RE), pp. 920–921.
DATEDATE-2005-BhaduriV #higher-order #induction #metric
Inductive and Capacitive Coupling Aware Routing Methodology Driven by a Higher Order RLCK Moment Metric (AB, RV), pp. 922–923.
DATEDATE-2005-KaoM #energy
Energy-Aware Routing for E-Textile Applications (JCK, RM), pp. 184–189.
DATEDATE-2005-ZuberWOSH #optimisation #power management #reduction
Reduction of CMOS Power Consumption and Signal Integrity Issues by Routing Optimization (PZ, AW, RMBdO, WS, AH), pp. 986–987.
DocEngDocEng-2005-ChengSB #documentation #xml
Exploiting XML technologies for intelligent document routing (IC, SS, NB), pp. 26–28.
VLDBVLDB-2005-BizarroBDW
Content-Based Routing: Different Plans for Different Data (PB, SB, DJD, JW), pp. 757–768.
STOCSTOC-2005-AwerbuchAE #scalability
Large the price of routing unsplittable flow (BA, YA, AE), pp. 57–66.
STOCSTOC-2005-ChekuriKS #multi #problem
Multicommodity flow, well-linked terminals, and routing problems (CC, SK, FBS), pp. 183–192.
STOCSTOC-2005-HajiaghayiKLR #graph #random
Oblivious routing in directed graphs with random demands (MTH, JHK, TL, HR), pp. 193–201.
CIKMCIKM-2005-LianCNOA #locality #network #query
Localized routing trees for query processing in sensor networks (JL, LC, KN, MTÖ, GBA), pp. 259–260.
SEKESEKE-2005-HuangC #approximate #case study #distance
A Study of the Approximate Shortest Distance Route for the Construction Walk of Welding Robot (CJH, BKC), pp. 550–555.
SACSAC-2005-GuoLLW #linear #problem
The shortest route cut and fill problem in linear topological structure (SG, WL, AL, FW), pp. 409–410.
SACSAC-2005-JafariDCS #network #performance
Efficient placement and routing in grid-based networks (RJ, FD, BKC, MS), pp. 899–900.
SACSAC-2005-OuajaR #composition #hybrid
Hybrid Lagrangian relaxation for bandwidth-constrained routing: knapsack decomposition (WO, BR), pp. 383–387.
HPDCHPDC-2005-AgrawalGBF #grid #network #towards #virtual machine
Towards P2P-routed IF overlay networks for grid virtual machines (AA, AG, POB, RJOF), pp. 293–294.
DACDAC-2004-HuangW #proximity
Optical proximity correction (OPC): friendly maze routing (LDH, MDFW), pp. 186–191.
DACDAC-2004-HuM #named
DyAD: smart routing for networks-on-chip (JH, RM), pp. 260–263.
DACDAC-2004-KheterpalSP #architecture
Routing architecture exploration for regular fabrics (VK, AJS, LTP), pp. 204–207.
DACDAC-2004-LyseckyVT #compilation
Dynamic FPGA routing for just-in-time FPGA compilation (RLL, FV, SXDT), pp. 954–959.
DACDAC-2004-McCullen
Phase correct routing for alternating phase shift masks (KWM), pp. 317–320.
DACDAC-2004-VujkovicWSS #performance
Efficient timing closure without timing driven placement and routing (MV, DW, WS, CS), pp. 268–273.
DATEDATE-v1-2004-BonhommeGGLPV #design #power management
Design of Routing-Constrained Low Power Scan Chains (YB, PG, LG, CL, SP, AV), pp. 62–67.
DATEDATE-v2-2004-MinzPL #3d
Net and Pin Distribution for 3D Package Global Routing (JRM, MP, SKL), pp. 1410–1411.
DATEDATE-v2-2004-XiongH #multi
Full-Chip Multilevel Routing for Power and Signal Integrity (JX, LH), pp. 1116–1123.
STOCSTOC-2004-AwerbuchK #adaptation #distributed #feedback #geometry #learning
Adaptive routing with end-to-end feedback: distributed learning and geometric approaches (BA, RDK), pp. 45–53.
STOCSTOC-2004-BansalBCM #algorithm #approximate
Approximation algorithms for deadline-TSP and vehicle routing with time-windows (NB, AB, SC, AM), pp. 166–174.
ICALPICALP-2004-GairingLMMR #game studies #latency #nash
Nash Equilibria in Discrete Routing Games with Convex Latency Functions (MG, TL, MM, BM, MR), pp. 645–657.
ICALPICALP-2004-LebharS #distributed #network
Almost Optimal Decentralized Routing in Long-Range Contact Networks (EL, NS), pp. 894–905.
ICPRICPR-v4-2004-Zheng
Stabilizing Route Panoramas (JYZ), pp. 348–351.
SACSAC-2004-Al-SadiA #algorithm #fault tolerance #performance #using
Efficient fault-tolerant routing algorithm for OTIS-cube using unsafety vectors (JAS, AMA), pp. 1426–1430.
SACSAC-2004-HarringtonC #profiling
Route profiling: putting context to work (AH, VC), pp. 1567–1573.
OSDIOSDI-2004-GummadiMGLW #internet #reliability
Improving the Reliability of Internet Paths with One-hop Source Routing (PKG, HVM, SDG, HML, DW), pp. 183–198.
DACDAC-2003-BecerBAPOZH #reduction
Post-route gate sizing for crosstalk noise reduction (MRB, DB, IA, RP, CO, VZ, INH), pp. 954–957.
DACDAC-2003-HadsellM #estimation
Improved global routing through congestion estimation (RH, PHM), pp. 28–31.
DATEDATE-2003-HuM #architecture #energy #flexibility #performance
Exploiting the Routing Flexibility for Energy/Performance Aware Mapping of Regular NoC Architectures (JH, RM), pp. 10688–10693.
DATEDATE-2003-LiliusTV #architecture #evaluation #performance #protocol
Fast Evaluation of Protocol Processor Architectures for IPv6 Routing (JL, DT, SV), pp. 20158–20163.
DATEDATE-2003-SmeySM #reduction
Crosstalk Reduction in Area Routing (RMS, BS, PHM), pp. 10862–10867.
PODSPODS-2003-GuptaSH #problem #xml
The view selection problem for XML content based routing (AKG, DS, AYH), pp. 68–77.
VLDBVLDB-2003-TianD #distributed #tuple
Tuple Routing Strategies for Distributed Eddies (FT, DJD), pp. 333–344.
STOCSTOC-2003-AzarCFKR #polynomial
Optimal oblivious routing in polynomial time (YA, EC, AF, HK, HR), pp. 383–388.
ICALPICALP-2003-AwerbuchBS
Anycasting in Adversarial Systems: Routing and Admission Control (BA, AB, CS), pp. 1153–1168.
ICALPICALP-2003-FeldmannGLMR #coordination #game studies
Nashification and the Coordination Ratio for a Selfish Routing Game (RF, MG, TL, BM, MR), pp. 514–526.
ICEISICEIS-v2-2003-HashemGH #ad hoc #algorithm #mobile #network #search-based
A Quality-Of-Service-Aware Genetic Algorithm for the Source Routing in Ad-Hoc Mobile Networks (MH, SG, MH), pp. 589–599.
ECIRECIR-2003-MacFarlaneRM #parallel
Parallel Computing for Term Selection in Routing/Filtering (AM, SER, JAM), pp. 537–545.
SACSAC-2003-DattaS #energy #network #protocol
A Simple and Energy-Efficient Routing Protocol for Radio Networks (AD, SS), pp. 1014–1018.
SACSAC-2003-Shen #algorithm
An Alternative Routing Algorithm for the Pyramid Structures (ZS), pp. 1009–1013.
SACSAC-2003-TavaresPMC #on the
On the Influence of GVR in Vehicle Routing (JT, FBP, PM, EC), pp. 753–758.
ICTSSTestCom-2003-WuLY #modelling #protocol #testing #towards
Towards Modeling and Testing of IP Routing Protocols (JW, ZL, XY), pp. 49–62.
DACDAC-2002-HrkicL #named #synthesis
S-Tree: a technique for buffered routing tree synthesis (MH, JL), pp. 578–583.
DACDAC-2002-MaH #constraints #towards
Towards global routing with RLC crosstalk constraints (JDZM, LH), pp. 669–672.
DACDAC-2002-ShenoyN #database #performance
An efficient routing database (NVS, WN), pp. 590–595.
DATEDATE-2002-HuangLWG #constraints
Maze Routing with Buffer Insertion under Transition Time Constraints (LDH, ML, DFW, YG), pp. 702–707.
DATEDATE-2002-HuangTXWL #algorithm #polynomial #problem
A Polynomial Time Optimal Diode Insertion/Routing Algorithm for Fixing Antenna Problem (LDH, XT, HX, DFW, IML), pp. 470–475.
VLDBVLDB-2002-Bosworth #data transformation #database #web
Data Routing Rather than Databases: The Meaning of the Next Wave of the Web Revolution to Data Management (AB).
ITiCSEITiCSE-2002-Cassel #learning #network
Very active learning of network routing (LNC), p. 195.
ICALPICALP-2002-BeierSS #data type #energy #geometry #network #using
Energy Optimal Routing in Radio Networks Using Geometric Data Structures (RB, PS, NS), pp. 366–376.
ICALPICALP-2002-FotakisKKMS #complexity #game studies #nash
The Structure and Complexity of Nash Equilibria for a Selfish Routing Game (DF, SCK, EK, MM, PGS), pp. 123–134.
ICGTICGT-2002-BeckPS #graph transformation #problem #scheduling
Graph Transformations for the Vehicle Routing and Job Shop Scheduling Problems (JCB, PP, ES), pp. 60–74.
CHICHI-2002-SuhmBMFGGP #case study #comparative #natural language #speech
A comparative study of speech in the call center: natural language call routing vs. touch-tone menus (BS, JB, DM, BF, DG, KG, PP), pp. 283–290.
AdaSIGAda-2002-Gantsou #architecture #distributed #framework
An architectural framework for supporting distributed object based routing (DG), pp. 27–29.
CAiSECAiSE-2002-IvanDP #approach #complexity #design #middleware #protocol #using
Managing Complexity of Designing Routing Protocols Using a Middleware Approach (CI, VD, KP), pp. 737–741.
ICEISICEIS-2002-AnwarH #approach
An Integrated Approach for Finding enRoute Best Alternate Route (MAA, SH), pp. 226–234.
SACSAC-2002-Al-SadiDO #algorithm #fault tolerance #probability
Probability vectors: a new fault-tolerant routing algorithm for k-ary n-cubes (JAS, KD, MOK), pp. 830–834.
SACSAC-2002-GarcesF #analysis #behaviour #distributed
Analysis of Distributed Routing Balancing behavior (IG, DF), pp. 817–824.
SACSAC-2002-LiL #problem
Local search with annealing-like restarts to solve the vehicle routing problem with time windows (HL, AL), pp. 560–565.
SACSAC-2002-LuoYT #content management
Content management on server farm with layer-7 routing (MYL, CSY, CWT), pp. 1134–1139.
SACSAC-2002-Sarbazi-AzadO #adaptation
A simple mathematical model of adaptive routing in wormhole k-ary n-cubes (HSA, MOK), pp. 835–839.
SACSAC-2002-ShahrabiOM #communication #network
Communication delay in wormhole-routed torus networks (AS, MOK, LMM), pp. 825–829.
SACSAC-2002-WangHTN #ad hoc #network
AODV compatible routing with extensive use of cache information in ad-hoc networks (WGW, TH, MT, SN), pp. 852–859.
OSDIOSDI-2002-CastroDGRW #network #peer-to-peer
Secure Routing for Structured Peer-to-Peer Overlay Networks (MC, PD, AJG, AITR, DSW), pp. 299–314.
DACDAC-2001-DallyT #network
Route Packets, Not Wires: On-Chip Interconnection Networks (WJD, BT), pp. 684–689.
DACDAC-2001-DoboliV #constraints #design #synthesis
Integrated High-Level Synthesis and Power-Net Routing for Digital Design under Switching Noise Constraints (AD, RV), pp. 629–634.
DATEDATE-2001-LienigJA #approach #named
AnalogRouter: a new approach of current-driven routing for analog circuits (JL, GJ, TA), p. 819.
DATEDATE-2001-SerdarS #automation
Automatic datapath tile placement and routing (TS, CS), pp. 552–559.
ITiCSEITiCSE-2001-Rosbottom #distance #education #hybrid #learning
Hybrid learning — a safe route into web-based open and distance learning for the computer science teacher (JR), pp. 89–92.
STOCSTOC-2001-MavronicolasS
The price of selfish routing (MM, PGS), pp. 510–519.
STOCSTOC-2001-Vocking #permutation
Almost optimal permutation routing on hypercubes (BV), pp. 530–539.
ICALPICALP-2001-FraigniaudG
Routing in Trees (PF, CG), pp. 757–772.
ICALPICALP-2001-Havill #array #linear #online
Online Packet Routing on Linear Arrays and Rings (JTH), pp. 773–784.
ICEISICEIS-v2-2001-Weghorn #email #mobile
Notification and Routing of Electronic Mail to Mobile Phone Devices (HW), p. 1188–?.
ICMLICML-2001-TaoBW #approach #multi #network
A Multi-Agent Policy-Gradient Approach to Network Routing (NT, JB, LW), pp. 553–560.
SACSAC-2001-AnwarY #database #network #object-oriented
Integrating OO road network database, cases and knowledge for route finding (MAA, TY), pp. 215–219.
SACSAC-2001-GaliassoW #algorithm #hybrid #multi #problem #search-based
A hybrid genetic algorithm for the point to multipoint routing problem with single split paths (PG, RLW), pp. 327–332.
SACSAC-2001-MinFO #adaptation #analysis #network
Analysis of adaptive wormhole-routed torus networks with IPP input traffic (GM, JDF, MOK), pp. 494–498.
SACSAC-2001-ShahrabiOM #adaptation #analysis
Unicast-based broadcast: an analysis for the hypercube with adaptive routing (AS, MOK, LMM), pp. 489–493.
SACSAC-2001-Shen #algorithm
A routing algorithm for the pyramid structures (ZS), pp. 484–488.
SACSAC-2001-ThangiahSM #architecture #problem
An agent architecture for vehicle routing problems (SRT, OS, WM), pp. 517–521.
SOSPSOSP-2001-SnoerenCG #using #xml
Mesh Based Content Routing using XML (ACS, KC, DKG), pp. 160–173.
DACDAC-2000-AdlerBHB #verification
A current driven routing and verification methodology for analog applications (TA, HB, LH, EB), pp. 385–389.
DACDAC-2000-Chakrabarty #architecture #constraints #design
Design of system-on-a-chip test access architectures under place-and-route and power constraints (KC), pp. 432–437.
DACDAC-2000-ChangC #architecture #metric
An architecture-driven metric for simultaneous placement and global routing for FPGAs (YWC, YTC), pp. 567–572.
DACDAC-2000-CongY
Routing tree construction under fixed buffer locations (JC, XY), pp. 379–384.
DACDAC-2000-LaiW
Maze routing with buffer insertion and wiresizing (ML, DFW), pp. 374–378.
DATEDATE-2000-AdlerB #multi
Single Step Current Driven Routing of Multiterminal Signal Nets for Analog Applications (TA, EB), pp. 446–450.
SIGMODSIGMOD-2000-LiuPBHPT #adaptation #data-driven #distributed #middleware #named #query
AQR-Toolkit: An Adaptive Query Routing Middleware for Distributed Data Intensive Systems (LL, CP, DB, WH, HP, WT), p. 597.
STOCSTOC-2000-BuschHW
Hard-Potato routing (CB, MH, RW), pp. 278–285.
STOCSTOC-2000-GoelMP #multi #online #throughput
Combining fairness with throughput: online routing with multiple objectives (AG, AM, SAP), pp. 670–679.
WLCWLC-2000-Mateescu
Routes and Trajectories (AM), pp. 323–338.
CIKMCIKM-2000-IyerLSSS #documentation
Boosting for Document Routing (RDI, DDL, RES, YS, AS), pp. 70–77.
ICMLICML-2000-Stone #network
TPOT-RL Applied to Network Routing (PS), pp. 935–942.
SACSAC-2000-Al-Omari #network
Routing in Quad Tree-Hypercube Networks (MAO), pp. 677–681.
SACSAC-2000-AnwarY #named #object-oriented
OORF: An Object-Oriented Route Finder (MAA, TY), pp. 301–306.
SACSAC-2000-Lee #2d #communication #quality
Effects of Communication Characteristics on Task Mapping Quality on a 2-D Mesh with Wormhole Routing (SYL), pp. 633–640.
SACSAC-2000-Nezu #network #performance
Performance of Deflection Routing in Toroidal Networks (NN), pp. 682–684.
SACSAC-2000-RibeiroHOR #algorithm #implementation #parallel #problem
A Parallel Algorithm for the Routing Problem: An Implementation in The Parallel Computer IBM-SP2 (CMR, FH, FCJdO, JFFR), pp. 649–654.
OSDIOSDI-2000-AndersonCV #network #scalability
Interposed Request Routing for Scalable Network Storage (DCA, JSC, AV), pp. 259–272.
ICLPCL-2000-ErdemLW #satisfiability
Wire Routing and Satisfiability Planning (EE, VL, MDFW), pp. 822–836.
DACDAC-1999-HuS #named
FAR-DS: Full-Plane AWE Routing with Driver Sizing (JH, SSS), pp. 84–89.
DACDAC-1999-SalekLP #generative #independence #named #using
MERLIN: Semi-Order-Independent Hierarchical Buffered Routing Tree Generation Using Local Neighborhood Search (AHS, JL, MP), pp. 472–478.
DACDAC-1999-ZhouWLA #strict
Simultaneous Routing and Buffer Insertion with Restrictions on Buffer Locations (HZ, DFW, IML, AA), pp. 96–99.
STOCSTOC-1999-AndrewsZ #requirements
Packet Routing with Arbitrary End-to-End Delay Requirements (MA, LZ), pp. 557–565.
STOCSTOC-1999-Feige
Nonmonotonic Phenomena in Packet Routing (UF), pp. 583–591.
STOCSTOC-1999-Gamarnik #adaptation #network #policy
Stability of Adaptive and Non-Adaptive Packet Routing Policies in Adversarial Queueing Networks (DG), pp. 206–214.
STOCSTOC-1999-ScheidelerV #performance #protocol
From Static to Dynamic Routing: Efficient Transformations of Store-and-Forward Protocols (CS, BV), pp. 215–224.
ICALPICALP-1999-GavoilleH #bound #graph
Compact Routing Tables for Graphs of Bounded Genus (CG, NH), pp. 351–360.
AdaEuropeAdaEurope-1999-Kamrad #ada
An Application (Layer 7) Routing Switch with Ada95 Software (JMKI), pp. 250–262.
ICEISICEIS-1999-FerreiraMS #interactive #visualisation
Supporting Decisions Concerning Location-Routing of Obnoxious Facilities: An Application Example of Visualization and Interaction Techniques (CF, ABdM, BSS), pp. 74–81.
CIKMCIKM-1999-LinXLN #database #named #query
ZBroker: A Query Routing Broker for Z39.50 Databases (YL, JX, EPL, WKN), pp. 202–209.
CIKMCIKM-1999-WuY #replication #web
Local Replication for Proxy Web Caches with Hash Routing (KLW, PSY), pp. 69–76.
SACSAC-1999-Al-OmariM #fault tolerance #using
Fault-Tolerant Routing in Hypercubes Using Masked Interval Routing Scheme (MAO, MM), pp. 481–485.
SACSAC-1999-BakC #protocol #random
Randomized Distance-Vector Routing Protocol (SB, JAC), pp. 78–84.
SACSAC-1999-CaoD #fault tolerance #multi #network
Fault-Tolerant Routing and Multicasting in Butterfly Networks (FC, DZD), pp. 455–460.
HPCAHPCA-1999-RheeL #network #scalability
A Scalable Cache Coherent Scheme Exploiting Wormhole Routing Networks (YR, JL), pp. 223–226.
DACDAC-1998-CongM #design #multi #performance
Performance Driven Multi-Layer General Area Routing for PCB/MCM Designs (JC, PHM), pp. 356–361.
DACDAC-1998-LillisB
Table-Lookup Methods for Improved Performance-Driven Routing (JL, PB), pp. 368–373.
DACDAC-1998-TsengSS
Timing and Crosstalk Driven Area Routing (HPT, LS, CS), pp. 378–381.
DACDAC-1998-ZhouW #constraints
Global Routing with Crosstalk Constraints (HZ, DFW), pp. 374–377.
DATEDATE-1998-LuSJ
Technology Mapping for Minimizing Gate and Routing Area (AL, GS, FMJ), pp. 664–669.
DATEDATE-1998-OhP
Gated Clock Routing Minimizing the Switched Capacitance (JO, MP), pp. 692–697.
STOCSTOC-1998-AielloKOR #adaptation
Adaptive Packet Routing for Bursty Adversarial Traffic (WA, EK, RO, AR), pp. 359–368.
STOCSTOC-1998-CharikarKR #algorithm
Algorithms for Capacitated Vehicle Routing (MC, SK, BR), pp. 349–358.
STOCSTOC-1998-ColeMHMRSSV #multi #network #protocol #random
Randomized Protocols for Low Congestion Circuit Routing in Multistage Interconnection Networks (RC, BMM, FMadH, MM, AWR, KS, RKS, BV), pp. 378–388.
ICALPICALP-1998-CiceroneSF
Static and Dynamic Low-Congested Interval Routing Schemes (SC, GDS, MF), pp. 592–603.
ICALPICALP-1998-CookFMSS #network
Low-Bandwidth Routing and Electrical Power Networks (DC, VF, MVM, AS, YJS), pp. 604–615.
SIGIRSIGIR-1998-JonesSKS #retrieval #using
Experiments in Japanese Text Retrieval and Routing Using the NEAT System (GJFJ, TS, MK, KS), pp. 197–205.
SACSAC-1998-Al-OmariA #algorithm #network
Routing algorithms for tree-hypercube networks (MAO, HAS), pp. 85–89.
DACDAC-1997-KahngT #bound
More Practical Bounded-Skew Clock Routing (ABK, CWAT), pp. 594–599.
DATEEDTC-1997-LiuS #graph #heuristic #multi #performance #using
Multi-layer chip-level global routing using an efficient graph-based Steiner tree heuristic (LCEL, CS), pp. 311–318.
DATEEDTC-1997-PrietoRQH #algorithm #optimisation
A performance-driven placement algorithm with simultaneous Place&Route optimization for analog ICs (JAP, AR, JMQ, JLH), pp. 389–394.
STOCSTOC-1997-MaggsV #multi #sorting
Improved Routing and Sorting on Multibutterflies (BMM, BV), pp. 517–530.
STOCSTOC-1997-SrinivasanT #algorithm #approximate
A Constant-Factor Approximation Algorithm for Packet Routing, and Balancing Local vs. Global Criteria (AS, CPT), pp. 636–643.
ICALPICALP-1997-BartalL #network #online
On-Line Routing in All-Optical Networks (YB, SL), pp. 516–526.
ICALPICALP-1997-GarganoHP #symmetry
Colouring Paths in Directed Symmetric Trees with Applications to WDM Routing (LG, PH, SP), pp. 505–515.
ICALPICALP-1997-KaklamanisPEJ
Constrained Bipartite Edge Coloring with Applications to Wavelength Routing (CK, PP, TE, KJ), pp. 493–504.
SIGIRSIGIR-1997-SinghalMB #learning #query
Learning Routing Queries in a Query Zone (AS, MM, CB), pp. 25–32.
SACSAC-1997-ChristensenWS #algorithm #hybrid #multi #problem
A hybrid algorithm for the point to multipoint routing problem (HLC, RLW, DAS), pp. 263–268.
SACSAC-1997-Sibai97b #distributed #network #recursion
Distributed routing in the recursive diamond network (FNS), pp. 389–392.
HPCAHPCA-1997-KesavanBP #multi #network
Multicast on Irregular Switch-Based Networks with Wormhole Routing (RK, KB, DKP), pp. 48–57.
HPDCHPDC-1997-SimonS #collaboration #communication #multi
Load-balanced Routing for Collaborative Multimedia Communication (RS, AKS), pp. 81–90.
DACDAC-1996-LillisCLH #performance #trade-off
New Performance Driven Routing Techniques With Explicit Area/Delay Tradeoff and Simultaneous Wire Sizing (JL, CKC, TTYL, CYH), pp. 395–400.
DACDAC-1996-OhPP #bound #linear #programming #using
Constructing Lower and Upper Bounded Delay Routing Trees Using Linear Programming (JO, IP, MP), pp. 401–404.
DACDAC-1996-XiD #design #power management
Useful-Skew Clock Routing With Gate Sizing for Low Power Design (JGX, WWMD), pp. 383–388.
STOCSTOC-1996-BartalFL #bound #graph #online #problem
Lower Bounds for On-line Graph Problems with Application to On-line Circuit and Optical Routing (YB, AF, SL), pp. 531–540.
STOCSTOC-1996-BroderU #array
Dynamic Deflection Routing on Arrays (Preliminary Version) (AZB, EU), pp. 348–355.
STOCSTOC-1996-CypherHSV #algorithm
Universal Algorithms for Store-and-Forward and Wormhole Routing (RC, FMadH, CS, BV), pp. 356–365.
ICPRICPR-1996-LiTH #representation
Qualitative representation of outdoor environment along route (SL, ST, AH), pp. 176–180.
SACSAC-1996-SohHHO #algorithm #automation #distributed
Decentralized routing algorithms for automated guided vehicles (JTLS, WJH, SYH, ACYO), pp. 473–479.
HPCAHPCA-1996-Libeskind-HadasWH #fault tolerance #multi
Fault-Tolerant Multicast Routing in the Mesh with No Virtual Channels (RLH, KW, TH), pp. 180–190.
HPCAHPCA-1996-ParkA #concurrent #independence
A Topology-Independent Generic Methodology for Deadlock-Free Wormhole Routing (HP, DPA), pp. 191–200.
DACDAC-1995-AlexanderR #algorithm
New Performance-Driven FPGA Routing Algorithms (MJA, GR), pp. 562–567.
DACDAC-1995-HuangKT #bound #on the #problem
On the Bounded-Skew Clock and Steiner Routing Problems (DJHH, ABK, CWAT), pp. 508–513.
DACDAC-1995-MakW #logic #on the
On Optimal Board-Level Routing for FPGA-Based Logic Emulation (WKM, DFW), pp. 552–556.
DACDAC-1995-MehrotraFS #generative #performance
Performance Driven Global Routing and Wiring Rule Generation for High Speed PCBs and MCMs (SM, PDF, MBS), pp. 381–387.
DACDAC-1995-Trimberger #architecture
Effects of FPGA Architecture on FPGA Routing (ST), pp. 574–578.
DACDAC-1995-VaishnavP #logic
Minimizing the Routing Cost During Logic Extraction (HV, MP), pp. 70–75.
DACDAC-1995-WuM #2d #approach #optimisation #orthogonal
Orthogonal Greedy Coupling — A New Optimization Approach to 2-D FPGA Routing (YLW, MMS), pp. 568–573.
STOCSTOC-1995-DolevKKP #adaptation #named #network #performance
Bubbles: adaptive routing scheme for high-speed dynamic networks (Extended Abstract) (SD, EK, DK, DP), pp. 528–537.
STOCSTOC-1995-Harchol-BalterW #bound #network
Bounding delays in packet-routing networks (MHB, DW), pp. 248–257.
STOCSTOC-1995-MansourP
Many-to-one packet routing on grids (Extended Abstract) (YM, BPS), pp. 258–267.
ICALPICALP-1995-LeonardiM #online #resource management #scheduling
On-line Resource Management with Applications to Routing and Scheduling (SL, AMS), pp. 303–314.
SIGIRSIGIR-1995-SchutzeHP #classification #comparison #documentation #problem
A Comparison of Classifiers and Document Representations for the Routing Problem (HS, DAH, JOP), pp. 229–237.
SACSAC-1995-GudaitisLT #parallel #using
Multicriteria vehicle route-planning using parallel A* search (MSG, GBL, AJT), pp. 171–176.
SACSAC-1995-Wong #fuzzy
Fuzzy routing control of service request messages in an individual computing environment (KWW), pp. 548–551.
HPCAHPCA-1995-CunninghamA #2d #adaptation #fault tolerance
Fault-Tolerant Adaptive Routing for Two-Dimensional Meshes (CMC, DRA), pp. 122–131.
HPCAHPCA-1995-Libeskind-HadasB #fault tolerance
Origin-Based Fault-Tolerant routing in the Mesh (RLH, EB), pp. 102–111.
HPCAHPCA-1995-UpadhyayVM #2d #adaptation #performance
Efficient and Balanced Adaptive Routing in Two-Dimensional Meshes (JU, VV, PM), pp. 112–121.
ICLPICLP-1995-Zhou #approach #logic programming
A Logic Programming Approach to Channel Routing (NFZ), pp. 217–231.
DACDAC-1994-Edahiro #algorithm #performance
An Efficient Zero-Skew Routing Algorithm (ME), pp. 375–380.
DACDAC-1994-LanZG #multi #programmable
Placement and Routing for a Field Programmable Multi-Chip Module (SL, AZ, AEG), pp. 295–300.
DACDAC-1994-MadhwapathySBP #approach #multi
A Unified Approach to Multilayer Over-the-Cell Routing (SM, NAS, SB, AP), pp. 182–187.
DACDAC-1994-NagR
Performance-Driven Simultaneous Place and Route for Row-Based FPGAs (SN, RAR), pp. 301–307.
DACDAC-1994-SunL #2d #architecture
Routing in a New 2-Dimensional FPGA/FPIC Routing Architecture (YS, CLL), pp. 171–176.
DACDAC-1994-XueHJ
Routing for Manufacturability (HX, EPH, JAGJ), pp. 402–406.
DACDAC-1994-YuBS #3d #algorithm #aspect-oriented
Algorithmic Aspects of Three Dimensional MCM Routing (QY, SB, NAS), pp. 397–401.
DACDAC-1994-ZhuW #bound
Switch Bound Allocation for Maximizing Routability in Timing-Driven Routing of FPGAs (KZ, DFW), pp. 165–170.
DATEEDAC-1994-HuijbregtsEJ #design #on the
On Design Rule Correct Maze Routing (EPH, JTJvE, JAGJ), pp. 407–411.
DATEEDAC-1994-McCoyR
Non-Tree Routing (BAM, GR), pp. 430–434.
STOCSTOC-1994-AwerbuchL #algorithm #approximate #multi #network #problem
Improved approximation algorithms for the multi-commodity flow problem and local competitive routing in dynamic networks (BA, TL), pp. 487–496.
STOCSTOC-1994-RaghavanU #network #performance
Efficient routing in all-optical networks (PR, EU), pp. 134–143.
SIGIRSIGIR-1994-Hull #problem #retrieval #semantics #using
Improving Text Retrieval for the Routing Problem using Latent Semantic Indexing (DAH), pp. 282–291.
SACSAC-1994-Bennet #distributed #message passing #parallel #runtime #source code
Distributed message routing and run-time support for message-passing parallel programs derived from ordinary programs (TB), pp. 510–514.
SACSAC-1994-SaiedianM
A routing model for active form objects (HS, RAM), pp. 31–35.
DACDAC-1993-BoeseKR
High-Performance Routing Trees With Identified Critical Sinks (KDB, ABK, GR), pp. 182–187.
DACDAC-1993-ChoS #algorithm #performance
A Nuffer Distribution Algorithm for High-Speed Clock Routing (JDC, MS), pp. 537–543.
DACDAC-1993-Edahiro #algorithm #clustering #optimisation
A Clustering-Based Optimization Algorithm in Zero-Skew Routings (ME), pp. 612–616.
DACDAC-1993-HongXKCH #algorithm
Performance-Driven Steiner Tree Algorithm for Global Routing (XH, TX, ESK, CKC, JH), pp. 177–181.
DACDAC-1993-HuangHCK #algorithm #performance
An Efficient Timing-Driven Global Routing Algorithm (JH, XH, CKC, ESK), pp. 596–600.
DACDAC-1993-KhooC #multi #performance
An Efficient Multilayer MCM Router Based on Four-Via Routing (KYK, JC), pp. 590–595.
DACDAC-1993-LadageL #algorithm #using
Resistance Extraction using a Routing Algorithm (LL, RL), pp. 38–42.
DACDAC-1993-LewisP
A Negative Reinforcement Method for PGA Routing (FDL, WCCP), pp. 601–605.
STOCSTOC-1993-AlonCG #graph #permutation
Routing permutations on graphs via matchings (NA, FRKC, RLG), pp. 583–591.
STOCSTOC-1993-AspnesAFPW #online #scheduling
On-line load balancing with applications to machine scheduling and virtual circuit routing (JA, YA, AF, SAP, OW), pp. 623–631.
STOCSTOC-1993-BorodinRSU #hardware #how #question
How much can hardware help routing? (AB, PR, BS, EU), pp. 573–582.
STOCSTOC-1993-Pippenger #self
Self-routing superconcentrators (NP), pp. 355–361.
ICALPICALP-1993-Frederickson
Searching among Intervals and Compact Routing Tables (GNF), pp. 28–39.
SACSAC-1993-GrimmLT #multi #problem
A Parallelized Search Strategy for Solving a Multicriteria Aircraft Routing Problem (JJG, GBL, AJT), pp. 570–577.
SACSAC-1993-ThangiahN #adaptation #using
Dynamic Trajectory Routing Using an Adaptive Search Method (SRT, KEN), pp. 131–138.
HPDCHPDC-1993-MullinTDS #communication #formal method #protocol #scheduling
Formal Method for Scheduling, Routing and Communication Protocol (LMRM, ST, DRD, EAS), pp. 234–242.
DACDAC-1992-ChaoHH
Zero Skew Clock Net Routing (THC, YCH, JMH), pp. 518–523.
DACDAC-1992-FangFL #approach #multi #performance #problem
A New Efficient Approach to Multilayer Channel Routing Problem (SCF, WSF, SLL), pp. 579–584.
DACDAC-1992-Frankle #adaptation #layout
Iterative and Adaptive Slack Allocation for Performance-Driven Layout and FPGA Routing (JF), pp. 536–542.
DACDAC-1992-FujiiMMY #multi
A Multi-Layer Channel Router with New Style of Over-the-Cell Routing (TF, YM, TM, TY), pp. 585–588.
DACDAC-1992-Ho #modelling
New Models for Four- and Five-Layer Channel Routing (TTH), pp. 589–593.
DACDAC-1992-HouC #algorithm #permutation
A Pin Permutation Algorithm for Improving Over-the-Cell Channel Routing (CYH, CYRC), pp. 594–599.
DACDAC-1992-LiaoC #layout #synthesis
Routing Considerations in Symbolic Layout Synthesis (YL, SC), pp. 682–686.
DACDAC-1992-NatarajanSHS #performance
Over-the-Cell Channel Routing for High Performance Circuits (SN, NAS, NDH, MS), pp. 600–603.
DACDAC-1992-OkudaO #algorithm #generative #layout #performance
An Efficient Routing Algorithm for SOG Cell Generation on a Dense Gate-Isolated Layout Style (RO, SO), pp. 676–681.
STOCSTOC-1992-Leighton #parallel
Methods for Message Routing in Parallel Machines (FTL), pp. 77–96.
STOCSTOC-1992-MaggsS #algorithm #bound #network
Simple Algorithms for Routing on Butterfly Networks with Bounded Queues (Extended Abstract) (BMM, RKS), pp. 150–161.
DACDAC-1991-DaiDS #generative #sketching
Topological Routing in SURF: Generating a Rubber-Band sketch (WWMD, TD, DS), pp. 39–44.
DACDAC-1991-EnbodyLT #3d
Routing the 3-D Chip (RJE, GL, KHT), pp. 132–137.
DACDAC-1991-FangCFC #multi #problem
Constrained via Minimization with Practical Considerations for Multi-Layer VLSI/PCB Routing Problems (SCF, KEC, WSF, SJC), pp. 60–65.
DACDAC-1991-HolmesSS #algorithm #using
New Algorithm for Over-the-Cell Channel Routing Using Vacant Terminals (NDH, NAS, MS), pp. 126–131.
DACDAC-1991-KahngCR #geometry #recursion
High-Performance Clock Routing Based on Recursive Geometric Aatching (ABK, JC, GR), pp. 322–327.
DACDAC-1991-LinPHL #reduction
Channel Density Reduction by Routing Over The Cells (MSL, HWP, CYH, YLL), pp. 120–125.
DACDAC-1991-MassonEBWC #implementation #lisp #object-oriented
Object Oriented Lisp Implementation of the CHEOPS VLSI Floor Planning and Routing System (CM, RE, DB, DW, GC), pp. 259–264.
DACDAC-1991-Wang #layout #novel
Novel Routing Schemes for IC Layout, Part I: Two-Layer Channel Routing (DCW), pp. 49–53.
ASEKBSE-1991-Setliff #using
Using Domain Knowledge to Synthesize Routing Software (DES), pp. 77–82.
DACDAC-1990-AbouzeidSSP #multi #synthesis
Multilevel Synthesis Minimizing the Routing Factor (PA, KS, GS, FP), pp. 365–368.
DACDAC-1990-BrasenB #algorithm #named #optimisation
MHERTZ: A New Optimization Algorithm for Floorplanning and Global Routing (DRB, MLB), pp. 107–110.
DACDAC-1990-ChoudhuryS #constraints #generative
Constraint Generation for Routing Analog Circuits (UC, ALSV), pp. 561–566.
DACDAC-1990-CongPL #algorithm #design #modelling #standard
General Models and Algorithms for Over-the-Cell Routing in Standard Cell Design (JC, BP, CLL), pp. 709–715.
DACDAC-1990-EdahiroY #algorithm #standard
New Placement and Global Routing Algorithms for Standard Cell Layouts (ME, TY), pp. 642–645.
DACDAC-1990-GreeneRKG
Segmented Channel Routing (JWG, VPR, SK, AEG), pp. 567–572.
DACDAC-1990-HillS #synthesis
Global Routing Considerations in a Cell Synthesis System (DDH, DS), pp. 312–316.
DACDAC-1990-JacksonSK
Clock Routing for High-Performance ICs (MABJ, AS, ESK), pp. 573–579.
DACDAC-1990-SatoKO #hardware #implementation #memory management
A Hardware Implementation of Gridless Routing Based on Content Addressable Memory (MS, KK, TO), pp. 646–649.
DACDAC-1990-Wang #layout
Pad Placement and Ring Routing for Custom Chip Layout (DCW), pp. 193–199.
DACDAC-1989-FujiharaSIY #automation #named #optimisation #performance
DYNAJUST: An Efficient Automatic Routing Technique Optimizing Delay Conditions (YF, YS, YI, MY), pp. 791–794.
DACDAC-1989-GangulyP
Compaction of a Routed Channel on the Connection Machine (SG, VP), pp. 779–782.
DACDAC-1989-Groenveld #on the
On Global Wire Ordering for Macro-Cell Routing (PG), pp. 155–160.
DACDAC-1989-IgusaBS
ORCA a Sea-of-Gates Place and Route System (MI, MB, ALSV), pp. 122–127.
DACDAC-1989-JustSK #named
Plowing: Modifying Cells and Routing 45: 9D — Layouts (KMJ, WLS, TK), pp. 702–705.
DACDAC-1989-PitaksanonkulTLG #algorithm #named
DTR: A Defect-Tolerant Routing Algorithm (AP, ST, CL, JAG), pp. 795–798.
DACDAC-1989-SherwaniD #heuristic #problem
A New Heuristic for Single Row Routing Problems (NAS, JSD), pp. 167–172.
STOCSTOC-1989-AwerbuchBLP #adaptation #data type #distributed
Compact Distributed Data Structures for Adaptive Routing (Extended Abstract) (BA, ABN, NL, DP), pp. 479–489.
STOCSTOC-1989-Upfal
An O(log N) Deterministic Packet Routing Scheme (Preliminary Version) (EU), pp. 241–250.
DACDAC-1988-Cai #multi
Multi-Pads, Single Layer Power Net Routing in VLSI Circuits (HC), pp. 183–188.
DACDAC-1988-ChakravertiC #algorithm #array #metaprogramming
Routing Algorithm for Gate Array Macro Cells (AC, MJC), pp. 658–662.
DACDAC-1988-ChengD
Improved Channel Routing by Via Minimization and Shifting (CKC, DND), pp. 677–680.
DACDAC-1988-CongW #how
How to Obtain More Compactable Channel Routing Solutions (JC, DFW), pp. 663–666.
DACDAC-1988-OgawaTK #automation #layout
Automatic Layout Procedures for Serial Routing Devices (YO, HT, TK), pp. 642–645.
DACDAC-1988-Rose #named #parallel #standard
LocusRoute: A Parallel Global Router for Standard Cells (JR), pp. 189–195.
DACDAC-1988-Sechen #metaprogramming #using
Chip-Planning, Placement, and Global Routing of Macro/Custom Cell Integrated Circuits Using Simulated Annealing (CS), pp. 73–80.
DACDAC-1988-Zargham #parallel
Parallel Channel Routing (MRZ), pp. 128–133.
STOCSTOC-1988-KrizancPU #trade-off
A Time-Randomness Tradeoff for Oblivious Routing (Extended Abstract) (DK, DP, EU), pp. 93–102.
STOCSTOC-1988-PelegU #performance #trade-off
A Tradeoff between Space and Efficiency for Routing Tables (Extended Abstract) (DP, EU), pp. 43–52.
DACDAC-1987-Chen
Routing L-Shaped Channels in Nonslicing-Structure Placement (HHC), pp. 152–158.
DACDAC-1987-Dion #performance
Fast Printed Circuit Board Routing (JD), pp. 727–734.
DACDAC-1987-HealeyK #generative #logic #network
Abstract Routing of Logic Networks for Custom Module Generation (STH, WJK), pp. 230–236.
DACDAC-1987-Kaplan #approach
Routing with a Scanning Window-8Ma Unified Approach (DK), pp. 629–632.
DACDAC-1987-OlukotunM #parallel
A Preliminary Investigation into Parallel Routing on a Hypercube Computer (KO, TNM), pp. 814–820.
DACDAC-1987-RoylePVNS #geometry
Geometrical Compaction in One Dimension for Channel Routing (JR, MP, HV, NN, JS), pp. 140–145.
DACDAC-1987-SpiersE #performance
A High Performance Routing Engine (TDS, DAE), pp. 793–799.
DACDAC-1987-WonSE #hardware
A Hardware Accelerator for Maze Routing (YW, SS, YMEZ), pp. 800–806.
DACDAC-1986-EnbodyD
Near-optimal n-layer channel routing (RJE, DHCD), pp. 708–714.
DACDAC-1986-NavedaCD #approach #multi
A new approach to multi-layer PCB routing with short vias (JFN, KCC, DHCD), pp. 696–701.
DACDAC-1986-SechenS #standard
TimberWolf3.2: a new standard cell placement and global routing package (CS, ALSV), pp. 432–439.
DACDAC-1986-Szepieniec #slicing
Integrated placement/routing in sliced layouts (AAS), pp. 300–307.
DACDAC-1986-WatanabeS #algorithm #hardware #implementation
A new routing algorithm and its hardware implementation (TW, YS), pp. 574–580.
DACDAC-1986-Xiong #algorithm
Algorithms for global routing (JGX), pp. 824–830.
VLDBVLDB-1986-YuCDI #multi #on the
On Affinity Based Routing in Multi-System Data Sharing (PSY, DWC, DMD, BRI), pp. 249–256.
DACDAC-1985-AndouYMKSH #algorithm #automation
Automatic routing algorithm for VLSI (HA, IY, YM, YK, KS, KH), pp. 785–788.
DACDAC-1985-FinchMBS
A method for gridless routing of printed circuit boards (ACF, KJM, GJB, GS), pp. 509–515.
DACDAC-1985-HanS #algorithm
Layering algorithms for single row routing (SH, SS), pp. 516–522.
DACDAC-1985-JoobbaniS #knowledge-based #named
WEAVER: a knowledge-based routing expert (RJ, DPS), pp. 266–272.
DACDAC-1985-Joseph #approach
An expert systems approach to completing partially routed printed circuit boards (RLJ), pp. 523–528.
DACDAC-1985-SongC #algorithm
An algorithm for one and half layer channel routing (JNS, YKC), pp. 131–136.
DACDAC-1985-TeraiHK #array #metaprogramming #standard
A routing procedure for mixed array of custom macros and standard cells (HT, MH, TK), pp. 503–508.
STOCSTOC-1985-Feldman #fault tolerance #network
Fault Tolerance of Minimal Path Routings in a Network (PF), pp. 327–334.
STOCSTOC-1985-LeisersonM #algorithm #testing
Algorithms for Routing and Testing Routability of Planar VLSI Layouts (CEL, FMM), pp. 69–78.
STOCSTOC-1985-RaghavanT #array #graph
Provably Good Routing in Graphs: Regular Arrays (PR, CDT), pp. 79–87.
ICALPICALP-1985-KaufmannM
Routing Through a Generalized Switchbox (MK, KM), pp. 328–337.
DACDAC-1984-Clow #algorithm
A global routing algorithm for general cells (GWC), pp. 45–51.
DACDAC-1984-Dupenloup #array
A wire routing scheme for double-layer cell arrays (GD), pp. 32–37.
DACDAC-1984-PerskyT #multi
Topological routing of multi-bit data buses (GP, LVT), pp. 679–682.
DACDAC-1984-WardleWWMN #approach #declarative #design
A declarative design approach for combining macrocells by directed placement and constructive routing (CLW, CRW, CAW, JCM, BJN), pp. 594–601.
STOCSTOC-1984-BroderDFS #fault tolerance #network #performance
Efficient Fault Tolerant Routings in Networks (AZB, DD, MJF, BS), pp. 536–541.
STOCSTOC-1984-DolevHSS #fault tolerance #network
A New Look at Fault Tolerant Network Routing (DD, JYH, BS, HRS), pp. 526–535.
STOCSTOC-1984-Mirzaian
Channel Routing in VLSI (Extended Abstract) (AM), pp. 101–107.
DACDAC-1983-Drier #programming
Simplification of CNC programming for PWB routing (JD), p. 448.
DACDAC-1983-GamalS #array #statistics
A new statistical model for gate array routing (AEG, ZAS), pp. 671–674.
DACDAC-1983-Hsu #algorithm
General river routing algorithm (CPH), pp. 578–583.
DACDAC-1983-LeongL #problem
A new channel routing problem (HWL, CLL), pp. 584–590.
DACDAC-1983-NewtonY #array #optimisation
Optimisation of global routing for the UK5000 gate array by iteration (CON, PAY), pp. 651–657.
DACDAC-1983-PrazicB #array #automation #using
Automatic routing of double layer gate arrays using a moving cursor (BDP, MAB), pp. 644–650.
DACDAC-1983-RothermelM #design #using
Routing method for VLSI design using irregular cells (HJR, DAM), pp. 257–262.
STOCSTOC-1983-BakerBL #algorithm #approximate
An Approximation Algorithm for Manhattan Routing (Extended Abstract) (BSB, SNB, FTL), pp. 477–486.
DACDAC-1982-CiesielskiK
An analytical method for compacting routing area in integrated circuits (MJC, EK), pp. 30–37.
DACDAC-1982-DammGK #automation #hardware
Hardware support for automatic routing (ED, HG, KK), pp. 219–223.
DACDAC-1982-Heyns #algorithm
The 1-2-3 routing algorithm or the single channel 2-step router on 3 interconnection layers (WH), pp. 113–120.
DACDAC-1982-Hsu #2d #algorithm
A new two-dimensional routing algorithm (CPH), pp. 46–50.
DACDAC-1982-MudgeRLA #image #layout #validation
Cellular image processing techniques for VLSI circuit layout validation and routing (TNM, RAR, RML, DEA), pp. 537–543.
DACDAC-1982-NairHLV
Global wiring on a wire routing machine (RN, SJH, SL, RV), pp. 224–231.
DACDAC-1982-Pinter #on the
On routing two-point nets across a channel (RYP), pp. 894–902.
DACDAC-1982-Supowit #algorithm
A minimum-impact routing algorithm (KJS), pp. 104–112.
DACDAC-1982-SyedGB #on the
On routing for custom integrated circuits (ZAS, AEG, MAB), pp. 887–893.
DACDAC-1982-TeraiKSY #layout
A consideration of the number of horizontal grids used in the routing of a masterslice layout (MT, HK, KS, TY), pp. 121–128.
DACDAC-1982-WieselM #2d #problem
Two-dimensional channel routing and channel intersection problems (MW, DAM), pp. 733–739.
STOCSTOC-1982-BorodinH #modelling #parallel #sorting
Routing, Merging and Sorting on Parallel Models of Computation (Extended Abstract) (AB, JEH), pp. 338–344.
DACDAC-1981-AranoffA
Routing of printed circuit boards (SA, YA), pp. 130–136.
DACDAC-1981-CiesielskiK
An optimum layer assignment for routing in ICs and PCBs (MJC, EK), pp. 733–737.
DACDAC-1981-DoreauK #algorithm #named
TWIGY — a topological algorithm based routing system (MTD, PK), pp. 746–755.
DACDAC-1981-Heinisch
Aiming at a general routing strategy (JH), pp. 668–675.
DACDAC-1981-PerskyES #automation #layout
The Hughes Automated Layout System — automated LSI/VLSI layout based on channel routing (GP, CE, DMS), pp. 22–28.
DACDAC-1981-TsuiS #multi
A high-density multilayer PCB router based on necessary and sufficient conditions for single row routing (RYT, RJSI), pp. 372–381.
DACDAC-1981-YoungC #preprocessor
A preprocessor for channel routing (MHY, LC), pp. 756–761.
DACDAC-1980-HeynsSB #algorithm #problem
A line-expansion algorithm for the general routing problem with a guaranteed solution (WH, WS, HB), pp. 243–249.
DACDAC-1980-Lauther #data type
A data structure for gridless routing (UL), pp. 603–609.
DACDAC-1980-NishiokaKNYCNFU #automation #multi
An automatic routing system for high density multilayer printed wiring boards (IN, TK, HN, SY, TC, TN, TF, MU), pp. 520–527.
DACDAC-1980-ShiraishiH #performance #slicing
Efficient placement and routing techniques for master slice LSI (HS, FH), pp. 458–464.
SIGMODSIGMOD-1980-KwongW #concurrent #on the
On B-Trees: Routing Schemes and Concurrency (YSK, DW), pp. 207–211.
STOCSTOC-1980-Tompa #problem
An Optimal Solution to a Wire-Routing Problem (Preliminary Version) (MT), pp. 161–176.
DACDAC-1979-DysartK #automation #bound #branch
An application of branch and bound method to automatic printed circuit board routing (LD, MK), pp. 494–499.
DACDAC-1979-KawamotoK
The minimum width routing of A 2-row 2-layer polycell-layout (TK, YK), pp. 290–296.
DACDAC-1979-Marvik #interactive #online #sketching
An interactive routing program with On-line clean-up of sketched routes (OAM), pp. 500–505.
DACDAC-1979-MatsuiTEMSYSNK #automation #pipes and filters
Automatic pipe routing and material take-off system for chemical plant (YM, HT, SE, NM, SS, CY, TS, SN, BK), pp. 121–127.
DACDAC-1979-Pimont #algorithm
New algorithms for grid-less routing of high density printed circuit boards (SP), p. 485.
DACDAC-1979-SatoNSY #design #layout #named
MIRAGE — a simple-model routing program for the hierarchical layout design of IC masks (KS, TN, HS, TY), pp. 297–304.
DACDAC-1978-DoreauA #algorithm #distance
A topologically based non-minimum distance routing algorithm (MTD, LCA), pp. 92–99.
DACDAC-1978-Fisher #approach #multi
A multi-pass, multi-algorithm approach to PCB routing (RSF), pp. 82–91.
DACDAC-1977-AgarwalB #algorithm #aspect-oriented
Some theoretical aspects of algorithmic routing (PA, MAB), pp. 23–31.
DACDAC-1977-Peterson #automation #interactive
Computer/interactive cleanup of non-gridded PWB’s after automatic routing (DPP), pp. 43–57.
DACDAC-1976-HellerF #approach
An organizational approach to routing printed circuit boards (BDH, RSF), pp. 168–171.
DACDAC-1976-KamikawaiKOYC
Placement and routing program for master-slice LSI’s (RK, KK, AO, IY, TC), pp. 245–250.
DACDAC-1976-PattersonP #automation
A proven operational CAD system for P.W.B. design-based on a mini-computer and featuring fully automatic placement and routing (GLP, BHP), pp. 259–264.
DACDAC-1976-WuS #algorithm #float
A new routing algorithm for two-sided boards with floating vias (WW, DCS), pp. 151–160.
DACDAC-1975-Brennan #algorithm #automation
An algorithm for automatic line routing on Schematic Drawings (RJB), pp. 324–330.
DACDAC-1975-MironT #automation
The automatic printed wire routing system of BACKIS (GJM, SRT), pp. 311–316.
DACDAC-1974-Rubin
An iterative technique for printed wire routing (FR), pp. 308–313.
DACDAC-1973-KernighanSP #algorithm
An optimum channel-routing algorithm for polycell layouts of integrated circuits (BWK, DGS, GP), pp. 50–59.
DACDAC-1973-PerskyG #named
GRAFOS — A symbolic routing language (GP, HKG), pp. 173–181.
DACDAC-1972-MahS72a
Topologic class routing for printed circuit boards (LM, LS), pp. 80–93.
DACDAC-1971-HashimotoS #optimisation #scalability
Wire routing by optimizing channel assignment within large apertures (AH, JGS), pp. 155–169.
DACDAC-1969-Hightower #problem
A solution to line-routing problems on the continuous plane (DWH), pp. 1–24.

Bibliography of Software Language Engineering in Generated Hypertext (BibSLEIGH) is created and maintained by Dr. Vadim Zaytsev.
Hosted as a part of SLEBOK on GitHub.