BibSLEIGH
BibSLEIGH corpus
BibSLEIGH tags
BibSLEIGH bundles
BibSLEIGH people
CC-BY
Open Knowledge
XHTML 1.0 W3C Rec
CSS 2.1 W3C CanRec
email twitter
Used together with:
system (84)
memori (83)
chip (72)
share (70)
schedul (39)

Stem multiprocessor$ (all stems)

359 papers:

DATEDATE-2015-ChenZWWWZ #multi #named #pseudo #simulation
MRP: mix real cores and pseudo cores for FPGA-based chip-multiprocessor simulation (XC, GZ, HW, RW, PW, LZ), pp. 211–216.
DATEDATE-2015-HanFNQ #energy #fault tolerance #multi #scheduling
Energy minimization for fault tolerant scheduling of periodic fixed-priority applications on multiprocessor platforms (QH, MF, LN, GQ), pp. 830–835.
DATEDATE-2015-LaerEMWJ #multi #predict
Coherence based message prediction for optically interconnected chip multiprocessors (AVL, CE, MRM, PMW, TMJ), pp. 613–616.
DATEDATE-2015-MazloumiM #hybrid #memory management #multi
A hybrid packet/circuit-switched router to accelerate memory access in NoC-based chip multiprocessors (AM, MM), pp. 908–911.
DATEDATE-2015-PoplavkoSBBB #execution #modelling #multi #realtime
Models for deterministic execution of real-time multiprocessor applications (PP, DS, PB, SB, MB), pp. 1665–1670.
CAVCAV-2015-VijayaraghavanC #composition #deduction #design #hardware #multi #verification
Modular Deductive Verification of Multiprocessor Hardware Designs (MV, AC, A, ND), pp. 109–127.
DATEDATE-2014-DamodaranWH #distributed #multi
Distributed cooperative shared last-level caching in tiled multiprocessor system on chip (PPD, SW, AH), pp. 1–4.
DATEDATE-2014-GuGD0 #multi #scheduling
Partitioned mixed-criticality scheduling on multiprocessor platforms (CG, NG, QD, WY), pp. 1–6.
SIGMODSIGMOD-2014-KieferKSHML #in memory #multi
ERIS live: a NUMA-aware in-memory storage engine for tera-scale multiprocessor systems (TK, TK, BS, DH, DM, WL), pp. 689–692.
AdaEuropeAdaEurope-2014-QamhiehM #analysis #graph #multi #scheduling
Schedulability Analysis for Directed Acyclic Graphs on Multiprocessor Systems at a Subtask Level (MQ, SM), pp. 119–133.
AdaEuropeAdaEurope-2014-SaezRC #ada #multi #realtime #reliability #scheduling
Reliable Handling of Real-Time Scheduling Attributes on Multiprocessor Platforms in Ada 2012 (SS, JR, AC), pp. 74–90.
ASPLOSASPLOS-2014-HonarmandT #multi #named
RelaxReplay: record and replay for relaxed-consistency multiprocessors (NH, JT), pp. 223–238.
ASPLOSASPLOS-2014-ZahediL #multi #named
REF: resource elasticity fairness with sharing incentives for multiprocessors (SMZ, BCL), pp. 145–160.
DACDAC-2013-SinghDK #energy #execution #multi #optimisation #streaming
Energy optimization by exploiting execution slacks in streaming applications on multiprocessor systems (AKS, AD, AK), p. 7.
DATEDATE-2013-ChenHBK #energy #multi #optimisation #pipes and filters #worst-case
Energy optimization with worst-case deadline guarantee for pipelined multiprocessor systems (GC, KH, CB, AK), pp. 45–50.
DATEDATE-2013-DasKV #multi
Reliability-driven task mapping for lifetime extension of networks-on-chip based multiprocessor systems (AD, AK, BV), pp. 689–694.
DATEDATE-2013-RutzigBC #configuration management #energy #framework #multi
A transparent and energy aware reconfigurable multiprocessor platform for simultaneous ILP and TLP exploitation (MBR, ACSB, LC), pp. 1559–1564.
SACSAC-2013-HuangMGM #multi #realtime #scalability
Throughput-constrained voltage and frequency scaling for real-time heterogeneous multiprocessors (PH, OM, KG, AMM), pp. 1517–1524.
HPCAHPCA-2013-AgrawalJAT #multi #named
Refrint: Intelligent refresh to minimize power in on-chip multiprocessor cache hierarchies (AA, PJ, AA, JT), pp. 400–411.
DACDAC-2012-UkhovBEP #analysis #embedded #multi #optimisation #reliability
Steady-state dynamic temperature analysis and reliability optimization for embedded multiprocessor systems (IU, MB, PE, ZP), pp. 197–204.
DACDAC-2012-ZhaoJDZKI #design #hybrid #multi #optimisation
A hybrid NoC design for cache coherence optimization for chip multiprocessors (HZ, OJ, WD, YZ, MTK, MJI), pp. 834–842.
AdaEuropeAdaEurope-2012-SaezRC #framework #multi #realtime
An Integrated Framework for Multiprocessor, Multimoded Real-Time Applications (SS, JR, AC), pp. 18–34.
SACSAC-2012-BaroBCNP #multi #scheduling
Off-line (Optimal) multiprocessor scheduling of dependent periodic tasks (JB, FB, MC, EN, CP), pp. 1815–1820.
ASPLOSASPLOS-2012-DeVuystVT #execution #migration #multi
Execution migration in a heterogeneous-ISA chip multiprocessor (MD, AV, DMT), pp. 261–272.
CAVCAV-2012-Mador-HaimMSMAOAMSW #axiom #memory management #multi
An Axiomatic Memory Model for POWER Multiprocessors (SMH, LM, SS, KM, JA, SO, RA, MMKM, PS, DW), pp. 495–512.
DACDAC-2011-DellingerGR #kernel #linux #multi #realtime
ChronOS Linux: a best-effort real-time multiprocessor Linux kernel (MD, PG, BR), pp. 474–479.
DATEDATE-2011-AnjamNW #multi #runtime
Targeting code diversity with run-time adjustable issue-slots in a chip multiprocessor (FA, MN, SW), pp. 1358–1363.
DATEDATE-2011-ChouM #multi #named #resource management
FARM: Fault-aware resource management in NoC-based multiprocessor platforms (CLC, RM), pp. 673–678.
DATEDATE-2011-GeunsBBC #parallel #source code
Parallelization of while loops in nested loop programs for shared-memory multiprocessor systems (SJG, MJGB, TB, HC), pp. 697–702.
DATEDATE-2011-GhasemazarP #architecture #multi #power management
Variation aware dynamic power management for chip multiprocessor architectures (MG, MP), pp. 473–478.
DATEDATE-2011-JuanGM #3d #evaluation #multi #process #statistics
Statistical thermal evaluation and mitigation techniques for 3D Chip-Multiprocessors in the presence of process variations (DCJ, SG, DM), pp. 383–388.
PLDIPLDI-2011-SarkarSAMW #comprehension #multi
Understanding POWER multiprocessors (SS, PS, JA, LM, DW), pp. 175–186.
AdaEuropeAdaEurope-2011-ChouteauR #design #implementation #multi
Design and Implementation of a Ravenscar Extension for Multiprocessors (FC, JFR), pp. 31–45.
AdaEuropeAdaEurope-2011-SaezTC #ada #framework #multi #realtime #using
A Real-Time Framework for Multiprocessor Platforms Using Ada 2012 (SS, ST, AC), pp. 46–60.
SACSAC-2011-CordovillaBNP #multi #scheduling
Multiprocessor schedulability analyser (MC, FB, EN, CP), pp. 735–741.
CGOCGO-2011-LeeT #automation #fine-grained #parallel
Automatic parallelization of fine-grained meta-functions on a chip multiprocessor (SL, JT), pp. 130–140.
HPCAHPCA-2011-BhattacharjeeLM #multi
Shared last-level TLBs for chip multiprocessors (AB, DL, MM), pp. 62–63.
HPCAHPCA-2011-LeeTST #fine-grained #multi #named #thread
HAQu: Hardware-accelerated queueing for fine-grained threading on a chip multiprocessor (SL, DT, YS, JT), pp. 99–110.
LCTESLCTES-2011-ChattopadhyayR #multi
Static bus schedule aware scratchpad allocation in multiprocessors (SC, AR), pp. 11–20.
DACDAC-2010-IhrigMJ #automation #design #manycore #modelling
Automated modeling and emulation of interconnect designs for many-core chip multiprocessors (CJI, RGM, AKJ), pp. 431–436.
DACDAC-2010-JuHRC #analysis #multi #source code
Timing analysis of esterel programs on general-purpose multiprocessors (LJ, BKH, AR, SC), pp. 48–51.
DACDAC-2010-TanWALCPA #architecture #multi
RAMP gold: an FPGA-based architecture simulator for multiprocessors (ZT, AW, RA, YL, HC, DAP, KA), pp. 463–468.
DACDAC-2010-YuVH #adaptation #multi #realtime #scheduling
Leakage-aware dynamic scheduling for real-time adaptive applications on multiprocessor systems (HY, BV, YH), pp. 493–498.
DACDAC-2010-ZhaoDX #3d #cost analysis #design #manycore
Cost-aware three-dimensional (3D) many-core multiprocessor design (JZ, XD, YX), pp. 126–131.
DATEDATE-2010-HaastregtHK #cost analysis #modelling #multi
Cost modeling and cycle-accurate co-simulation of heterogeneous multiprocessor systems (SvH, EH, BK), pp. 1297–1300.
DATEDATE-2010-SchlieckerNE #analysis #bound #multi #performance
Bounding the shared resource load for the performance analysis of multiprocessor systems (SS, MN, RE), pp. 759–764.
DATEDATE-2010-SubramanyanSSL #execution #fault tolerance #multi #performance
Multiplexed redundant execution: A technique for efficient fault tolerance in chip multiprocessors (PS, VS, KKS, EL), pp. 1572–1577.
DATEDATE-2010-TotaCRRZ #architecture #hybrid #message passing #multi #named
MEDEA: a hybrid shared-memory/message-passing multiprocessor NoC-based architecture (ST, MRC, MRR, LR, MZ), pp. 45–50.
DATEDATE-2010-TumeoRPFS #architecture #configuration management #implementation #multi #recognition #reliability
A reconfigurable multiprocessor architecture for a reliable face recognition implementation (AT, FR, GP, FF, DS), pp. 319–322.
DATEDATE-2010-VidalLGDS #configuration management #design #embedded #multi #uml
UML design for dynamically reconfigurable multiprocessor embedded systems (JV, FdL, GG, JPD, PS), pp. 1195–1200.
AdaEuropeAdaEurope-2010-BurnsW #ada #multi #representation
Dispatching Domains for Multiprocessor Platforms and Their Representation in Ada (AB, AJW), pp. 41–53.
AdaEuropeAdaEurope-2010-SaezC #ada #linux #multi
Preliminary Multiprocessor Support of Ada 2012 in GNU/Linux Systems (SS, AC), pp. 68–82.
SACSAC-2010-ChenT #energy #multi #scheduling
Energy-efficient scheduling on homogeneous multiprocessor platforms (JJC, LT), pp. 542–549.
ASPLOSASPLOS-2010-BhattacharjeeM #multi
Inter-core cooperative TLB for chip multiprocessors (AB, MM), pp. 359–370.
ASPLOSASPLOS-2010-LeeWVNCF #multi #named #online #performance
Respec: efficient online multiprocessor replayvia speculation and external determinism (DL, BW, KV, SN, PMC, JF), pp. 77–90.
CCCC-2010-JiangZTS #analysis #distance #locality #multi #question #reuse
Is Reuse Distance Applicable to Data Locality Analysis on Chip Multiprocessors? (YJ, EZZ, KT, XS), pp. 264–282.
HPCAHPCA-2010-LeeCC #multi #named #performance
StimulusCache: Boosting performance of chip multiprocessors with excess cache (HL, SC, BRC), pp. 1–12.
HPCAHPCA-2010-LiuJS #clustering #comprehension #how #memory management #multi #performance
Understanding how off-chip memory bandwidth partitioning in Chip Multiprocessors affects system performance (FL, XJ, YS), pp. 1–12.
LCTESLCTES-2010-OzturkKIN #compilation #multi #reliability
Compiler directed network-on-chip reliability enhancement for chip multiprocessors (ÖÖ, MTK, MJI, SHKN), pp. 85–94.
DACDAC-2009-IsshikiLKIS #multi #simulation
Trace-driven workload simulation method for Multiprocessor System-On-Chips (TI, DL, HK, TI, KS), pp. 232–237.
DACDAC-2009-JavaidP #design #multi #pipes and filters
A design flow for application specific heterogeneous pipelined multiprocessor systems (HJ, SP), pp. 250–253.
DACDAC-2009-YooYC #design #memory management #multi #performance
Multiprocessor System-on-Chip designs with active memory processors for higher memory efficiency (JhY, SY, KC), pp. 806–811.
DACDAC-2009-ZhangBDSJ #multi #process
Process variation characterization of chip-level multiprocessors (LZ, LSB, RPD, LS, RJ), pp. 694–697.
DATEDATE-2009-CongG #energy #multi #performance #scheduling
Energy efficient multiprocessor task scheduling under input-dependent variation (JC, KG), pp. 411–416.
DATEDATE-2009-FahmyRJ #bound #distributed #memory management #multi #on the #realtime #transaction
On bounding response times under software transactional memory in distributed multiprocessor real-time systems (SFF, BR, EDJ), pp. 688–693.
DATEDATE-2009-GuXZ #multi #power management
A low-power fat tree-based optical Network-On-Chip for multiprocessor system-on-chip (HG, JX, WZ), pp. 3–8.
DATEDATE-2009-HongNKO #concurrent #multi #process #thread
Process variation aware thread mapping for Chip Multiprocessors (SH, SHKN, MTK, ÖÖ), pp. 821–826.
DATEDATE-2009-KandemirZO #adaptation #multi
Adaptive prefetching for shared cache based chip multiprocessors (MTK, YZ, ÖÖ), pp. 773–778.
DATEDATE-2009-KhanK09a #architecture #co-evolution #design #hardware #multi
Hardware/software co-design architecture for thermal management of chip multiprocessors (OK, SK), pp. 952–957.
DATEDATE-2009-NegreanSE #analysis #multi
Response-time analysis of arbitrarily activated tasks in multiprocessor systems with shared resources (MN, SS, RE), pp. 524–529.
DATEDATE-2009-PanKK #multi #reliability
Improving yield and reliability of chip multiprocessors (AP, OK, SK), pp. 490–495.
DATEDATE-2009-SahuBP #concurrent #estimation #framework #multi #performance #thread
A generic platform for estimation of multi-threaded program performance on heterogeneous multiprocessors (AS, MB, PRP), pp. 1018–1023.
DATEDATE-2009-YangCKT #approximate #energy #multi #realtime #scheduling
An approximation scheme for energy-efficient scheduling of real-time tasks in heterogeneous multiprocessor systems (CYY, JJC, TWK, LT), pp. 694–699.
DATEDATE-2009-ZridaJAA #implementation #parallel #video
High level H.264/AVC video encoder parallelization for multiprocessor implementation (HKZ, AJ, ACA, MA), pp. 940–945.
POPLPOPL-2009-SarkarSNORBMA #multi #semantics
The semantics of x86-CC multiprocessor machine code (SS, PS, FZN, SO, TR, TB, MOM, JA), pp. 379–391.
ASPLOSASPLOS-2009-MontesinosHKT #interface #multi #named
Capo: a software-hardware interface for practical deterministic multiprocessor replay (PM, MH, STK, JT), pp. 73–84.
HPCAHPCA-2009-Chaudhuri #locality #multi #named #policy #scalability
PageNUCA: Selected policies for page-grain locality management in large shared chip-multiprocessor caches (MC), pp. 227–238.
PPoPPPPoPP-2009-LiBH #distributed #multi #performance #scalability #scheduling #using
Efficient and scalable multiprocessor fair scheduling using distributed weighted round-robin (TL, DPB, SH), pp. 65–74.
PPoPPPPoPP-2009-SchneiderYRLSN #comparison #memory management #modelling #multi #programming
A comparison of programming models for multiprocessors with explicitly managed memory hierarchies (SS, JSY, BR, JCL, AS, DSN), pp. 131–140.
PPoPPPPoPP-2009-SonKKC #multi
A compiler-directed data prefetching scheme for chip multiprocessors (SWS, MTK, MK, DRC), pp. 209–218.
SOSPSOSP-2009-ParkZXYKLL #execution #multi #named #probability #sketching
PRES: probabilistic replay with execution sketching on multiprocessors (SP, YZ, WX, ZY, RK, KHL, SL), pp. 177–192.
DACDAC-2008-ChangWSC #algorithm #energy #multi #named
ETAHM: an energy-aware task allocation algorithm for heterogeneous multiprocessor (PCC, IWW, JJJS, CPC), pp. 776–779.
DACDAC-2008-ChenLSK #multi
Application mapping for chip multiprocessors (GC, FL, SWS, MTK), pp. 620–625.
DACDAC-2008-CoskunRG #learning #multi #online #using
Temperature management in multiprocessor SoCs using online learning (AKC, TSR, KCG), pp. 890–893.
DACDAC-2008-GaoKKLAM #estimation #hybrid #multi #performance #simulation #using
Multiprocessor performance estimation using hybrid simulation (LG, KK, SK, RL, GA, HM), pp. 325–330.
DACDAC-2008-GoraczkoLLMPZ #clustering #embedded #energy #multi
Energy-optimal software partitioning in heterogeneous multiprocessor embedded systems (MG, JL, DL, SM, BP, FZ), pp. 191–196.
DACDAC-2008-HerbertM #multi #variability
Characterizing chip-multiprocessor variability-tolerance (SH, DM), pp. 313–318.
DACDAC-2008-MoussaBJ #flexibility #multi #network
Binary de Bruijn on-chip network for a flexible multiprocessor LDPC decoder (HM, AB, MJ), pp. 429–434.
DACDAC-2008-YuP #communication #embedded #latency #multi #performance
Latency and bandwidth efficient communication through system customization for embedded multiprocessors (CY, PP), pp. 766–771.
DATEDATE-2008-ApostolakisGPP #functional #multi #self #symmetry
Functional Self-Testing for Bus-Based Symmetric Multiprocessors (AA, DG, MP, AMP), pp. 1304–1309.
DATEDATE-2008-CosteGHHTZ #architecture #design #embedded #evaluation #parallel #thread #validation
Quantitative Evaluation in Embedded System Design: Validation of Multiprocessor Multithreaded Architectures (NC, HG, HH, RH, YT, MZ), pp. 88–89.
DATEDATE-2008-EdwardsVT #compilation #concurrent #memory management #message passing #multi #programming #thread
Programming Shared Memory Multiprocessors with Deterministic Message-Passing Concurrency: Compiling SHIM to Pthreads (SAE, NV, OT), pp. 1498–1503.
DATEDATE-2008-MalaniMQW #adaptation #multi #nondeterminism #realtime #scalability #scheduling
Adaptive Scheduling and Voltage Scaling for Multiprocessor Real-time Applications with Non-deterministic Workload (PM, PM, QQ, QW), pp. 652–657.
DATEDATE-2008-MeyerowitzSSL #multi #simulation
Source-Level Timing Annotation and Simulation for a Heterogeneous Multiprocessor (TM, ALSV, MS, DL), pp. 276–279.
DATEDATE-2008-MolnosHC #composition #embedded #multi
Compositional, dynamic cache management for embedded chip multiprocessors (AMM, MJMH, SDC), pp. 991–996.
DATEDATE-2008-MoonenBBM #multi #streaming
Cache Aware Mapping of Streaming Applications on a Multiprocessor System-on-Chip (AM, MB, RvdB, JLvM), pp. 300–305.
DATEDATE-2008-MulasPBCABAM #architecture #multi #policy #streaming
Thermal Balancing Policy for Streaming Computing on Multiprocessor Architectures (FM, MP, MB, SC, AA, LB, DA, GDM), pp. 734–739.
DATEDATE-2008-PurnaprajnaPP #configuration management #encryption #multi #power management
Power Aware Reconfigurable Multiprocessor for Elliptic Curve Cryptography (MP, CP, MP), pp. 1462–1467.
DATEDATE-2008-TumeoBCCMPFS #multi #realtime
A Dual-Priority Real-Time Multiprocessor System on FPGA for Automotive Applications (AT, MB, LC, MC, MM, GP, FF, DS), pp. 1039–1044.
SACSAC-2008-ChenCK #multi #realtime
Multiprocessor frequency locking for real-time task synchronization (YSC, LPC, TWK), pp. 289–293.
SACSAC-2008-Ercan #comparison #hybrid #multi #performance #scheduling
A performance comparison of PSO and GA in scheduling hybrid flow-shops with multiprocessor tasks (MFE), pp. 1767–1771.
ASPLOSASPLOS-2008-BallapuramSL #behaviour #multi #semantics
Exploiting access semantics and program behavior to reduce snoop power in chip multiprocessors (CSB, AS, HHSL), pp. 60–69.
ASPLOSASPLOS-2008-SrikantaiahKI #adaptation #multi #set
Adaptive set pinning: managing shared caches in chip multiprocessors (SS, MTK, MJI), pp. 135–144.
HPCAHPCA-2008-RogersYCPS #distributed #memory management #multi
Single-level integrity and confidentiality protection for distributed shared memory multiprocessors (BR, CY, SC, MP, YS), pp. 161–172.
DACDAC-2007-PetlinS #functional #multi #verification
Functional Verification of SiCortex Multiprocessor System-on-a-Chip (OP, WS), pp. 906–909.
DACDAC-2007-SheeP #design #multi #pipes and filters
Design Methodology for Pipelined Heterogeneous Multiprocessor System (SLS, SP), pp. 811–816.
DACDAC-2007-StuijkBGC #data flow #graph #multi #resource management
Multiprocessor Resource Allocation for Throughput-Constrained Synchronous Dataflow Graphs (SS, TB, MG, HC), pp. 777–782.
DACDAC-2007-XianLL #energy #execution #multi #nondeterminism #realtime #scheduling
Energy-Aware Scheduling for Real-Time Multiprocessor Systems with Uncertain Task Execution Time (CX, YHL, ZL), pp. 664–669.
DATEDATE-2007-CucuG #multi #scheduling
Feasibility intervals for multiprocessor fixed-priority scheduling of arbitrary deadline periodic systems (LC, JG), pp. 1635–1640.
DATEDATE-2007-GrassmannRS #architecture #multi #physics #standard
Mapping the physical layer of radio standards to multiprocessor architectures (CG, MR, MS), pp. 1412–1417.
DATEDATE-2007-GuptaOJWB #comprehension #distributed #multi #network #using
Understanding voltage variations in chip multiprocessors using a distributed power-delivery network (MSG, JLO, RJ, GYW, DMB), pp. 624–629.
DATEDATE-2007-MoussaMBJ #communication #multi #network
Butterfly and benes-based on-chip communication networks for multiprocessor turbo decoding (HM, OM, AB, MJ), pp. 654–659.
DATEDATE-2007-NjorogeCWTGKO #memory management #multi #named #transaction
ATLAS: a chip-multiprocessor with transactional memory support (NN, JC, SW, YT, DG, CK, KO), pp. 3–8.
DATEDATE-2007-QiuXSS #embedded #energy #multi #realtime
Energy minimization with soft real-time and DVS for uniprocessor and multiprocessor embedded systems (MQ, CX, ZS, EHMS), pp. 1641–1646.
DATEDATE-2007-SatishRK #approach #communication #constraints #graph #multi #optimisation #scheduling
A decomposition-based constraint optimization approach for statically scheduling task graphs with communication delays to multiprocessors (NS, KR, KK), pp. 57–62.
DATEDATE-2007-SugiharaIM #architecture #multi #reliability #scheduling
Task scheduling for reliable cache architectures of multiprocessor systems (MS, TI, KM), pp. 1490–1495.
VLDBVLDB-2007-CieslewiczR #adaptation #multi
Adaptive Aggregation on Chip Multiprocessors (JC, KAR), pp. 339–350.
SACSAC-2007-MoreiraMB #multi #online #resource management
Online resource management in a multiprocessor with a network-on-chip (OM, JJDM, MB), pp. 1557–1564.
HPCAHPCA-2007-AlameldeenW #interactive #multi
Interactions Between Compression and Prefetching in Chip Multiprocessors (ARA, DAW), pp. 228–239.
HPCAHPCA-2007-DybdahlS #adaptation #clustering #multi
An Adaptive Shared/Private NUCA Cache Partitioning Scheme for Chip Multiprocessors (HD, PS), pp. 2–12.
HPCAHPCA-2007-RangerRPBK #manycore #pipes and filters
Evaluating MapReduce for Multi-core and Multiprocessor Systems (CR, RR, AP, GRB, CK), pp. 13–24.
ISMMISMM-2007-PizloFPS #garbage collection #multi #named #realtime
Stopless: a real-time garbage collector for multiprocessors (FP, DF, EP, BS), pp. 159–172.
LCTESLCTES-2007-ChenLK #multi
Compiler-directed application mapping for NoC based chip multiprocessors (GC, FL, MTK), pp. 155–157.
PPoPPPPoPP-2007-SpearSHDS #communication #memory management #multi #named
Alert-on-update: a communication aid for shared memory multiprocessors (MFS, AS, HH, SD, MLS), pp. 132–133.
DACDAC-2006-AroraRRSJC #architecture #mobile #multi #security
Software architecture exploration for high-performance security processing on a multiprocessor mobile SoC (DA, AR, SR, MS, NKJ, STC), pp. 496–501.
DACDAC-2006-IsseninBDD #analysis #memory management #multi #reuse
Multiprocessor system-on-chip data reuse analysis for exploring customized memory hierarchies (II, EB, BD, ND), pp. 49–52.
DACDAC-2006-KhatibPBBBKJN #analysis #architecture #design #monitoring #multi #realtime
A multiprocessor system-on-chip for real-time biomedical monitoring and analysis: architectural design space exploration (IAK, FP, DB, LB, MB, HK, AJ, RN), pp. 125–130.
DACDAC-2006-ZhuQ #fault tolerance #multi #prototype #runtime
Prototyping a fault-tolerant multiprocessor SoC with run-time fault recovery (XZ, WQ), pp. 53–56.
DATEDATE-2006-ArpinenKSHH #configuration management #distributed #execution #framework #multi #uml
Configurable multiprocessor platform with RTOS for distributed execution of UML 2.0 designed applications (TA, PK, ES, MH, TDH), pp. 1324–1329.
DATEDATE-2006-HsuCK #constraints #energy #multi #realtime #synthesis
Multiprocessor synthesis for periodic hard real-time tasks under a given energy constraint (HRH, JJC, TWK), pp. 1061–1066.
DATEDATE-2006-MaC #embedded #energy #multi #realtime #scalability #trade-off
Scalable performance-energy trade-off exploration of embedded real-time systems on multiprocessor platforms (ZM, FC), pp. 1073–1078.
DATEDATE-2006-MullerBJ #design #multi
ASIP-based multiprocessor SoC design for simple and double binary turbo decoding (OM, AB, MJ), pp. 1330–1335.
DATEDATE-2006-Stanley-MarbellLR #adaptation #concurrent #embedded #library #multi #thread
Adaptive data placement in an embedded multiprocessor thread library (PSM, KL, AR), pp. 698–699.
ASPLOSASPLOS-2006-KgilDSBDMRF #3d #energy #multi #named #performance #using
PicoServer: using 3D stacking technology to enable a compact energy efficient chip multiprocessor (TK, SD, AGS, NLB, RGD, TNM, SKR, KF), pp. 117–128.
CGOCGO-2006-LiaoDWL #multi #streaming
Data and Computation Transformations for Brook Streaming Applications on Multiprocessors (SWL, ZD, GW, GYL), pp. 196–207.
HPCAHPCA-2006-LiM #adaptation #parallel
Dynamic power-performance adaptation of parallel computation on chip multiprocessors (JL, JFM), pp. 77–87.
DACDAC-2005-Goldfeder #embedded #multi
Frequency-based code placement for embedded multiprocessors (CG), pp. 696–699.
DACDAC-2005-SchaumontLQV #architecture #design #energy #multi #thread
Cooperative multithreading on 3mbedded multiprocessor architectures enables energy-scalable design (PS, BCCL, WQ, IV), pp. 27–30.
DATEDATE-2005-StuijkBMG #data type #multi #predict #scalability
Predictable Embedding of Large Data Structures in Multiprocessor Networks-on-Chip (SS, TB, BM, MG), pp. 254–255.
DATEDATE-2005-VillaSVMP #framework #integration #memory management #multi #performance
Fast Dynamic Memory Integration in Co-Simulation Frameworks for Multiprocessor System on-Chip (OV, PS, IV, MM, GP), pp. 804–805.
DATEDATE-2005-Wolf #multi
Multimedia Applications of Multiprocessor Systems-on-Chips (WW), pp. 86–89.
DATEDATE-2005-YangCK #algorithm #approximate #energy #multi #scheduling
An Approximation Algorithm for Energy-Efficient Scheduling on A Chip Multiprocessor (CYY, JJC, TWK), pp. 468–473.
VLDBVLDB-2005-ColohanASM #parallel #transaction
Optimistic Intra-Transaction Parallelism on Chip Multiprocessors (CBC, AA, JGS, TCM), pp. 73–84.
SACSAC-2005-FarahabadyS #multi #network #recursion
The recursive transpose-connected cycles (RTCC) interconnection network for multiprocessors (MHF, HSA), pp. 734–738.
SACSAC-2005-MonemizadehS #multi #network #scalability
The necklace-hypercube: a well scalable hypercube-based interconnection network for multiprocessors (MM, HSA), pp. 729–733.
HPCAHPCA-2005-SpracklenCA #effectiveness #multi
Effective Instruction Prefetching in Chip Multiprocessors for Modern Commercial Applications (LS, YC, SGA), pp. 225–236.
HPCAHPCA-2005-ZhangGYZG #memory management #multi #named #security #symmetry
SENSS: Security Enhancement to Symmetric Shared Memory Multiprocessors (YZ, LG, JY, XZ, RG), pp. 352–362.
PPoPPPPoPP-2005-JungLLH #adaptation #architecture #execution #multi #smt
Adaptive execution techniques for SMT multiprocessor architectures (CJ, DL, JL, SH), pp. 236–246.
DACDAC-2004-HanBBCJ #architecture #data transfer #distributed #flexibility #memory management #multi #performance #scalability
An efficient scalable and flexible data transfer architecture for multiprocessor SoC with massive distributed memory (SIH, AB, MB, SIC, AAJ), pp. 250–255.
DACDAC-2004-Kandemir #multi #named #scheduling
LODS: locality-oriented dynamic scheduling for on-chip multiprocessors (MTK), pp. 125–128.
DACDAC-2004-PieperMPTK #multi #simulation
High level cache simulation for heterogeneous multiprocessors (JJP, AM, JMP, DET, FK), pp. 287–292.
DACDAC-2004-Wolf #future of #multi
The future of multiprocessor systems-on-chips (WW), pp. 681–685.
DATEDATE-DF-2004-MenichelliOBDB #architecture #design #multi #power management
A Simulation-Based Power-Aware Architecture Exploration of a Multiprocessor System-on-Chip Design (FM, MO, LB, MD, LB), pp. 312–317.
DATEDATE-v2-2004-GrunewaldNPR #multi #network
A Mapping Strategy for Resource-Efficient Network Processing on Multiprocessor SoC (MG, JCN, MP, UR), pp. 758–763.
DATEDATE-v2-2004-KadayifKK #energy #multi
Exploiting Processor Workload Heterogeneity for Reducing Energy Consumption in Chip Multiprocessors (IK, MTK, IK), pp. 1158–1163.
DATEDATE-v2-2004-SuhBL #multi
Supporting Cache Coherence in Heterogeneous Multiprocessor Systems (TS, DMB, HHSL), pp. 1150–1157.
HPCAHPCA-2004-LiMH #energy #multi
The Thrifty Barrier: Energy-Aware Synchronization in Shared-Memory Multiprocessors (JL, JFM, MCH), pp. 14–23.
DACDAC-2003-PaulBNPT #design #modelling #multi #programmable
Schedulers as model-based design elements in programmable heterogeneous multiprocessors (JMP, AB, JEN, JJP, DET), pp. 408–411.
DATEDATE-2003-GilbertTW #architecture #communication #embedded #multi
Communication Centric Architectures for Turbo-Decoding on Embedded Multiprocessors (FG, MJT, NW), pp. 10356–10363.
DATEDATE-2003-KandemirZK #parallel #runtime
Runtime Code Parallelization for On-Chip Multiprocessors (MTK, WZ, MK), pp. 10510–10515.
DATEDATE-2003-PetrotG #api #implementation #lightweight #multi #thread
Lightweight Implementation of the POSIX Threads API for an On-Chip MIPS Multiprocessor with VCI Interconnect (FP, PG), pp. 20051–20056.
DATEDATE-2003-RyuM #automation #design #generative #multi
Automated Bus Generation for Multiprocessor SoC Design (KKR, VJM), pp. 10282–10289.
DATEDATE-2003-ZivkovicKWD #architecture #multi #performance #source code
Fast and Accurate Multiprocessor Architecture Exploration with Symbolic Programs (VDZ, EAdK, PvdW, EFD), pp. 10656–10661.
SACSAC-2003-SaliceFVP #architecture #clustering #embedded #multi
Partitioning of Embedded Applications onto Heterogeneous Multiprocessor Architectures (FS, WF, LDV, LP), pp. 661–665.
HPCAHPCA-2003-GarzaranPLVRT #concurrent #memory management #multi #thread #trade-off
Tradeoffs in Buffering Memory State for Thread-Level Speculation in Multiprocessors (MJG, MP, JML, VV, LR, JT), pp. 191–202.
HPCAHPCA-2003-IbrahimBR #execution #multi
Slipstream Execution Mode for CMP-Based Multiprocessors (KZI, GTB, ER), pp. 179–190.
PPoPPPPoPP-2003-CintraF #parallel #performance #robust #towards
Toward efficient and robust software speculative parallelization on multiprocessors (MHC, DRLF), pp. 13–24.
PPoPPPPoPP-2003-McCurdyF #memory management #multi #performance
User-controllable coherence for high performance shared memory multiprocessors (CM, CNF), pp. 73–82.
DACDAC-2002-GharsalliMRJ #automation #embedded #generative #memory management #multi
Automatic generation of embedded memory wrapper for multiprocessor SoC (FG, SM, FR, AAJ), pp. 596–601.
DACDAC-2002-KadayifKS #approach #integer #linear #multi #programming
An integer linear programming based approach for parallelizing applications in On-chip multiprocessors (IK, MTK, US), pp. 703–708.
DACDAC-2002-KandemirRC #embedded #memory management #multi
Exploiting shared scratch pad memory space in embedded multiprocessor systems (MTK, JR, ANC), pp. 219–224.
DATEDATE-2002-GrajcarG #constraints #multi #scheduling
Improved Constraints for Multiprocessor System Scheduling (MG, WG), p. 1096.
STOCSTOC-2002-SrinivasanA #multi #scheduling
Optimal rate-based scheduling on multiprocessors (AS, JHA), pp. 189–198.
HPCAHPCA-2002-CintraT #learning #parallel #thread
Speculative Multithreading Eliminating Squashes through Learning Cross-Thread Violations in Speculative Parallelization for Multiprocessors (MHC, JT), pp. 43–54.
DACDAC-2001-LyonnardYBJ #architecture #automation #generative #multi
Automatic Generation of Application-Specific Architectures for Heterogeneous Multiprocessor System-on-Chip (DL, SY, AB, AAJ), pp. 518–523.
DATEDATE-2001-BaghdadiLZJ #architecture #design #multi #performance
An efficient architecture model for systematic design of application-specific multiprocessor SoC (AB, DL, NEZ, AAJ), pp. 55–63.
VLDBVLDB-2001-ChaHKK #concurrent #in memory #multi
Cache-Conscious Concurrency Control of Main-Memory Indexes on Shared-Memory Multiprocessor Systems (SKC, SH, KK, KK), pp. 181–190.
PLDIPLDI-2001-BaconALRS #garbage collection #java #multi
Java without the Coffee Breaks: A Nonintrusive Multiprocessor Garbage Collector (DFB, CRA, HBL, VTR, SES), pp. 92–103.
ICALPICALP-2001-ChrobakCINSW #multi #problem #scheduling
The Buffer Minimization Problem for Multiprocessor Scheduling with Conflicts (MC, JC, CI, JN, JS, GJW), pp. 862–874.
ICALPICALP-2001-FishkinJP #multi #on the
On Minimizing Average Weighted Completion Time of Multiprocessor Tasks with Release Dates (AVF, KJ, LP), pp. 875–886.
SACSAC-2001-JangCJJ #multi #network #performance
Efficient schemes to scale the interconnection network bandwidth in a ring-based multiprocessor system (BSJ, SWC, STJ, CSJ), pp. 510–516.
HPCAHPCA-2001-AcacioGGD #architecture #multi #scalability
A New Scalable Directory Architecture for Large-Scale Multiprocessors (MEA, JG, JMG, JD), pp. 97–106.
HPCAHPCA-2001-ThottethodiRM #multi #network #self
Self-Tuned Congestion Control for Multiprocessor Networks (MT, ARL, SSM), pp. 107–118.
DACDAC-2000-BorosRP #configuration management #multi
High-level model of a WDMA passive optical bus for a reconfigurable multiprocessor system (VEB, ADR, SP), pp. 221–226.
DATEDATE-2000-CarroKWO #embedded #multi #synthesis
System Synthesis for Multiprocessor Embedded Applications (LC, MEK, FRW, MO), pp. 697–702.
ICALPICALP-2000-JansenP #approximate #multi #scheduling
Polynominal Time Approximation Schemes for General Multiprocessor Job Shop Scheduling (KJ, LP), pp. 878–889.
SACSAC-2000-Ionescu #memory management #multi #realtime
Application-Level Virtual Memory Management in Real-Time Multiprocessor Systems (FI), pp. 610–614.
ASPLOSASPLOS-2000-NandaMSSSS #design #hardware #multi #named #programmable #realtime
MemorIES: A Programmable, Real-Time Hardware Emulation Tool for Multiprocessor Server Design (AKN, KKM, KS, RKS, VS, TBS), pp. 37–48.
HPCAHPCA-2000-KaxirasY #communication #multi #predict
Coherence Communication Prediction in Shared-Memory Multiprocessors (SK, CY), pp. 156–167.
OSDIOSDI-2000-ChandraAGS #algorithm #cpu #multi #scheduling #symmetry
Surplus Fair Scheduling: A Proportional-Share CPU Scheduling Algorithm for Symmetric Multiprocessors (AC, MA, PG, PJS), pp. 45–58.
DACDAC-1999-AbtsR #multi #scalability #using #verification
Verifying Large-Scale Multiprocessors Using an Abstract Verification Environment (DA, MR), pp. 163–168.
DACDAC-1999-ClementHLRCP #design #multi #performance #prototype
Fast Prototyping: A System Design Flow Applied to a Complex System-on-Chip Multiprocessor Design (BC, RH, EL, BR, PC, FP), pp. 420–424.
DACDAC-1999-Grajcar #algorithm #multi #scheduling #search-based
Genetic List Scheduling Algorithm for Scheduling and Allocation on a Loosely Coupled Heterogeneous Multiprocessor System (MG), pp. 280–285.
DACDAC-1999-KalavadeOAS #multi
Software Environment for a Multiprocessor DSP (AK, JO, BDA, KJS), pp. 827–830.
PLDIPLDI-1999-BlellochC #bound #garbage collection #multi #on the
On Bounding Time and Space for Multiprocessor Garbage Collection (GEB, PC), pp. 104–117.
STOCSTOC-1999-ChenM #approximate #multi #polynomial #scheduling
A Polynomial Time Approximation Scheme for General Multiprocessor Job Scheduling (Extended Abstract) (JC, AM), pp. 418–427.
PLDIBest-of-PLDI-1999-BlellochC99a #bound #garbage collection #multi #on the
On bounding time and space for multiprocessor garbage collection (with retrospective) (GEB, PC), pp. 626–641.
AdaSIGAda-1999-Lowe #ada #development #embedded #multi
Extending Ada to assist multiprocessor embedded development (TL), pp. 125–132.
SACSAC-1999-Jenq #parallel
Parallel Polygon Scan Conversion on Hypercube Multiprocessors (JJFJ), pp. 110–114.
HPCAHPCA-1999-DurbhakulaPA #multi #simulation #trade-off
Improving the Accuracy vs. Speed Tradeoff for Simulating Shared-Memory Multiprocessors with ILP Processors (MD, VSP, SVA), pp. 23–32.
HPCAHPCA-1999-IyerB #framework #latency #memory management #multi
Switch Cache: A Framework for Improving the Remote Memory Access Latency of CC-NUMA Multiprocessors (RRI, LNB), pp. 152–160.
HPCAHPCA-1999-MichaelN #design #memory management #multi #performance #scalability
Design and Performance of Directory Caches for Scalable Shared Memory Multiprocessors (MMM, AKN), pp. 142–151.
HPCAHPCA-1999-SohnPKKY #communication #parallel #thread
Communication Studies of Single-Threaded and Multithreaded Distributed-Memory Multiprocessors (AS, YP, JYK, YK, YY), pp. 310–314.
HPCAHPCA-1999-ZhangRT #hardware #parallel
Hardware for Speculative Parallelization of Partially-Parallel Loops in DSM Multiprocessors (YZ, LR, JT), pp. 135–139.
OSDIOSDI-1999-GamsaKAS #concurrent #locality #memory management #multi #named #operating system
Tornado: Maximizing Locality and Concurrency in a Shared Memory Multiprocessor Operating System (BG, OK, JA, MS), pp. 87–100.
SOSPSOSP-1999-GovilTHR #clustering #multi #resource management #using
Cellular Disco: resource management using virtual clusters on shared-memory multiprocessors (KG, DT, YH, MR), pp. 154–169.
CAVCAV-1999-HenzingerQR99a #consistency #multi #verification
Verifying Sequential Consistency on Shared-Memory Multiprocessor Systems (TAH, SQ, SKR), pp. 301–315.
DACDAC-1998-GrbicBCGGLLMSSVZ #design #implementation #multi
Design and Implementation of the NUMAchine Multiprocessor (AG, SDB, SC, RG, MG, GGL, KL, NM, SS, MS, ZGV, ZZ), pp. 66–69.
DACDAC-1998-SuttonSR #multi #using
A Multiprocessor DSP System Using PADDI-2 (RAS, VPS, JMR), pp. 62–65.
DATEDATE-1998-LeijtenMTJ #communication #multi #realtime
Stream Communication between Real-Time Tasks in a High-Performance Multiprocessor (JAJL, JLvM, AHT, JAGJ), pp. 125–131.
IFLIFL-1998-Grelck #memory management #multi
Shared Memory Multiprocessor Support for SAC (CG), pp. 38–53.
ASPLOSASPLOS-1998-HammondWO #multi
Data Speculation Support for a Chip Multiprocessor (LH, MW, KO), pp. 58–69.
ASPLOSASPLOS-1998-VergheseGR #multi #performance
Performance Isolation: Sharing and Isolation in Shared-Memory Multiprocessors (BV, AG, MR), pp. 181–192.
HPCAHPCA-1998-ZhangRT #distributed #hardware #parallel #runtime
Hardware for Speculative Run-Time Parallelization in Distributed Shared-Memory Multiprocessors (YZ, LR, JT), pp. 162–173.
HPDCHPDC-1998-RoyC #clustering #distributed #memory management #multi #named #string #symmetry
Strings: A High-Performance Distributed Shared Memory for Symmetrical Multiprocessor Clusters (SR, VC), pp. 90–97.
CAVCAV-1998-NalumasuGMG #approach #memory management #model checking #modelling #multi #verification
The “Test Model-Checking” Approach to the Verification of Formal Memory Models of Multiprocessors (RN, RG, AM, GG), pp. 464–476.
DACDAC-1997-LiW #memory management #multi #synthesis
A Task-Level Hierarchical Memory Model for System Synthesis of Multiprocessors (YL, WW), pp. 153–156.
DACDAC-1997-Tirat-GefenSP #design #multi
Incorporating Imprecise Computation into System-Level Design of Application-Specific Heterogeneous Multiprocessors (YGTG, DCdSJ, ACP), pp. 58–63.
DACDAC-1997-WalterLDLMKW #approach #multi #random #simulation #verification
Hierarchical Random Simulation Approach for the Verification of S/390 CMOS Multiprocessors (JAW, JL, GD, BL, HJM, KWK, BW), pp. 89–94.
DATEEDTC-1997-LiW #multi #scheduling
Hierarchical scheduling and allocation of multirate systems on heterogeneous multiprocessors (YL, WW), pp. 134–139.
PLDIPLDI-1997-ChandraCCMNA #distributed #memory management #multi
Data Distribution Support on Distributed Shared Memory Multiprocessors (RC, DKC, RC, DEM, NN, JAMA), pp. 334–345.
STOCSTOC-1997-EdmondsCBD #execution #multi #scheduling
Non-clairvoyant Multiprocessor Scheduling of Jobs with Changing Execution Characteristics (Extended Abstract) (JE, DDC, TB, XD), pp. 120–129.
ICMLICML-1997-SakrLCHG #data access #learning #memory management #modelling #multi #predict
Predicting Multiprocessor Memory Access Patterns with Learning Models (MFS, SPL, DMC, BGH, CLG), pp. 305–312.
TOOLSTOOLS-ASIA-1997-HsiungLC #multi #object-oriented #synthesis
Object-Oriented Technology Transfer to Multiprocessor System-Level Synthesis (PAH, TYL, SJC), pp. 284–293.
SACSAC-1997-ChoiK #multi #network
Hierarchical multistage interconnection network for shared-memory multiprocessor system (ChC, SCK), pp. 468–472.
SACSAC-1997-Li #effectiveness #evaluation #multi #performance
An efficient and effective performance evaluation method for multiprogrammed multiprocessor systems (KL), pp. 478–487.
SACSAC-1997-LiuLS #clustering #concurrent #multi #performance #thread
An efficient processor partitioning and thread mapping strategy for mesh-connected multiprocessor systems (HL, WML, YS), pp. 403–412.
HPCAHPCA-1997-Abdel-ShafiHAA #communication #evaluation #multi
An Evaluation of Fine-Grain Producer-Initiated Communication in Cache-Coherent Multiprocessors (HAS, JH, SVA, VSA), pp. 204–215.
HPCAHPCA-1997-DahlgrenL #multi
Reducing the Replacement Overhead in Bus-Based COMA Multiprocessors (FD, AL), pp. 14–23.
HPCAHPCA-1997-DaoYD #architecture #communication #multi #network
Architectural Support for Reducing Communication Overhead in Multiprocessor Interconnection Networks (BVD, SY, JD), pp. 343–352.
HPCAHPCA-1997-PaiRA #parallel #performance #simulation
The Impact of Instruction-Level Parallelism on Multiprocessor Performance and Simulation Methodology (VSP, PR, SVA), pp. 72–83.
HPCAHPCA-1997-QinB #communication #multi #on the #performance
On the Use and Performance of Explicit Communication Primitives in Cache-Coherent Multiprocessor Systems (XQ, JLB), pp. 182–193.
HPCAHPCA-1997-RavindranS #comparison #multi #network #performance
A Performance Comparison of Hierarchical Ring- and Mesh-Connected Multiprocessor Networks (GR, MS), pp. 58–69.
HPCAHPCA-1997-Sivasubramaniam #communication #memory management #multi
Reducing the Communication Overhead of Dynamic Applications on Shared Memory Multiprocessors (AS), pp. 194–203.
HPCAHPCA-1997-TrancosoLZT #memory management #multi #performance
The Memory Performance of DSS Commercial Workloads in Shared-Memory Multiprocessors (PT, JLLP, ZZ, JT), pp. 250–260.
HPCAHPCA-1997-YangT #memory management #multi
Speeding up the Memory Hierarchy in Flat COMA Multiprocessors (LY, JT), pp. 4–13.
PPoPPPPoPP-1997-JiangS #parallel
Parallel Shear-Warp Volume Rendering on Shared Address Space Multiprocessors (DJ, JPS), pp. 252–263.
PPoPPPPoPP-1997-JiangSS #memory management #multi #performance
Application Restructuring and Performance Portability on Shared Virtual Memory and Hardware-Coherent Multiprocessors (DJ, HS, JPS), pp. 217–229.
SOSPSOSP-1997-BugnionDR #multi #named #operating system #scalability
DISCO: Running Commodity Operating Systems on Scalable Multiprocessors (EB, SD, MR), pp. 143–156.
DACDAC-1996-BrownMVCGGGLZS #design #experience #multi #programmable #scalability #tool support #using
Experience in Designing a Large-scale Multiprocessor using Field-Programmable Devices and Advanced CAD Tools (SDB, NM, ZGV, SC, AG, RG, MG, KL, ZZ, SS), pp. 427–432.
ASPLOSASPLOS-1996-BugnionAMRL #multi
Compiler-Directed Page Coloring for Multiprocessors (EB, JAMA, TCM, MR, MSL), pp. 244–255.
ASPLOSASPLOS-1996-OlukotunNHWC #multi
The Case for a Single-Chip Multiprocessor (KO, BAN, LH, KGW, KC), pp. 2–11.
ASPLOSASPLOS-1996-Scott #communication #multi
Synchronization and Communication in the T3E Multiprocessor (SLS), pp. 26–36.
HPCAHPCA-1996-KarlssonS #clustering #evaluation #multi #performance
Performance Evaluation of a Cluster-Based Multiprocessor Built from ATM Switches and Bus-Based Multiprocessor Servers (MK, PS), pp. 4–13.
HPCAHPCA-1996-LandinD #multi
Bus-Based COMA — Reducing Traffic in Shared-Bus Multiprocessors (AL, FD), pp. 95–105.
HPCAHPCA-1996-MullerSW #memory management #multi #thread
Multitasking and Multithreading on a Multiprocessor with Virtual Shared Memory (HLM, PWAS, DHDW), pp. 212–221.
HPCAHPCA-1996-NayfehOS #clustering #multi
The Impact of Shared-Cache Clustering in Small-Scale Shared-Memory Multiprocessors (BAN, KO, JPS), pp. 74–84.
HPCAHPCA-1996-RaynaudZT #adaptation #multi #protocol #scalability
Distance-Adaptive Update Protocols for Scalable Shared-Memory Multiprocessors (AR, ZZ, JT), pp. 323–334.
HPCAHPCA-1996-TakahashiTKS #multi #protocol
A Shared-Bus Control Mechanism and a Cache Coherence Protocol for a High-Performance On-Chip Multiprocessor (MT, HT, EK, SS), pp. 314–322.
HPCAHPCA-1996-XiaT #multi #operating system #performance
Improving the Data Cache Performance of Multiprocessor Operating Systems (CX, JT), pp. 85–94.
HPDCHPDC-1996-AgasaveeranL #distributed #multi #scheduling
Distributed Job Scheduling in SCI Local — Area Multiprocessors (SA, QL), pp. 606–615.
HPDCHPDC-1996-KumaranQ #automation #network #parallel
Automatic Exploitation of Dual Level Parallelism on a Network of Multiprocessors (SK, MJQ), pp. 616–625.
VLDBVLDB-1995-WuYCT #concurrent #database #multi #performance
A Performance Study of Workfile Disk Management for Concurrent Mergesorts in a Multiprocessor Database System (KLW, PSY, JYC, JZT), pp. 100–109.
HPCAHPCA-1995-AndersonB #multi #performance
Two Techniques for Improving Performance on Bus-Based Multiprocessors (CA, JLB), pp. 264–275.
HPCAHPCA-1995-DahlgrenS #effectiveness #multi
Effectiveness of Hardware-Based Stride and Sequential Prefetching in Shared-Memory Multiprocessors (FD, PS), pp. 68–77.
HPCAHPCA-1995-KontothanassisS #multi #scalability
Software Cache Coherence for Large Scale Multiprocessors (LIK, MLS), pp. 286–295.
HPCAHPCA-1995-MichaelS #distributed #implementation #memory management #multi
Implementation of Atomic Primitives on Distributed Shared Memory Multiprocessors (MMM, MLS), pp. 222–231.
HPCAHPCA-1995-QiaoM #communication #latency #multi
Reducing Communication Latency with Path Multiplexing in Optically Interconnected Multiprocessor Systems (CQ, RGM), pp. 34–43.
HPDCHPDC-1995-Woodward #clustering #distributed #multi #simulation
Distributed Computing on Clusters of Shared-Memory Multiprocessors to Simulate Unsteady Turbulent Flows (PRW), p. 4.
PPoPPPPoPP-1995-AndersonAL #multi
Data and Computation Transformations for Multiprocessors (JAMA, SPA, MSL), pp. 166–178.
PPoPPPPoPP-1995-JeremiassenE #data transformation #memory management #multi
Reducing False Sharing on Shared Memory Multiprocessors through Compile Time Data Transformations (TEJ, SJE), pp. 179–188.
PPoPPPPoPP-1995-WisniewskiKS #algorithm #multi #performance
High Performance Synchronization Algorithms for Multiprogrammed Multiprocessors (RWW, LIK, MLS), pp. 199–206.
SOSPSOSP-1995-ChapinRDLTG #fault #multi #named
Hive: Fault Containment for Shared-Memory Multiprocessors (JC, MR, SD, TL, DT, AG), pp. 12–25.
DATEEDAC-1994-DhodhiAC #multi #synthesis
Synthesis of Application-Specific Multiprocessor Systems (MKD, IA, CYRC), p. 671.
POPLPOPL-1994-DoligezG #garbage collection #multi
Portable, Unobtrusive Garbage Collection for Multiprocessor Systems (DD, GG), pp. 70–83.
SACSAC-1994-CorcoranW #algorithm #parallel #problem #scheduling #search-based
A parallel island model genetic algorithm for the multiprocessor scheduling problem (ALC, RLW), pp. 483–487.
SACSAC-1994-RayJD #algorithm #array #graph #linear #parallel
A parallel algorithm for mapping a special class of task graphs onto linear array multiprocessors (SR, HJ, JSD), pp. 473–477.
SACSAC-1994-Wang #generative #migration #multi #scheduling
Generating a deterministic task migration path for multiprocessor scheduling (MfW), pp. 478–482.
ASPLOSASPLOS-1994-ChandraDVGR #migration #multi #scheduling
Scheduling and Page Migration for Multiprocessor Compute Servers (RC, SD, BV, AG, MR), pp. 12–24.
ASPLOSASPLOS-1994-HeinleinGDG #integration #memory management #message passing #multi
Integration of Message Passing and Shared Memory in the Stanford FLASH Multiprocessor (JH, KG, SD, AG), pp. 38–50.
ASPLOSASPLOS-1994-HeinrichKOHBSSGNHGRH #flexibility #multi #performance
The Performance Impact of Flexibility in the Stanford FLASH Multiprocessor (MH, JK, DO, JH, JB, JPS, RS, KG, DN, MH, AG, MR, JLH), pp. 274–285.
ASPLOSASPLOS-1994-LaudonGH #multi #named #thread
Interleaving: A Multithreading Technique Targeting Multiprocessors and Workstations (JL, AG, MH), pp. 308–318.
ASPLOSASPLOS-1994-LimA #algorithm #multi
Reactive Synchronization Algorithms for Multiprocessors (BHL, AA), pp. 25–35.
ASPLOSASPLOS-1994-WooSH #multi #performance
The Performance Advantages of Integrating Block Data Trabsfer in Cache-Coherent Multiprocessors (SCW, JPS, JLH), pp. 219–229.
CCCC-1994-Ben-AsherRWS #2d #implementation #multi
Implementing 2DT on a Multiprocessor (YBA, GR, RW, AS), pp. 113–127.
VLDBVLDB-1993-ShekitaYT #multi #optimisation #symmetry
Multi-Join Optimization for Symmetric Multiprocessors (EJS, HCY, KLT), pp. 479–492.
AdaEuropeAdaEurope-1993-Lundberg #ada #evaluation #parallel #performance #source code #using
Performance Evaluation of Parallel Ada Programs Using an Experimental Multiprocessor (LL), pp. 280–297.
AdaTRI-Ada-1993-KrantzBGSV #multi #operating system
The Aladdex Operating Systems for the Aladdin Multiprocessor (DK, RB, SG, BS, MV), pp. 354–366.
PPDPPLILP-1993-BarklundB #bound #memory management #multi
Executing Bounded Quantifications on Shared Memory Multiprocessors (JB, JB), pp. 302–317.
SACSAC-1993-ChungY #algorithm #multi
Distributive Join Algorithm for Shared-Memory Multiprocessors (SMC, JY), pp. 546–553.
SACSAC-1993-StrateW #algorithm #multi #programming
Load Balancing Techniques for Dynamic Programming Algorithms on Hypercube Multiprocessors (SAS, RLW), pp. 562–569.
HPDCHPDC-1993-BraunS #architecture #implementation #parallel
Implementation of a Parallel Transport Subsystem on a Multiprocessor Architecture (TB, CS), pp. 76–83.
HPDCHPDC-1993-MukherjeeS #adaptation #concurrent #configuration management #multi #performance #thread
Improving Performance by Use of Adaptive Objects: Experimentation with a Configurable Multiprocessor Thread Package (BM, KS), pp. 59–66.
PPoPPPPoPP-1993-ChakrabartiY #distributed #implementation #memory management #multi
Implementing an Irregular Application on a Distributed Memory Multiprocessor (SC, KAY), pp. 169–178.
PPoPPPPoPP-1993-SandhuGZ #approach #multi
The Shared Regions Approach to Software Cache Coherence on Multiprocessors (HSS, BG, SZ), pp. 229–238.
VLDBVLDB-1992-ChamberlinS #multi
Dynamic Data Distribution (D3) in a Shared-Nothing Multiprocessor Data Store (DDC, FBS), pp. 163–174.
VLDBVLDB-1992-LeungM #database #multi #optimisation #query
Temporal Query Processing and Optimization in Multiprocessor Database Machines (TYCL, RRM), pp. 383–394.
ESOPESOP-1992-Krishnan #multi #semantics
A Semantics for Multiprocessor Systems (PK), pp. 307–320.
STOCSTOC-1992-AttiyaF #correctness #multi
A Correctness Condition for High-Performance Multiprocessors (Extended Abstract) (HA, RF), pp. 679–690.
SOSPSOSP-WIP-1991-MassalinP92 #kernel #multi
A Lock-Free Multiprocessor OS Kernel (Abstract) (HM, CP), p. 8.
ASPLOSASPLOS-1992-TorrellasGH #multi #operating system #performance
Characterizing the Caching and Synchronization Performance of a Multiprocessor Operating System (JT, AG, JLH), pp. 162–174.
DACDAC-1991-PatilBP #generative #parallel #testing
Parallel Test Generation for Sequential Circuits on General-Purpose Multiprocessors (SP, PB, JHP), pp. 155–159.
DACDAC-1991-PrakashP #architecture #multi #synthesis
Synthesis of Application-Specific Multiprocessor Architectures (SP, ACP), pp. 8–13.
AdaEuropeAdaEurope-1991-Lundberg #ada #multi #performance
A Coprocessor for High Performance Multiprocessor Ada Tasking (LL), pp. 147–165.
SIGIRSIGIR-1991-FriederS #documentation #information retrieval #multi #on the
On the Allocation of Documents in Multiprocessor Information Retrieval Systems (OF, HTS), pp. 230–239.
ASPLOSASPLOS-1991-GharachorlooGH #consistency #evaluation #memory management #modelling #multi #performance
Performance Evaluation of Memory Consistency Models for Shared Memory Multiprocessors (KG, AG, JLH), pp. 245–257.
PPoPPPPoPP-1991-LaRoweWE #memory management #multi #operating system
Exploiting Operating System Support for Dynamic Page Placement on a NUMA Shared Memory Multiprocessor (RPLJ, JTW, CSE), pp. 122–132.
PPoPPPPoPP-1991-MeierE #algorithm #parallel #performance
Parallelization and Performance of Conjugate Gradient Algorithms on the Cedar Hierarchical-Memory Multiprocessor (UM, RE), pp. 178–188.
PPoPPPPoPP-1991-Mellor-CrummeyS #multi #scalability
Scalable Reader-Writer Synchronization for Shared-Memory Multiprocessors (JMMC, MLS), pp. 106–113.
SOSPSOSP-1991-KarlinLMO #empirical #multi
Empirical Studies of Competitive Spinning for a Shared-Memory Multiprocessor (ARK, KL, MSM, SSO), pp. 41–55.
SOSPSOSP-1991-VaswaniZ #memory management #multi #scheduling
The Implications of Cache Affinity on Processor Scheduling for Multiprogrammed, Shared Memory Multiprocessors (RV, JZ), pp. 26–40.
DACDAC-1990-Tonkin #message passing #multi
Circuit Extraction on a Message-Based Multiprocessor (BAT), pp. 260–265.
DACDAC-1990-Yang #named #parallel
PARASPICE: A Parallel Circuit Simulator for Shared-Memory Multiprocessors (GCY), pp. 400–405.
VLDBVLDB-1990-GhandeharizadehD #clustering #database #multi
Hybrid-Range Partitioning Strategy: A New Declustering Strategy for Multiprocessor Database Machines (SG, DJD), pp. 481–492.
VLDBVLDB-1990-LuTS #algorithm #multi
Hash-Based Join Algorithms for Multiprocessor Computers (HL, KLT, MCS), pp. 198–209.
VLDBVLDB-1990-SchneiderD #database #multi #query #trade-off
Tradeoffs in Processing Complex Join Queries via Hashing in Multiprocessor Database Machines (DAS, DJD), pp. 469–480.
CCCC-1990-KrohaF #compilation #multi #scheduling
A Compiler with Scheduling for a Specialized Synchronous Multiprocessor System (PK, PF), pp. 132–146.
CAVCAV-1990-LoewensteinD #higher-order #logic #multi #protocol #simulation #using #verification
Verification of a Multiprocessor Cache Protocol Using Simulation Relations and Higher-Order Logic (PL, DLD), pp. 302–311.
ICLPNACLP-1990-WeemeeuwD #memory management #multi
A la Recherche de la Mémoire Perdue OR Memory Compaction for Shard Memory Multiprocessors (PW, BD), pp. 306–320.
DACDAC-1989-OdentCM #feedback #implementation #multi #scalability
Feedback Loops and Large Subcircuits in the Multiprocessor Implementation of a Relaxation Based Circuit Simulator (PO, LJMC, HDM), pp. 25–30.
SIGMODSIGMOD-1989-SchneiderD #algorithm #evaluation #parallel #performance
A Performance Evaluation of Four Parallel Join Algorithms in a Shared-Nothing Multiprocessor Environment (DAS, DJD), pp. 110–121.
VLDBVLDB-1989-MurphyR #effectiveness #execution #multi #resource management
Effective Resource Utilization for Multiprocessor Join Execution (MCM, DR), pp. 67–75.
OOPSLAOOPSLA-1989-RussoC #design #memory management #multi #object-oriented #operating system #using
Virtual Memory and Backing Storage Management in Multiprocessor Operating Systems Using Object-Oriented Design Techniques (VFR, RHC), pp. 267–278.
ASPLOSASPLOS-1989-AralGS #debugging #multi #performance
Efficient Debugging Primitives for Multiprocessors (ZA, IG, GS), pp. 87–95.
ASPLOSASPLOS-1989-GoodmanVW #multi #scalability
Efficent Synchronization Primitives for Large-Scale Cache-Coherent Multiprocessors (JRG, MKV, PJW), pp. 64–75.
ASPLOSASPLOS-1989-WeberG #analysis #multi
Analysis of Cache Invalidation Patterns in Multiprocessors (WDW, AG), pp. 243–256.
SOSPSOSP-1989-ChaseALLL #network #parallel #programming
The Amber System: Parallel Programming on a Network of Multiprocessors (JSC, FGA, EDL, HML, RJL), pp. 147–158.
SOSPSOSP-1989-CoxF #abstraction #case study #experience #implementation #memory management #multi
The Implementation of a Coherent Memory Abstraction on a NUMA Multiprocessor: Experiences with PLATINUM (ALC, RJF), pp. 32–44.
SOSPSOSP-1989-Rosenburg #consistency #multi #scalability
Low-Synchronization Translation Lookaside Buffer Consistency in Large-Scale Shared-Memory Multiprocessors (BSR), pp. 137–146.
SOSPSOSP-1989-TuckerG #multi #process #scheduling
Process Control and Scheduling Issues for Multiprogrammed Shared-Memory Multiprocessors (AT, AG), pp. 159–166.
ICLPNACLP-1989-GuptaJ #memory management #parallel
Combined And-Or Parallelism on Shared Memory Multiprocessors (GG, BJ), pp. 332–349.
ICLPNACLP-1989-Mudambi #analysis #multi #performance
Performance Analysis of Aurora on a Switch-Based Multiprocessor (SM), pp. 697–712.
ICLPNACLP-1989-RamkumarK #execution #multi #process
Compiled Execution of the Reduce-OR Process Model on Multiprocessors (BR, LVK), pp. 313–331.
PLDIPLDI-1988-AppelEL #concurrent #multi #realtime
Real-Time Concurrent Collection on Stock Multiprocessors (AWA, JRE, KL), pp. 11–20.
PLDIPLDI-1988-PallasU #case study #multi #programming #smalltalk
Multiprocessor Smalltalk: A Case Study of a Multiprocessor-Based Programming Environment (JP, DU), pp. 268–277.
PLDIBest-of-PLDI-1988-Appel #concurrent #multi #realtime
Real-time concurrent collection on stock multiprocessors (with retrospective) (AWA), pp. 205–216.
LISPLFP-1988-Goldberg #graph #multi #named #reduction
Buckwheat: Graph Reduction on a Shared-Memory Multiprocessor (BG), pp. 40–51.
SIGIRSIGIR-1988-Gonzalez-RubioC #database #deduction #parallel #relational
A Parallel Multiprocessor Machine Dedicated to Relational and Deductive Databases (RGR, MC), pp. 417–431.
OOPSLAOOPSLA-1988-RussoJC #exception #multi #operating system #process
Process Management and Exception Handling in Multiprocessor Operating Systems (VFR, GJ, RHC), pp. 248–258.
PPoPPPPEALS-1988-LeeSF #implementation #multi #on the
On the Implementation of Applicative Languages on Shared-Memory, MIMD Multiprocessors (CCL, SKS, JF), pp. 188–197.
ICLPJICSCP-1988-LinK88 #execution #logic programming #memory management #multi #source code #summary
AND-Parallel Execution of Logic Programs on a Shared Memory Multiprocessor: A Summary of Results (YJL, VK), pp. 1123–1141.
FPCAFPCA-1987-Clarke #architecture #multi
The D-RISC: An architecture for use in multiprocessors (TJWC), pp. 16–33.
ASPLOSASPLOS-1987-BeckKT #multi
VLSI Assist For a Multiprocessor (BB, BK, SST), pp. 10–20.
ASPLOSASPLOS-1987-Goodman #multi
Coherency for Multiprocessor Virtual Address Caches (JRG), pp. 72–81.
ASPLOSASPLOS-1987-RashidTYGBBBC #architecture #independence #memory management #multi
Machine-Independent Virtual Memory Management for Paged Uniprocessor and Multiprocessor Architectures (RFR, AT, MY, DBG, RVB, DLB, WJB, JC), pp. 31–39.
ASPLOSASPLOS-1987-ThackerS #multi #named
Firefly: A Multiprocessor Workstation (CPT, LCS), pp. 164–172.
SOSPSOSP-1987-BirrellGHL #multi #specification
Synchronization Primitives for a Multiprocessor: A Formal Specification (AB, JVG, JJH, RL), pp. 94–102.
SOSPSOSP-1987-YoungTRGECBBB #communication #implementation #memory management #multi #operating system
The Duality of Memory and Communication in the Implementation of a Multiprocessor Operating System (MY, AT, RFR, DBG, JLE, JC, WJB, DLB, RVB), pp. 63–76.
ICLPSLP-1987-Conery87 #logic programming #memory management #parallel #source code
Binding Environments for Parallel Logic Programs in Non-Shared Memory Multiprocessors (JSC), pp. 457–467.
ICLPSLP-1987-HausmanCH87 #memory management #multi #performance #prolog
OR-Parallel Prolog Made Efficient on Shared Memory Multiprocessors (BH, AC, SH), pp. 69–79.
DACDAC-1986-JacobNP #analysis #empirical #multi #performance
An empirical analysis of the performance of a multiprocessor-based circuit simulator (GKJ, ARN, DOP), pp. 588–593.
DACDAC-1986-KravitzR #multi
Multiprocessor-based placement by simulated annealing (SAK, RAR), pp. 567–573.
VLDBVLDB-1986-Menon #algorithm #case study #database #multi #sorting
A Study of Sort Algorithms for Multiprocessor Database Machines (JM0), pp. 197–206.
LISPLFP-1986-LemaitreCDDL #combinator #multi #performance #reduction
Mechanisms for Efficient Multiprocessor Combinator Reduction (ML, MC, MHD, GD, BL), pp. 113–121.
POPLPOPL-1986-Chen #compilation #parallel
A Parallel Language and its Compilation to Multiprocessor Machines or VLSI (MCC), pp. 131–139.
POPLPOPL-1986-HudakS #multi #paradigm #programming
Para-Functional Programming: A Paradigm for Programming Multiprocessor Systems (PH, LS), pp. 243–254.
DACDAC-1985-BierP #algorithm #design #multi
An algorithm for design rule checking on a multiprocessor (GEB, ARP), pp. 299–304.
SIGMODSIGMOD-1985-AgrawalD #architecture #database #multi
Recovery Architectures for Multiprocessor Database Machines (RA, DJD), pp. 131–145.
VLDBVLDB-1985-DeWittG #algorithm #multi
Multiprocessor Hash-Based Join Algorithms (DJD, RHG), pp. 151–164.
DACDAC-1984-DeutschN #implementation #multi #simulation
A multiprocessor implementation of relaxation-based electrical circuit simulation (JTD, ARN), pp. 350–357.
LISPLFP-1984-Halstead #implementation #lisp #multi
Implementation of Multilisp: Lisp on a Multiprocessor (RHHJ), pp. 9–17.
ICLPSLP-1984-Borgwardt84 #parallel #prolog #stack #using
Parallel Prolog Using Stack Segements on Shared-Memory Multiprocessors (PB), pp. 2–11.
ICLPSLP-1984-TamuraK84 #implementation #parallel #prolog
Implementing Parallel Prolog on a Multiprocessor Machine (NT, YK), pp. 42–48.
SIGMODSIGMOD-1982-Valduriez #algorithm #multi
Semi-Join Algorithms for Multiprocessor Systems (PV), pp. 225–233.
DACDAC-1981-Anderson #design #interactive #multi
A multiprocessor raster display for interactive graphics system design (WMA), pp. 494–497.
SOSPSOSP-1981-CoxCLP #communication #implementation #multi
A Unified Model and Implementation for Interprocess Communication in a Multiprocessor Environment (GWC, WMC, KKL, FJP), pp. 125–126.
SOSPSOSP-1981-KahnCDDHHMP #multi #named #operating system
iMAX: A Multiprocessor Operating System for an Object-Based Computer (KCK, WMC, TDD, HD, DEH, LAH, JTM, FJP), pp. 127–136.
SOSPSOSP-1979-JonesCDSV #multi #operating system
StarOS, a Multiprocessor Operating System for the Support of Task Forces (AKJ, RJCJ, ID, KS, SRV), pp. 117–127.
SOSPSOSP-1973-Walther #multi
Multiprocessor Self Diagnosis, Surgery, and Recovery in Air Traffic Control (WW), pp. 38–44.

Bibliography of Software Language Engineering in Generated Hypertext (BibSLEIGH) is created and maintained by Dr. Vadim Zaytsev.
Hosted as a part of SLEBOK on GitHub.