BibSLEIGH
BibSLEIGH corpus
BibSLEIGH tags
BibSLEIGH bundles
BibSLEIGH people
CC-BY
Open Knowledge
XHTML 1.0 W3C Rec
CSS 2.1 W3C CanRec
email twitter
Used together with:
optim (26)
use (16)
base (15)
multipl (15)
power (14)

Stem simultan$ (all stems)

173 papers:

DACDAC-2015-HanLKNL #framework #multi #optimisation #reduction
A global-local optimization framework for simultaneous multi-mode multi-corner clock skew variation reduction (KH, JL, ABK, SN, JL), p. 6.
DATEDATE-2015-LuLJLHCL #standard
Simultaneous transistor pairing and placement for CMOS standard cells (AL, HJL, EJJ, YPL, CHH, CCC, RBL), pp. 1647–1652.
ICPCICPC-2015-SabiMHK #code search #keyword #order
Reordering results of keyword-based code search for supporting simultaneous code changes (YS, HM, YH, SK), pp. 289–290.
ICALPICALP-v1-2015-BhangaleKS #approximate #constraints #problem
Simultaneous Approximation of Constraint Satisfaction Problems (AB, SK, SS), pp. 193–205.
ICALPICALP-v1-2015-WeinsteinW #communication #data type
The Simultaneous Communication of Disjointness with Applications to Data Streams (OW, DPW), pp. 1082–1093.
CHICHI-2015-YanHC #behaviour
Masters of Control: Behavioral Patterns of Simultaneous Unit Group Manipulation in StarCraft 2 (EQY, JH, GKC), pp. 3711–3720.
KDDKDD-2015-KimCKRP #matrix #topic
Simultaneous Discovery of Common and Discriminative Topics via Joint Nonnegative Matrix Factorization (HK, JC, JK, CKR, HP), pp. 567–576.
KDDKDD-2015-NoriKYII #modelling #multi #predict
Simultaneous Modeling of Multiple Diseases for Mortality Prediction in Acute Hospital Care (NN, HK, KY, HI, YI), pp. 855–864.
KDDKDD-2015-SongLZ #clustering
Turn Waste into Wealth: On Simultaneous Clustering and Cleaning over Dirty Data (SS, CL, XZ), pp. 1115–1124.
SACSAC-2015-BouaskerY #anti #constraints #correlation #mining
Key correlation mining by simultaneous monotone and anti-monotone constraints checking (SB, SBY), pp. 851–856.
DACDAC-2014-ChenWLXY #optimisation #runtime
Run-Time Technique for Simultaneous Aging and Power Optimization in GPGPUs (XC, YW, YL, YX, HY), p. 6.
DACDAC-2014-LiuCCJ
Simultaneous EUV Flare Variation Minimization and CMP Control with Coupling-Aware Dummification (CYL, HJKC, YWC, JHRJ), p. 6.
DACDAC-2014-MotamanG #array #robust #self #testing
Simultaneous Sizing, Reference Voltage and Clamp Voltage Biasing for Robustness, Self-Calibration and Testability of STTRAM Arrays (SM, SG), p. 2.
ICALPICALP-v1-2014-BavarianGI #communication #on the
On the Role of Shared Randomness in Simultaneous Communication (MB, DG, TI), pp. 150–162.
CHICHI-2014-AndreKK #collaboration #distributed
Effects of simultaneous and sequential work structures on distributed collaborative interdependent tasks (PA, REK, AK), pp. 139–148.
ICMLICML-c1-2014-CicaleseLS #optimisation #testing
Diagnosis determination: decision trees optimizing simultaneously worst and expected testing cost (FC, ESL, AMS), pp. 414–422.
ICMLICML-c2-2014-WangNH #distance #learning #metric #robust
Robust Distance Metric Learning via Simultaneous L1-Norm Minimization and Maximization (HW, FN, HH), pp. 1836–1844.
ICPRICPR-2014-HafnerDW
Simultaneous HDR and Optic Flow Computation (DH, OD, JW), pp. 2065–2070.
ICPRICPR-2014-ZenRS #distance #learning #matrix #metric
Simultaneous Ground Metric Learning and Matrix Factorization with Earth Mover’s Distance (GZ, ER, NS), pp. 3690–3695.
ICPRICPR-2014-ZhangM14a #detection #learning #multi
Simultaneous Detection of Multiple Facial Action Units via Hierarchical Task Structure Learning (XZ, MHM), pp. 1863–1868.
KDDKDD-2014-XiangYY
Simultaneous feature and feature group selection through hard thresholding (SX, TY, JY), pp. 532–541.
KRKR-2014-Michael #learning #predict
Simultaneous Learning and Prediction (LM).
ICSEICSE-2014-ChenLZ #android #detection #scalability
Achieving accuracy and scalability simultaneously in detecting application clones on Android markets (KC, PL, YZ), pp. 175–186.
HPCAHPCA-2014-XieTHC #clustering #memory management #throughput
Improving system throughput and fairness simultaneously in shared memory CMP systems via Dynamic Bank Partitioning (MX, DT, KH, XC), pp. 344–355.
DACDAC-2013-GaribottiOBkASR #distributed #embedded #memory management #multi #thread
Simultaneous multithreading support in embedded distributed memory MPSoCs (RG, LO, RB, Mk, CAJ, GS, MR), p. 7.
DACDAC-2013-OuCC
Simultaneous analog placement and routing with current flow and current density considerations (HCO, HCCC, YWC), p. 6.
DATEDATE-2013-RutzigBC #configuration management #energy #framework #multi
A transparent and energy aware reconfigurable multiprocessor platform for simultaneous ILP and TLP exploitation (MBR, ACSB, LC), pp. 1559–1564.
STOCSTOC-2013-FeldmanFGL #performance
Simultaneous auctions are (almost) efficient (MF, HF, NG, BL), pp. 201–210.
ICEISICEIS-v1-2013-CoutinhoPB #energy #scheduling
A Scheduling Strategy for Global Scientific Grids — Minimizing Simultaneously Time and Energy Consumption (FC, LLP, CTB), pp. 545–553.
CIKMCIKM-2013-FangYZ #graph #scalability
Active exploration: simultaneous sampling and labeling for large graphs (MF, JY, XZ), pp. 829–834.
ICMLICML-c2-2013-ValkoCM #optimisation #probability
Stochastic Simultaneous Optimistic Optimization (MV, AC, RM), pp. 19–27.
DACDAC-2012-FangC
Simultaneous flare level and flare variation minimization with dummification in EUVL (SYF, YWC), pp. 1179–1184.
ITiCSEITiCSE-2012-Pullen #education #online
Pros and cons for teaching courses in the classroom and online simultaneously (JMP), pp. 180–185.
ICALPICALP-v1-2012-BaronOV #black box
Nearly Simultaneously Resettable Black-Box Zero Knowledge (JB, RO, IV), pp. 88–99.
CIKMCIKM-2012-Shiraishi0KA #communication
Simultaneous realization of page-centric communication and search (YS, JZ, YK, TA), pp. 2719–2721.
CIKMCIKM-2012-WangWLL #graph #keyword #ranking #using #wiki
Exploring simultaneous keyword and key sentence extraction: improve graph-based ranking using wikipedia (XW, LW, JL, SL), pp. 2619–2622.
ICMLICML-2012-SavalleRV #estimation #matrix #rank
Estimation of Simultaneously Sparse and Low Rank Matrices (PAS, ER, NV), p. 11.
ICPRICPR-2012-DomokosK #multi
Simultaneous affine registration of multiple shapes∗ (CD, ZK), pp. 9–12.
ICPRICPR-2012-LiZJ #process #recognition
Simultaneous facial activity tracking and recognition (YL, YZ, QJ), pp. 1017–1020.
ICPRICPR-2012-NaitoKSS #using
Deblurring depth blur and motion blur simultaneously by using space-time coding (RN, TK, FS, JS), pp. 2177–2180.
ICPRICPR-2012-TanK #identification #image
Human identification from at-a-distance images by simultaneously exploiting iris and periocular features (CWT, AK), pp. 553–556.
ICPRICPR-2012-ZhangH12b #estimation #using
Simultaneous reflectance estimation and surface shape recovery using polarisation (LZ, ERH), pp. 1876–1879.
DACDAC-2011-ChangJC #functional
Simultaneous functional and timing ECO (HYC, IHRJ, YWC), pp. 140–145.
DATEDATE-2011-LiuOXL #energy #reduction
Register allocation for simultaneous reduction of energy and peak temperature on registers (TL, AO, CJX, ML), pp. 20–25.
CIKMCIKM-2011-DasSF #documentation #modelling
Simultaneous joint and conditional modeling of documents tagged from two perspectives (PD, RKS, YF), pp. 1353–1362.
CIKMCIKM-2011-MehtaNBNDP
Simultaneously improving CSAT and profit in a retail banking organization (SM, UN, VSB, SN, PD, GRP), pp. 2505–2508.
CIKMCIKM-2011-WangHD #clustering #matrix #multi #relational #symmetry
Simultaneous clustering of multi-type relational data via symmetric nonnegative matrix tri-factorization (HW, HH, CHQD), pp. 279–284.
ICMLICML-2011-GuilloryB #learning
Simultaneous Learning and Covering with Adversarial Noise (AG, JAB), pp. 369–376.
KDDKDD-2011-TattiC #mining
Mining closed episodes with simultaneous events (NT, BC), pp. 1172–1180.
CASECASE-2010-DhupatiKRR #analysis #detection #novel #speech #using #validation
A novel drowsiness detection scheme based on speech analysis with validation using simultaneous EEG recordings (LSD, SK, AR, AR), pp. 917–921.
DACDAC-2010-LiuYHSK #generative #optimisation
Generation of yield-embedded Pareto-front for simultaneous optimization of yield and performances (YL, MY, KH, TS, YK), pp. 909–912.
DATEDATE-2010-CastrillonVSSCLAM #analysis
Trace-based KPN composability analysis for mapping simultaneous applications to MPSoC platforms (JC, RV, AS, WS, JC, RL, GA, HM), pp. 753–758.
DATEDATE-2010-WiggersBGB #graph
Simultaneous budget and buffer size computation for throughput-constrained task graphs (MW, MB, MG, TB), pp. 1669–1672.
ICPRICPR-2010-Panagiotakis #modelling #principle #segmentation
Simultaneous Segmentation and Modelling of Signals Based on an Equipartition Principle (CP), pp. 85–88.
HPDCHPDC-2010-MooreKMKG #performance #volunteer
Simultaneous performance exploration and optimized search with volunteer computing (LRM, MK, TM, MK, KAG), pp. 312–315.
DACDAC-2009-JangK
Simultaneous clock buffer sizing and polarity assignment for power/ground noise minimization (HJ, TK), pp. 794–799.
DACDAC-2009-TsaiH
A false-path aware formal static timing analyzer considering simultaneous input transitions (ST, CYH), pp. 25–30.
DATEDATE-2009-0002CWCXY #optimisation
Gate replacement techniques for simultaneous leakage and aging optimization (YW, XC, WW, YC, YX, HY), pp. 328–333.
DRRDRR-2009-FaureV #detection
Simultaneous detection of vertical and horizontal text lines based on perceptual organisation (CF, NV), pp. 1–10.
DRRDRR-2009-HalimaA #concept #recognition #segmentation #using
Simultaneous segmentation and recognition of Arabic printed text using linguistic concepts of vocabulary (MBH, AMA), pp. 1–10.
HCIHCI-NT-2009-HercegfiPTI #evaluation #monitoring #usability
Usability Evaluation by Monitoring Physiological and Other Data Simultaneously with a Time-Resolution of Only a Few Seconds (KH, MP, ST, LI), pp. 59–68.
RecSysRecSys-2009-HelouSSG #process #ranking #recommendation
The 3A contextual ranking system: simultaneously recommending actors, assets, and group activities (SEH, CS, SS, DG), pp. 373–376.
SIGIRSIGIR-2009-LinYCWW #approach #modelling #semantics #thread
Simultaneously modeling semantics and structure of threaded discussions: a sparse coding approach and its applications (CL, JMY, RC, XJW, WW, LZ), pp. 131–138.
CASECASE-2008-NishiTI #approach #automation #composition #optimisation #petri net
Petri Net decomposition approach for the simultaneous optimization of task assignment and routing with automated guided vehicles (TN, YT, MI), pp. 175–180.
DATEDATE-2008-ChandraNK #architecture #power management #reduction #testing
Low Power Illinois Scan Architecture for Simultaneous Power and Test Data Volume Reduction (AC, FN, RK), pp. 462–467.
DATEDATE-2008-CongX #network
Simultaneous FU and Register Binding Based on Network Flow Method (JC, JX), pp. 1057–1062.
CIKMCIKM-2008-PartonMAH #information retrieval #multi
Simultaneous multilingual search for translingual information retrieval (KP, KM, JA, EH), pp. 719–728.
ICPRICPR-2008-ServantMHM #artificial reality #locality #refinement #visual notation
Visual planes-based simultaneous localization and model refinement for augmented reality (FS, ÉM, PH, IM), pp. 1–4.
KDDKDD-2008-HuangDLL #clustering #equivalence #higher-order
Simultaneous tensor subspace selection and clustering: the equivalence of high order svd and k-means clustering (HH, CHQD, DL, TL), pp. 327–335.
SIGIRSIGIR-2008-LiuLLJ #clustering #geometry #query #ranking
Spectral geometry for simultaneously clustering and ranking query search results (YL, WL, YL, LJ), pp. 539–546.
CASECASE-2007-NishiHIG #composition #generative #multi #scheduling
A Decomposition Method with Cut Generation for Simultaneous Production Scheduling and Routing for multiple AGVs (TN, YH, MI, IEG), pp. 658–663.
DACDAC-2007-ChanZ #modelling
Modeling Simultaneous Switching Noise-Induced Jitter for System-on-Chip Phase-Locked Loops (HHYC, ZZ), pp. 430–435.
DACDAC-2007-McConaghyPGS #multi
Simultaneous Multi-Topology Multi-Objective Sizing Across Thousands of Analog Circuit Topologies (TM, PP, GGEG, MS), pp. 944–947.
DATEDATE-2007-JayakumarK #algorithm
An algorithm to minimize leakage through simultaneous input vector control and circuit modification (NJ, SPK), pp. 618–623.
ICDARICDAR-2007-ChenMT #documentation #layout #logic #recognition
Simultaneous Layout Style and Logical Entity Recognition in a Heterogeneous Collection of Documents (SC, SM, GT), pp. 118–122.
KDDKDD-2007-DeodharG #clustering #framework #learning
A framework for simultaneous co-clustering and learning from complex data (MD, JG), pp. 250–259.
CASECASE-2006-LimACYL #3d #approach
An Alternative Approach In Sensing Simultaneous 3-DOF Motions (CKL, CYA, IMC, GY, WL), pp. 588–593.
DACDAC-2006-ChengDCW #algorithm #generative #performance #power management #reduction
A fast simultaneous input vector generation and gate replacement algorithm for leakage power reduction (LC, LD, DC, MDFW), pp. 117–120.
DACDAC-2006-HuLHT #reduction
Simultaneous time slack budgeting and retiming for dual-Vdd FPGA power reduction (YH, YL, LH, TT), pp. 478–483.
DACDAC-2006-LinCC #clustering #optimisation
Optimal simultaneous mapping and clustering for FPGA delay optimization (JYL, DC, JC), pp. 472–477.
DATEDATE-2006-ZmilyK #embedded #energy #performance
Simultaneously improving code size, performance, and energy in embedded processors (AZ, CK), pp. 224–229.
SIGMODSIGMOD-2006-ManjhiAMMOT #data-driven #scalability #security #web
Simultaneous scalability and security for data-intensive web applications (AM, AA, BMM, TCM, CO, AT), pp. 241–252.
ICMLICML-2006-ZhengJLNA #debugging #identification #multi #statistics
Statistical debugging: simultaneous identification of multiple bugs (AXZ, MIJ, BL, MN, AA), pp. 1105–1112.
ICPRICPR-v1-2006-KimK #classification #using
Simultaneous Classification and VisualWord Selection using Entropy-based Minimum Description Length (SK, ISK), pp. 650–653.
ICPRICPR-v1-2006-SongK #cumulative #gesture #recognition #segmentation
Simultaneous Gesture Segmentation and Recognition based on Forward Spotting Accumulative HMMs (JS, DK), pp. 1231–1235.
ICPRICPR-v1-2006-ZehnderKG #detection #multi #performance
Efficient, Simultaneous Detection of Multiple Object Classes (PZ, EKM, LJVG), pp. 797–802.
ICPRICPR-v2-2006-MinS #estimation
Edge-preserving Simultaneous Joint Motion-Disparity Estimation (DBM, KS), pp. 74–77.
ICPRICPR-v3-2006-JingS #predict
Scanner Artifact Removal in Simultaneous EEG-fMRI for Epileptic Seizure Prediction (MJ, SS), pp. 722–725.
ICPRICPR-v3-2006-LeeE06a #using
Simultaneous Inference of View and Body Pose using Torus Manifolds (CSL, AME), pp. 489–494.
ICPRICPR-v3-2006-TaiTT #2d #image #multi
Simultaneous Image Denoising and Compression by Multiscale 2D Tensor Voting (YWT, WST, CKT), pp. 818–821.
KDDKDD-2006-ZhuNWZM #detection #web
Simultaneous record detection and attribute labeling in web data extraction (JZ, ZN, JRW, BZ, WYM), pp. 494–503.
PPoPPPPoPP-2006-ChanGGT #architecture #communication #multi
Collective communication on architectures that support simultaneous communication over multiple links (EC, RAvdG, WG, RT), pp. 2–11.
DATEDATE-2005-SharifiJHAN #reduction
Simultaneous Reduction of Dynamic and Static Power in Scan Structures (SS, JJ, MH, AAK, ZN), pp. 846–851.
DATEDATE-2005-SrinivasanLV #architecture #clustering
Simultaneous Partitioning and Frequency Assignment for On-Chip Bus Architectures (SS, LL, NV), pp. 218–223.
SIGMODSIGMOD-2005-HarizopoulosSA #named #pipes and filters #query #relational
QPipe: A Simultaneously Pipelined Relational Query Engine (SH, VS, AA), pp. 383–394.
VLDBVLDB-2005-ZhouCRS #database #multi #performance #thread
Improving Database Performance on Simultaneous Multithreading Processors (JZ, JC, KAR, MS), pp. 49–60.
ICEISICEIS-v1-2005-KenabB #query #relational #xml
Simultaneous Querying of XML and Relational Contexts (MK, TOB), pp. 353–358.
KDDKDD-2005-JinSA #information management #mining #optimisation
Simultaneous optimization of complex mining tasks with a knowledgeable cache (RJ, KS, GA), pp. 600–605.
DACDAC-2004-BasuLWMB #optimisation #power management
Simultaneous optimization of supply and threshold voltages for low-power and high-performance circuits in the leakage dominant era (AB, SCL, VW, AM, KB), pp. 884–887.
DACDAC-2004-SrivastavaSB04a #power management #using
Power minimization using simultaneous gate sizing, dual-Vdd and dual-Vth assignment (AS, DS, DB), pp. 783–787.
DATEDATE-v1-2004-LeeDBS #power management
Simultaneous State, Vt and Tox Assignment for Total Standby Power Minimization (DL, HD, DB, DS), pp. 494–499.
CHICHI-2004-BaudischG #game studies #multi #named
Multiblending: displaying overlapping windows simultaneously without the drawbacks of alpha blending (PB, CG), pp. 367–374.
CHICHI-2004-TerryMNY #development
Variation in element and action: supporting simultaneous development of alternative solutions (MAT, EDM, KN, YY), pp. 711–718.
ICPRICPR-v2-2004-ShimanoN #optimisation #recognition
Simultaneous Optimization of Class Configuration and Feature Space for Object Recognition (MS, KN), pp. 7–10.
ICPRICPR-v3-2004-NaganumaTMM #analysis #using
Simultaneous Determination of Object Shape and Color by Moire Analysis Using a Reflection Model (SN, NT, AM, TM), pp. 202–205.
ICPRICPR-v4-2004-KobayashiO #higher-order #identification #multi #polynomial #using
Action and Simultaneous Multiple-Person Identification Using Cubic Higher-Order Local Auto-Correlation (TK, NO), pp. 741–744.
ICPRICPR-v4-2004-ReyesB #approach #geometry #re-engineering
Geometric Approach for Simultaneous Projective Reconstruction of Points, Lines, Planes, Quadrics, Plane Conics and Degenerate Quadrics (LR, EBC), pp. 60–63.
HPCAHPCA-2004-FalconRV #multi #thread
A Low-Complexity, High-Performance Fetch Unit for Simultaneous Multithreading Processors (AF, AR, MV), pp. 244–253.
DACDAC-2003-LeeB #reduction
Static leakage reduction through simultaneous threshold voltage and state assignment (DL, DB), pp. 191–194.
DATEDATE-2003-LuoPJ #communication #distributed #embedded #realtime #scalability
Simultaneous Dynamic Voltage Scaling of Processors and Communication Links in Real-Time Distributed Embedded Systems (JL, LSP, NKJ), pp. 11150–11151.
CHICHI-2003-AokiRSTWW #mobile #multi #social
The mad hatter’s cocktail party: a social mobile audio space supporting multiple simultaneous conversations (PMA, MR, MHS, JDT, DW, AW), pp. 425–432.
PPoPPPPoPP-2003-McDowellEG #parallel #thread
Improving server software support for simultaneous multithreaded processors (LM, SJE, SDG), pp. 37–48.
DACDAC-2002-KarnikYTWBGDB #optimisation #performance
Total power optimization by simultaneous dual-Vt allocation and device sizing in high performance microprocessors (TK, YY, JT, LW, SMB, VG, VD, SB), pp. 486–491.
DACDAC-2002-ZhongD #algorithm #constraints #multi #optimisation
Algorithms for simultaneous satisfaction of multiple constraints and objective optimization in a placement flow with application to congestion control (KZ, SD), pp. 854–859.
DATEDATE-2002-DingM02a #modelling #using
Accurate Estimating Simultaneous Switching Noises by Using Application Specific Device Modeling (LD, PM), pp. 1038–1043.
ICSMEICSM-2002-TruyenJV #consistency
Consistency Management in the Presence of Simultaneous Client-Specific Views (ET, WJ, PV), pp. 501–510.
ICPRICPR-v1-2002-ChenTHGB #functional #image #segmentation
Simultaneous Segmentation and Registration for Functional MR Images (YC, SRT, FH, KSG, RWB), pp. 747–750.
ICPRICPR-v3-2002-QianCZ #approach #estimation #independence #multi
A Bayesian Approach to Simultaneous Motion Estimation of Multiple Independently Moving Objects (GQ, RC, QZ), p. 309–?.
HPDCHPDC-2002-SubramaniKSS #distributed #multi #scheduling #using
Distributed Job Scheduling on Computational Grids Using Multiple Simultaneous Requests (VS, RK, SS, PS), p. 359–?.
DACDAC-2001-ChenGB
A New Gate Delay Model for Simultaneous Switching and Its Applications (LCC, SKG, MAB), pp. 289–294.
DACDAC-2001-KjeldsbergCA #data-driven #detection #estimation
Detection of Partially Simultaneously Alive Signals in Storage Requirement Estimation for Data Intensive Applications (PGK, FC, EJA), pp. 365–370.
DACDAC-2001-LepakLH #constraints
Simultaneous Shield Insertion and Net Ordering under Explicit RLC Noise Constraint (KML, IL, LH), pp. 199–202.
DATEDATE-2001-SarkarK #constraints
Repeater block planning under simultaneous delay and transition time constraints (PS, CKK), pp. 540–545.
ASEASE-2000-Bouhoula #confluence
Simultaneous Checking of Completeness and Ground Confluence (AB), p. 143–?.
DACDAC-2000-ChangC #architecture #metric
An architecture-driven metric for simultaneous placement and global routing for FPGAs (YWC, YTC), pp. 567–572.
DATEDATE-2000-KumthekarS #logic #optimisation #reduction
Power and Delay Reduction via Simultaneous Logic and Placement Optimization in FPGAs (BK, FS), pp. 202–207.
IWPCIWPC-2000-Sajaniemi #comprehension #multi
Program Comprehension through Multiple Simultaneous Views: A Session with VinEd (JS), pp. 99–108.
ICPRICPR-v1-2000-LeeY #estimation
A Simultaneous Estimation of Rigid and Non-Rigid Face Motion (JL, HSY), pp. 5068–5071.
ICPRICPR-v3-2000-ManabeKC #metric
Simultaneous Measurement of Spectral Distribution and Shape (YM, SK, KC), pp. 3811–3814.
ICPRICPR-v3-2000-StiefelhagenYW #perspective
Simultaneous Tracking of Head Poses in a Panoramic View (RS, JY, AW), pp. 3726–3733.
ICPRICPR-v3-2000-ZhangWZ #using
Simultaneously Recovering Affine Motion and Defocus Blur Using Moments (YZ, CW, YZ), pp. 3881–3884.
ICPRICPR-v4-2000-HullL #documentation
Simultaneous Highlighting of Paper and Electronic Documents (JJH, DSL), pp. 4401–4404.
ASPLOSASPLOS-2000-RedstoneEL #analysis #architecture #behaviour #operating system #parallel #thread
An Analysis of Operating System Behavior on a Simultaneous Multithreaded Architecture (JR, SJE, HML), pp. 245–256.
ASPLOSASPLOS-2000-SnavelyT #multi #thread
Symbiotic Jobscheduling for a Simultaneous Multithreading Processor (AS, DMT), pp. 234–244.
DACDAC-1999-CongLW #clustering #optimisation #performance
Simultaneous Circuit Partitioning/Clustering with Retiming for Performance Optimization (JC, HL, CW), pp. 460–465.
DACDAC-1999-JiangJC #optimisation #performance
Noise-Constrained Performance Optimization by Simultaneous Gate and Wire Sizing Based on Lagrangian Relaxation (IHRJ, JYJ, YWC), pp. 90–95.
DACDAC-1999-SirichotiyakulEOZDPB #power management
Stand-by Power Minimization Through Simultaneous Threshold Voltage Selection and Circuit Sizing (SS, TE, CO, JZ, AD, RP, DB), pp. 436–441.
DACDAC-1999-ZhouWLA #strict
Simultaneous Routing and Buffer Insertion with Restrictions on Buffer Locations (HZ, DFW, IML, AA), pp. 96–99.
ICSMEICSM-1999-Agrawal #analysis #data flow #graph
Simultaneous Demand-Driven Data-Flow and Call Graph Analysis (GA), pp. 453–462.
ICALPICALP-1999-CortierGJV #decidability #reachability
Decidable Fragments of Simultaneous Rigid Reachability (VC, HG, FJ, MV), pp. 250–260.
HPCAHPCA-1999-HilyS #effectiveness #execution #multi #thread
Out-of-Order Execution may not be Cost-Effective on Processors Featuring Simultaneous Multithreading (SH, AS), pp. 64–67.
HPCAHPCA-1999-TullsenLEL #fine-grained #multi #thread
Supporting Fine-Grained Synchronization on a Simultaneous Multithreading Processor (DMT, JLL, SJE, HML), pp. 54–58.
DATEDATE-1998-ChuW #algorithm #polynomial
A Polynomial Time Optimal Algorithm for Simultaneous Buffer and Wire Sizing (CCNC, DFW), pp. 479–485.
SIGMODSIGMOD-1998-ZhaoDNS #evaluation #multi #optimisation #query
Simultaneous Optimization and Evaluation of Multiple Dimensional Queries (YZ, PD, JFN, AS), pp. 271–282.
KDDKDD-1998-Suzuki #database #evaluation #reliability
Simultaneous Reliability Evaluation of Generality and Accuracy for Rule Discovery in Databases (ES), pp. 339–343.
LICSLICS-1998-Veanes #higher-order #unification
The Relation Between Second-Order Unification and Simultaneous Rigid E-Unification (MV), pp. 264–275.
RTARTA-1998-DegtyarevGNVV #decidability
The Decidability of Simultaneous Rigid E-Unification with One Variable (AD, YG, PN, MV, AV), pp. 181–195.
RTARTA-1998-Okui
Simultaneous Critical Pairs and Church-Rosser Property (SO), pp. 2–16.
DATEEDTC-1997-PrietoRQH #algorithm #optimisation
A performance-driven placement algorithm with simultaneous Place&Route optimization for analog ICs (JAP, AR, JMQ, JLH), pp. 389–394.
SIGMODSIGMOD-1997-ZhaoDN #algorithm #multi
An Array-Based Algorithm for Simultaneous Multidimensional Aggregates (YZ, PD, JFN), pp. 159–170.
ICALPICALP-1997-GurevichV #monad #problem
Monadic Simultaneous Rigid E-Unification and Related Problems (YG, AV), pp. 154–165.
DACDAC-1996-LillisCLH #performance #trade-off
New Performance Driven Routing Techniques With Explicit Area/Delay Tradeoff and Simultaneous Wire Sizing (JL, CKC, TTYL, CYH), pp. 395–400.
ICPRICPR-1996-EggertFF #multi #reverse engineering
Simultaneous registration of multiple range views for use in reverse engineering (DWE, AWF, RBF), pp. 243–247.
ICPRICPR-1996-Reisfeld #detection
Constrained phase congruency: simultaneous detection of interest points and of their orientational scales (DR), pp. 750–754.
ICPRICPR-1996-Sparr #image #re-engineering #sequence
Simultaneous reconstruction of scene structure and camera locations from uncalibrated image sequences (GS), pp. 328–333.
CADECADE-1996-Voronkov #logic #similarity
Proof-Search in Intuitionistic Logic with Equality, or Back to Simultaneous Rigid E-Unification (AV), pp. 32–46.
LICSLICS-1996-DegtyarevMV #algorithm #problem
Simultaneous E-Unification and Related Algorithmic Problems (AD, YM, AV), pp. 494–502.
DACDAC-1995-DeCastelo-Vide-e-SouzaPP #algorithm #approach #architecture #optimisation #throughput #using
Optimal ILP-Based Approach for Throughput Optimization Using Simultaneous Algorithm/Architecture Matching and Retiming (YGDVeS, MP, ACP), pp. 113–118.
DACDAC-1995-MenezesPP #optimisation
Simultaneous Gate and Interconnect Sizing for Circuit-Level Delay Optimization (NM, SP, LTP), pp. 690–695.
ICDARICDAR-v2-1995-ChenHP #documentation #image #recursion #segmentation #using #word
Simultaneous word segmentation from document images using recursive morphological closing transform (SSC, RMH, ITP), pp. 761–764.
DACDAC-1994-CharbonMPS #optimisation
Simultaneous Placement and Module Optimization of Analog IC’s (EC, EM, DP, ALSV), pp. 31–35.
DACDAC-1994-NagR
Performance-Driven Simultaneous Place and Route for Row-Based FPGAs (SN, RAR), pp. 301–307.
DATEEDAC-1994-AbderrahmanKS #estimation
Estimation of Simultaneous Switching Power and Ground Noise of Static CMOS Combinational Circuits (AA, BK, YS), p. 658.
ICALPICALP-1993-Yamamoto #bound #nondeterminism #trade-off #turing machine
Reversal-Space Trade-offs For Simultaneous Resource-Bounded Nondeterministic Turing Machines (HY), pp. 203–214.
HCIHCI-ACS-1993-Westlander #health #research
The Simultaneous Attack on Several Work Environment Factors — An Organizational Change Project Inspired of Occupational Health Research Findings (GW), pp. 833–838.
DACDAC-1991-GebotysE #architecture #scheduling #synthesis
Simultaneous Scheduling and Allocation for Cost Constrained Optimal Architectural Synthesis (CHG, MIE), pp. 2–7.
DACDAC-1990-ChatterjeeH #approach #clustering
A New Simultaneous Circuit Partitioning and Chip Placement Approach Based on Simulated Annealing (AC, RIH), pp. 36–39.
CADECADE-1990-Benanav
Simultaneous Paramodulation (DB), pp. 442–455.
LICSLICS-1989-EmersonJ #automaton #on the
On Simultaneously Determinizing and Complementing ω-Automata (Extended Abstract) (EAE, CSJ), pp. 333–342.
CADECADE-1982-Silver #equation
The Application of Homogenization to Simultaneous Equations (BS), pp. 132–143.
STOCSTOC-1979-Cook #polynomial
Deterministic CFL’s Are Accepted Simultaneously in Polynomial Time and Log Squared Space (SAC), pp. 338–345.
STOCSTOC-1978-MillerY #on the #parallel
On Formulating Simultaneity for Studying Parallelism and Synchronization (REM, CKY), pp. 105–113.
DACDAC-1977-Somaia #automation #logic #testing
An automated simultaneous probing system for testing complex logic assemblies “the bed of nails system” (RHS), pp. 64–67.

Bibliography of Software Language Engineering in Generated Hypertext (BibSLEIGH) is created and maintained by Dr. Vadim Zaytsev.
Hosted as a part of SLEBOK on GitHub.