BibSLEIGH
BibSLEIGH corpus
BibSLEIGH tags
BibSLEIGH bundles
BibSLEIGH people
CC-BY
Open Knowledge
XHTML 1.0 W3C Rec
CSS 2.1 W3C CanRec
email twitter
Used together with:
manag (27)
use (27)
optim (25)
insert (24)
memori (18)

Stem buffer$ (all stems)

227 papers:

DACDAC-2015-KlineXMJ #energy #memory management
Domain-wall memory buffer for low-energy NoCs (DKJ, HX, RGM, AKJ), p. 6.
DATEDATE-2015-SonLKYL #smarttech
A small non-volatile write buffer to reduce storage writes in smartphones (MS, SL, KK, SY, SL), pp. 713–718.
VLDBVLDB-2015-NarasayyaMSLSC #as a service #memory management #multitenancy #relational
Sharing Buffer Pool Memory in Multi-Tenant Relational Database-as-a-Service (VRN, IM, MS, FL, MS, SC), pp. 726–737.
FASEFASE-2015-CanalS #adaptation #communication #modelling
Model-Based Adaptation of Software Communicating via FIFO Buffers (CC, GS), pp. 252–266.
ICALPICALP-v1-2015-Avigdor-Elgrabli #on the #order #random
On the Randomized Competitive Ratio of Reordering Buffer Management with Non-Uniform Costs (NAE, SI, BM, YR), pp. 78–90.
ICALPICALP-v1-2015-ImM #order
Weighted Reordering Buffer Improved via Variants of Knapsack Covering Inequalities (SI, BM), pp. 737–748.
SACSAC-2015-BarringtonFD #multi #scalability
A scalable multi-producer multi-consumer wait-free ring buffer (AB, SDF, DD), pp. 1321–1328.
SACSAC-2015-KimK #scalability #using
Dual region write buffering: making large-scale nonvolatile buffer using small capacitor in SSD (DK, SK), pp. 2039–2046.
ICSEICSE-v1-2015-GopanDNNLM
Data-Delineation in Software Binaries and its Application to Buffer-Overrun Discovery (DG, ED, DN, DN, AL, DM), pp. 145–155.
ASPLOSASPLOS-2015-MalkaABT #named #performance
rIOMMU: Efficient IOMMU for I/O Devices that Employ Ring Buffers (MM, NA, MBY, DT), pp. 355–368.
LCTESLCTES-2015-BarijoughHKG #analysis #streaming #trade-off
Implementation-Aware Model Analysis: The Case of Buffer-Throughput Tradeoff in Streaming Applications (KMB, MH, VK, SG), p. 10.
CAVCAV-2015-GrigoreK
Tree Buffers (RG, SK), pp. 290–306.
ASEASE-2014-BasuB #automation #bound #interactive #verification
Automatic verification of interactions in asynchronous systems with unbounded buffers (SB, TB), pp. 743–754.
CASECASE-2014-FrigerioM #energy #policy #probability
Energy saving policies for a machine tool with warm-up, stochastic arrivals and buffer information (NF, AM), pp. 646–651.
CASECASE-2014-PedrielliAM #multi
Time Buffer Control System for multi-stage production lines (GP, AA, AM), pp. 393–398.
CASECASE-2014-YangWQZ #clustering #multi #scheduling #tool support
Optimal scheduling of single-arm multi-cluster tools with two-space buffering modules (FY, NW, YQ, MZ), pp. 75–80.
DACDAC-2014-CongLXZ #architecture #clustering #reuse
An Optimal Microarchitecture for Stencil Computation Acceleration Based on Non-Uniform Partitioning of Data Reuse Buffers (JC, PL, BX, PZ), p. 6.
DACDAC-2014-WuWNBP #on the
On Timing Closure: Buffer Insertion for Hold-Violation Removal (PCW, MDFW, IN, SB, VP), p. 6.
DATEDATE-2014-JonnaJRM
Minimally buffered single-cycle deflection router (GRJ, JJ, RR, MM), pp. 1–4.
DATEDATE-2014-OdendahlGLARVH #manycore
Optimized buffer allocation in multicore platforms (MO, AG, RL, GA, BR, BV, TH), pp. 1–6.
DATEDATE-2014-ParkKK #design #multi #synthesis
Mixed allocation of adjustable delay buffers combined with buffer sizing in clock tree synthesis of multiple power mode designs (KP, GK, TK), pp. 1–4.
DATEDATE-2014-SeitanidisPDN #architecture #named
ElastiStore: An elastic buffer architecture for Network-on-Chip routers (IS, AP, GD, CN), pp. 1–6.
AFLAFL-2014-HutagalungLL #automaton #game studies #simulation
Buffered Simulation Games for Büchi Automata (MH, ML, ÉL), pp. 286–300.
ICALPICALP-v1-2014-EsfandiariHKLMR #online #order #probability #scheduling
Online Stochastic Reordering Buffer Scheduling (HE, MH, MRK, VL, HM, HR), pp. 465–476.
ICEISICEIS-v2-2014-DingTZ #automation #c #c++ #source code
Automatic Removal of Buffer Overflow Vulnerabilities in C/C++ Programs (SD, HBKT, HZ), pp. 49–59.
ICMLICML-c1-2014-GiesekeHOI #nearest neighbour #query
Buffer k-d Trees: Processing Massive Nearest Neighbor Queries on GPUs (FG, JH, CEO, CI), pp. 172–180.
HPCAHPCA-2014-DiTomasoKL #architecture #fault tolerance #named #power management
QORE: A fault tolerant network-on-chip architecture with power-efficient quad-function channel (QFC) buffers (DD, AKK, AL), pp. 320–331.
CASECASE-2013-ChenZAX #analysis #finite
Analysis of production completion time in Bernoulli serial lines with finite buffers (GC, LZ, JA, GX), pp. 770–775.
DACDAC-2013-KimJK #algorithm #problem
An optimal algorithm of adjustable delay buffer insertion for solving clock skew variation problem (JK, DJ, TK), p. 6.
DATEDATE-2013-GuoYZC #hybrid #low cost
Low cost power failure protection for MLC NAND flash storage systems with PRAM/DRAM hybrid buffer (JG, JY, YZ, YC), pp. 859–864.
DATEDATE-2013-JoseNKM #adaptation #named
DeBAR: deflection based adaptive router with minimal buffering (JJ, BN, DKK, MM), pp. 1583–1588.
DATEDATE-2013-ZoniF
Sensor-wise methodology to face NBTI stress of NoC buffers (DZ, WF), pp. 1038–1043.
LATALATA-2013-DengZDZ #concurrent #π-calculus
The Buffered π-Calculus: A Model for Concurrent Languages (XD, YZ, YD, FZ), pp. 250–261.
POPLPOPL-2013-DemangeLZJPV #java #memory management
Plan B: a buffered memory model for Java (DD, VL, LZ, SJ, DP, JV), pp. 329–342.
ASPLOSASPLOS-2013-ParkBCLN #harmful #manycore #memory management
Regularities considered harmful: forcing randomness to memory accesses to reduce row buffer conflicts for multi-core, multi-bank systems (HP, SB, JC, DL, SHN), pp. 181–192.
CGOCGO-2013-BardizbanyanGWSLMS #data access #performance #using
Improving data access efficiency by using a tagless access buffer (TAB) (AB, PG, DBW, MS, PLE, SAM, PS), p. 11.
LCTESLCTES-2013-BouakazT #data flow #graph #scheduling
Buffer minimization in earliest-deadline first scheduling of dataflow graphs (AB, JPT), pp. 133–142.
DACDAC-2012-ForoutanSP #3d #interface #low cost #using
Cost-efficient buffer sizing in shared-memory 3D-MPSoCs using wide I/O interfaces (SF, AS, FP), pp. 366–375.
DATEDATE-2012-RosiereDDW #design
An out-of-order superscalar processor on FPGA: The ReOrder Buffer design (MR, JLD, ND, FW), pp. 1549–1554.
VLDBVLDB-2012-SwitakowskiBZ #predict
From Cooperative Scans to Predictive Buffer Management (MS, PAB, MZ), pp. 1759–1770.
STOCSTOC-2012-AdamaszekCER #online #scheduling
Optimal online buffer scheduling for block devices (AA, AC, ME, HR), pp. 589–598.
CIKMCIKM-2012-XieZSzP #data type #linear #multi #performance #representation
Efficient buffer management for piecewise linear representation of multiple data streams (QX, JZ, MAS, XZ, CP), pp. 2114–2118.
SACSAC-2012-FouqueLV #fault #using
Using faults for buffer overflow effects (PAF, DL, FV), pp. 1638–1639.
SACSAC-2012-ParkSSP #algorithm #hybrid #in memory #memory management
PRAM wear-leveling algorithm for hybrid main memory based on data buffering, swapping, and shifting (SKP, HS, DJS, KHP), pp. 1643–1644.
SACSAC-2012-ZhongGHCW #memory management
Affinity-aware DMA buffer management for reducing off-chip memory access (QZ, XG, TH, XC, KW), pp. 1588–1593.
FSEFSE-2012-EmmiLQ #source code
Asynchronous programs with prioritized task-buffers (ME, AL, SQ), p. 48.
CASECASE-2011-CaoPW #scheduling
A drum-buffer-rope based scheduling method for semiconductor manufacturing system (ZC, YP, YW), pp. 120–125.
DACDAC-2011-ChangS #approach
Plugging versus logging: a new approach to write buffer management for solid-state disks (LPC, YCS), pp. 23–28.
DACDAC-2011-FajardoFIGLZ #architecture #effectiveness #embedded #named
Buffer-integrated-Cache: a cost-effective SRAM architecture for handheld and embedded platforms (CFF, ZF, RI, GFG, SEL, LZ), pp. 966–971.
DACDAC-2011-JooK #fine-grained #named
WaveMin: a fine-grained clock buffer polarity assignment combined with buffer sizing (DJ, TK), pp. 522–527.
DACDAC-2011-KimKY #named #network #power management
FlexiBuffer: reducing leakage power in on-chip network routers (GK, JK, SY), pp. 936–941.
DACDAC-2011-PaulaNNOH #named
TAB-BackSpace: unlimited-length trace buffers with zero additional on-chip overhead (FMdP, AN, ZN, AO, AJH), pp. 411–416.
DATEDATE-2011-BradyHS
Counterexample-guided SMT-driven optimal buffer sizing (BAB, DEH, SAS), pp. 329–334.
DATEDATE-2011-ChenY #design
Timing-constrained I/O buffer placement for flip-chip designs (ZWC, JTY), pp. 619–624.
DATEDATE-2011-HuangWSLXL #embedded #low cost
A specialized low-cost vectorized loop buffer for embedded processors (LH, ZW, LS, HL, NX, CL), pp. 1200–1203.
DATEDATE-2011-KhatibA #design #streaming
Buffering implications for the design space of streaming MEMS storage (MGK, LA), pp. 253–256.
SIGMODSIGMOD-2011-DoZPDNH #using
Turbocharging DBMS buffer pool using SSDs (JD, DZ, JMP, DJD, JFN, AH), pp. 1113–1124.
SIGMODSIGMOD-2011-LvCHC
Operation-aware buffer management in flash-based systems (YL, BC, BH, XC), pp. 13–24.
PLDIPLDI-2011-ZengWL #concurrent #data type #monitoring #named #using
Cruiser: concurrent heap buffer overflow monitoring using lock-free data structures (QZ, DW, PL), pp. 367–377.
STOCSTOC-2011-AdamaszekCER #bound #order
Almost tight bounds for reordering buffer management (AA, AC, ME, HR), pp. 607–616.
ICEISICEIS-v3-2011-GradlMDEWK #enterprise
Measuring the Buffer Occupation of SAP ERP System Applications (SG, MM, AD, RE, HW, HK), pp. 266–272.
SACSAC-2011-ParkPSP #named
CAVE: channel-aware buffer management scheme for solid state disk (SKP, YP, GS, KHP), pp. 346–353.
CAVCAV-2011-AtigBP #analysis
Getting Rid of Store-Buffers in TSO Analysis (MFA, AB, GP), pp. 99–115.
CASECASE-2010-FantiSU #constraints #scheduling
Scheduling the internal operations in distribution centers with buffer constraints (MPF, GS, WU), pp. 75–80.
DACDAC-2010-ChenDC #synthesis
Clock tree synthesis under aggressive buffer insertion (YYC, CD, DC), pp. 86–89.
DACDAC-2010-GuthausWR #linear #optimisation #programming
Non-uniform clock mesh optimization with linear programming buffer insertion (MRG, GW, RR), pp. 74–79.
DACDAC-2010-ShihC #independence #performance #synthesis
Fast timing-model independent buffered clock-tree synthesis (XWS, YWC), pp. 80–85.
DATEDATE-2010-WiggersBGB #graph
Simultaneous budget and buffer size computation for throughput-constrained task graphs (MW, MB, MG, TB), pp. 1669–1672.
STOCSTOC-2010-VerbinZ #bound #memory management
The limits of buffering: a tight lower bound for dynamic membership in the external memory model (EV, QZ), pp. 447–456.
ICALPICALP-v1-2010-EpsteinLS #online #order
Max-min Online Allocations with a Reordering Buffer (LE, AL, RvS), pp. 336–347.
CIKMCIKM-2010-LiXCH #named #optimisation #performance
StableBuffer: optimizing write performance for DBMS applications on flash devices (YL, JX, BC, HH), pp. 339–348.
CIKMCIKM-2010-OnLHWLX #database #named
FD-buffer: a buffer manager for databases on flash disks (STO, YL, BH, MW, QL, JX), pp. 1297–1300.
FSEFSE-2010-LiCK #analysis #detection #effectiveness
Practical and effective symbolic analysis for buffer overflow detection (LL, CC, NK), pp. 317–326.
LCTESLCTES-2010-ForoozannejadHHG #analysis #streaming
Look into details: the benefits of fine-grain streaming buffer analysis (MHF, MH, TLH, SG), pp. 27–36.
DACDAC-2009-HuLA #approximate #polynomial
A fully polynomial time approximation scheme for timing driven minimum cost buffer insertion (SH, ZL, CJA), pp. 424–429.
DACDAC-2009-JangK
Simultaneous clock buffer sizing and polarity assignment for power/ground noise minimization (HJ, TK), pp. 794–799.
DATEDATE-2009-GhasemzadehJSJ #communication #network
Communication minimization for in-network processing in body sensor networks: A buffer assignment technique (HG, NJ, MS, RJ), pp. 358–363.
DATEDATE-2009-KwonYUJ #performance #problem
In-network reorder buffer to improve overall NoC performance while resolving the in-order requirement problem (WCK, SY, JU, SWJ), pp. 1058–1063.
DATEDATE-2009-NagarajK #case study #process
A study on placement of post silicon clock tuning buffers for mitigating impact of process variation (KN, SK), pp. 292–295.
DATEDATE-2009-ZhuSJ #architecture #cpu #hybrid #realtime #scheduling #streaming
Buffer minimization of real-time streaming applications scheduling on hybrid CPU/FPGA architectures (JZ, IS, AJ), pp. 1506–1511.
SACSAC-2009-RuanMBQZ #energy #named #parallel
DARAW: a new write buffer to improve parallel I/O energy-efficiency (XR, AM, KB, XQ, ZZ), pp. 299–304.
ASPLOSASPLOS-2009-BurceaM #branch #design #named
Phantom-BTB: a virtualized branch target buffer design (IB, AM), pp. 313–324.
HPCAHPCA-2009-MichelogiannakisBD #network
Elastic-buffer flow control for on-chip networks (GM, JDB, WJD), pp. 151–162.
DACDAC-2008-ChenCP #framework
An integrated nonlinear placement framework with congestion and porosity aware buffer planning (TCC, AC, DZP), pp. 702–707.
DACDAC-2008-JiangS #algorithm #scalability
Circuit-wise buffer insertion and gate sizing algorithm with scalability (ZJ, WS), pp. 708–713.
DACDAC-2008-LinSH #multi #realtime
A multi-resolution AHB bus tracer for real-time compression of forward/backward traces in a circular buffer (YTL, WCS, IJH), pp. 862–865.
DATEDATE-2008-FaruqueH #architecture #communication
Minimizing Virtual Channel Buffer for Routers in On-chip Communication Architectures (MAAF, JH), pp. 1238–1243.
DATEDATE-2008-WangZHZT #design #multi #reliability
Zero-Efficient Buffer Design for Reliable Network-on-Chip in Tiled Chip-Multi-Processor (JW, HZ, KH, GZ, YT), pp. 792–795.
DATEDATE-2008-WiggersBS #communication #throughput
Computation of Buffer Capacities for Throughput Constrained and Data Dependent Inter-Task Communication (MW, MB, GJMS), pp. 640–645.
ESOPESOP-2008-TerauchiM #bound #linear #programming
Inferring Channel Buffer Bounds Via Linear Programming (TT, AM), pp. 284–298.
AdaSIGAda-2008-Moore #ada #using
A buffer container class hierarchy using Ada 2005 (BJM), pp. 57–74.
AdaSIGAda-2008-Moore08a #ada #distributed #monitoring
Distributed status monitoring and controlusing remote buffers and Ada 2005 (BJM), pp. 109–120.
FSEFSE-2008-LeS #detection #named
Marple: a demand-driven path-sensitive buffer overflow detector (WL, MLS), pp. 272–282.
ISSTAISSTA-2008-XuGM #abstraction #testing
Testing for buffer overflows with length abstraction (RGX, PG, RM), pp. 27–38.
ASEASE-2007-KuHCL #benchmark #metric #model checking
A buffer overflow benchmark for software model checkers (KK, TEH, MC, DL), pp. 389–392.
DACDAC-2007-BogdanM #behaviour
Quantum-Like Effects in Network-on-Chip Buffers Behavior (PB, RM), pp. 266–267.
DACDAC-2007-ChenZ #performance #process
Fast Min-Cost Buffer Insertion under Process Variations (RC, HZ), pp. 338–343.
DACDAC-2007-WiggersBS #data flow #graph #performance
Efficient Computation of Buffer Capacities for Cyclo-Static Dataflow Graphs (MW, MB, GJMS), pp. 658–663.
DATEDATE-2007-BaneresCK
Layout-aware gate duplication and buffer insertion (DB, JC, MK), pp. 1367–1372.
VLDBVLDB-2007-BiveinisSJ #in memory #performance
Main-Memory Operation Buffering for Efficient R-Tree Update (LB, SS, CSJ), pp. 591–602.
VLDBVLDB-2007-KochSS #evaluation #streaming #xquery
The GCX System: Dynamic Buffer Minimization in Streaming XQuery Evaluation (CK, SS, MS), pp. 1378–1381.
VLDBVLDB-2007-LangBMW #multi
Increasing Buffer-Locality for Multiple Index Based Scans through Intelligent Placement and Index Scan Speed Control (CAL, BB, TM, KW), pp. 1298–1309.
PASTEPASTE-2007-LeS #analysis #detection
Refining buffer overflow detection via demand-driven path-sensitive analysis (WL, MLS), pp. 63–68.
STOCSTOC-2007-EnglertRW #metric #order
Reordering buffers for general metric spaces (ME, HR, MW), pp. 556–564.
SACSAC-2007-ChenL #hardware
Use of hardware Z-buffered rasterization to accelerate ray tracing (CCC, DSML), pp. 1046–1050.
HPCAHPCA-2007-GaneshJWJ #architecture #comprehension #memory management #scalability
Fully-Buffered DIMM Memory Architectures: Understanding Mechanisms, Overheads and Scaling (BG, AJ, DW, BLJ), pp. 109–120.
DACDAC-2006-Al-Yamani
DFT for controlled-impedance I/O buffers (AAAY), pp. 405–410.
DACDAC-2006-GuthausSB #programming #using
Clock buffer and wire sizing using sequential programming (MRG, DS, RBB), pp. 1041–1046.
DACDAC-2006-HanGCJ #memory management #optimisation #video
Buffer memory optimization for video codec application modeled in Simulink (SIH, XG, SIC, AAJ), pp. 689–694.
DACDAC-2006-HuAHKLSS #algorithm #performance
Fast algorithms for slew constrained minimum cost buffering (SH, CJA, JH, SKK, ZL, WS, CCNS), pp. 308–313.
DACDAC-2006-StuijkGB #constraints #data flow #graph #requirements #throughput #trade-off
Exploring trade-offs in buffer requirements and throughput constraints for synchronous dataflow graphs (SS, MG, TB), pp. 899–904.
DACDAC-2006-WaghmodeLS #scalability
Buffer insertion in large circuits with constructive solution search techniques (MW, ZL, WS), pp. 296–301.
DATEDATE-2006-ManolacheEP #communication #optimisation #synthesis
Buffer space optimisation with communication synthesis and traffic shaping for NoCs (SM, PE, ZP), pp. 718–723.
SACSAC-2006-ZhaiLL #data access #mobile #statistics #streaming
Statistical buffering for streaming media data access in a mobile environment (JZ, XL, QL), pp. 1161–1165.
ICSEICSE-2006-HackettDWY #composition #in the large
Modular checking for buffer overflows in the large (BH, MD, DW, ZY), pp. 232–241.
ASPLOSASPLOS-2006-JonesAA #monitoring #named #virtual machine
Geiger: monitoring the buffer cache in a virtual machine environment (STJ, ACAD, RHAD), pp. 14–24.
DACDAC-2005-DongR #automation #megamodelling #performance
Automated nonlinear Macromodelling of output buffers for high-speed digital applications (ND, JSR), pp. 51–56.
DACDAC-2005-GeilenBS #data flow #graph #model checking #requirements
Minimising buffer requirements of synchronous dataflow graphs with model checking (MG, TB, SS), pp. 819–824.
DACDAC-2005-SzeAHS
Path based buffer insertion (CCNS, CJA, JH, WS), pp. 509–514.
DACDAC-2005-TamH
Power optimal dual-Vdd buffered tree considering buffer stations and blockages (KHT, LH), pp. 497–502.
DATEDATE-2005-KallakuriDF #communication
Buffer Insertion for Bridges and Optimal Buffer Sizing for Communication Sub-System of Systems-on-Chip (SK, AD, EAF), pp. 826–827.
DATEDATE-2005-LiS05a #algorithm
An O(bn2) Time Algorithm for Optimal Buffer Insertion with b Buffer Types (ZL, WS), pp. 1324–1329.
DATEDATE-2005-LoghiAP #architecture #energy
Tag Overflow Buffering: An Energy-Efficient Cache Architecture (ML, PA, MP), pp. 520–525.
DATEDATE-2005-XiongTH #process
Buffer Insertion Considering Process Variation (JX, KHT, LH), pp. 970–975.
PODSPODS-2005-Bar-YossefFJ #evaluation #query #xml
Buffering in query evaluation over XML streams (ZBY, MF, VJ), pp. 216–227.
ICALPICALP-2005-EnglertW #cost analysis #modelling #order
Reordering Buffer Management for Non-uniform Cost Models (ME, MW), pp. 627–638.
LOPSTRLOPSTR-2005-SuenagaKY #approach #automation #generative #source code #type system
Extension of Type-Based Approach to Generation of Stream-Processing Programs by Automatic Insertion of Buffering Primitives (KS, NK, AY), pp. 98–114.
DACDAC-2004-AlpertHHQ #flexibility #layout #performance #physics
Fast and flexible buffer trees that navigate the physical layout environment (CJA, MH, JH, STQ), pp. 24–29.
DACDAC-2004-WangM #constraints #power management
Buffer sizing for clock power minimization subject to general skew constraints (KW, MMS), pp. 159–164.
DATEDATE-v1-2004-CaiL #power management #using
Dynamic Power Management Using Data Buffers (LC, YHL), pp. 526–531.
DATEDATE-v1-2004-ZhangV #memory management #using
Using a Victim Buffer in an Application-Specific Memory Hierarchy (CZ, FV), pp. 220–227.
DATEDATE-v2-2004-GarceaMKO #statistics
Statistically Aware Buffer Planning (GSG, NPvdM, KJvdK, RHJMO), pp. 1402–1403.
SIGMODSIGMOD-2004-ZhouR #database #performance
Buffering Database Operations for Enhanced Instruction Cache Performance (JZ, KAR), pp. 191–202.
VLDBVLDB-2004-KochSSS #data type #query #scheduling
Schema-based Scheduling of Event Processors and Buffer Minimization for Queries on Structured Data Streams (CK, SS, NS, BS), pp. 228–239.
STOCSTOC-2004-AlbersS #algorithm #on the #performance
On the performance of greedy algorithms in packet buffering (SA, MS), pp. 35–44.
ICALPICALP-2004-BansalFKMSS
Further Improvements in Competitive Guarantees for QoS Buffering (NB, LF, TK, MM, BS, MS), pp. 196–207.
ICEISICEIS-v1-2004-Riaz-ud-DinK #adaptation #database #multi #named #using
ACME-DB: An Adaptive Caching Mechanism Using Multiple Experts For Database Buffers (FRuD, MK), pp. 192–201.
SACSAC-2004-JayapalaABDCC #energy #optimisation #scheduling
L0 buffer energy optimization through scheduling and exploration (MJ, TVA, FB, GD, FC, HC), pp. 905–906.
FSEFSE-2004-ZitserLL #open source #source code #static analysis #testing #tool support #using
Testing static analysis tools using exploitable buffer overflows from open source code (MZ, RL, TL), pp. 97–106.
HPCAHPCA-2004-NesbitS #using
Data Cache Prefetching Using a Global History Buffer (KJN, JES), pp. 96–105.
HPCAHPCA-2004-PengPL #performance
Signature Buffer: Bridging Performance Gap between Registers and Caches (LP, JKP, KL), pp. 164–175.
LCTESLCTES-2004-ImH #multi #realtime #scalability #scheduling #using
Dynamic voltage scaling for real-time multi-task scheduling using buffers (CI, SH), pp. 88–94.
OSDIOSDI-2004-GniadyBH #classification
Program-Counter-Based Pattern Classification in Buffer Caching (CG, ARB, YCH), pp. 395–408.
DACDAC-2003-MaHDCCCG #analysis #optimisation
Dynamic global buffer planning optimization based on detail block locating and congestion analysis (YM, XH, SD, SC, YC, CKC, JG), pp. 806–811.
DACDAC-2003-ShiL #algorithm
An O(nlogn) time algorithm for optimal buffer insertion (WS, ZL), pp. 580–585.
VLDBVLDB-2003-ZhouR
Buffering Accesses to Memory-Resident Index Structures (JZ, KAR), pp. 405–416.
WCREWCRE-2003-DahnM #c #program transformation #source code #using
Using Program Transformation to Secure C Programs Against Buffer Overflows (CD, SM), pp. 323–333.
PLDIPLDI-2003-DorRS #c #detection #named #towards
CSSV: towards a realistic tool for statically detecting all buffer overflows in C (ND, MR, SS), pp. 155–167.
HPCAHPCA-2003-GarzaranPLVRT #concurrent #memory management #multi #thread #trade-off
Tradeoffs in Buffering Memory State for Thread-Level Speculation in Multiprocessors (MJG, MP, JML, VV, LR, JT), pp. 191–202.
DACDAC-2002-ChenMB02a
Coping with buffer delay change due to power and ground noise (LHC, MMS, FB), pp. 860–865.
DACDAC-2002-HrkicL #named #synthesis
S-Tree: a technique for buffered routing tree synthesis (MH, JL), pp. 578–583.
DACDAC-2002-XiangWT #algorithm
An algorithm for integrated pin assignment and buffer planning (HX, DFW, XT), pp. 584–589.
DATEDATE-2002-HuangLWG #constraints
Maze Routing with Buffer Insertion under Transition Time Constraints (LDH, ML, DFW, YG), pp. 702–707.
DATEDATE-2002-Velev #similarity #using #verification
Using Rewriting Rules and Positive Equality to Formally Verify Wide-Issue Out-of-Order Microprocessors with a Reorder Buffer (MNV), pp. 28–35.
DATEDATE-2002-WongSY #design #estimation
Congestion Estimation with Buffer Planning in Floorplan Design (WCW, CWS, EFYY), pp. 696–701.
VLDBVLDB-2002-LeeWMS #algorithm #multi
A One-Pass Aggregation Algorithm with the Optimal Buffer Size in Multidimensional OLAP (YKL, KYW, YSM, IYS), pp. 790–801.
DACDAC-2001-AlpertHSV #resource management
A Practical Methodology for Early Buffer and Wire Resource Allocation (CJA, JH, SSS, PV), pp. 189–194.
DATEDATE-2001-GaoW #algorithm #graph #modelling
A graph based algorithm for optimal buffer insertion under accurate delay models (YG, DFW), pp. 535–539.
STOCSTOC-2001-KesselmanLMPSS
Buffer overflow management in QoS switches (AK, ZL, YM, BPS, BS, MS), pp. 520–529.
ICALPICALP-2001-ChrobakCINSW #multi #problem #scheduling
The Buffer Minimization Problem for Multiprocessor Scheduling with Conflicts (MC, JC, CI, JN, JS, GJW), pp. 862–874.
SACSAC-2001-Pressel
Fundamental limitations on the use of prefetching and stream buffers for scientific applications (DMP), pp. 554–559.
DACDAC-2000-CongY
Routing tree construction under fixed buffer locations (JC, XY), pp. 379–384.
DACDAC-2000-JagannathanHL #algorithm #performance
A fast algorithm for context-aware buffer insertion (AJ, SWH, JL), pp. 368–373.
DACDAC-2000-LaiW
Maze routing with buffer insertion and wiresizing (ML, DFW), pp. 374–378.
CIKMCIKM-2000-BressanGOT #framework #modelling
A Framework for Modeling Buffer Replacement Strategies (SB, CLG, BCO, KLT), pp. 62–69.
CCCC-2000-UhWWJBC #effectiveness
Techniques for Effectively Exploiting a Zero Overhead Loop Buffer (GRU, YW, DBW, SJ, CB, VC), pp. 157–172.
LCTESLCTES-2000-LeeSJ #communication #multi
An Integrated Push/Pull Buffer Management Method in Multimedia Communication Environments (SL, HS, TJ), pp. 216–220.
OSDIOSDI-2000-KimCKNMCK
A Low-Overhead, High-Performance Unified Buffer Management Scheme That Exploits Sequential and Looping References (JMK, JC, JK, SHN, SLM, YC, CSK), pp. 119–134.
DACDAC-1999-AlpertDQ
Buffer Insertion with Accurate Gate and Interconnect Delay Computation (CJA, AD, STQ), pp. 479–484.
DACDAC-1999-SalekLP #generative #independence #named #using
MERLIN: Semi-Order-Independent Hierarchical Buffered Routing Tree Generation Using Local Neighborhood Search (AHS, JL, MP), pp. 472–478.
DACDAC-1999-ZhouWLA #strict
Simultaneous Routing and Buffer Insertion with Restrictions on Buffer Locations (HZ, DFW, IML, AA), pp. 96–99.
VLDBVLDB-1999-GohOST #named
GHOST: Fine Granularity Buffering of Indexes (CHG, BCO, DS, KLT), pp. 339–350.
HPCAHPCA-1999-MartinezLD #concurrent #detection #performance
Impact of Buffer Size on the Efficiency of Deadlock Detection (JMM, PL, JD), pp. 315–318.
LCTESLCTES-1999-UhWWJBC #effectiveness
Effective Exploitation of a Zero Overhead Loop Buffer (GRU, YW, DBW, SJ, CB, VC), pp. 10–19.
OSDIOSDI-1999-PaiDZ #named
IO-Lite: A Unified I/O Buffering and Caching System (VSP, PD, WZ), pp. 15–28.
DACDAC-1998-AlpertDQ #optimisation
Buffer Insertion for Noise and Delay Optimization (CJA, AD, STQ), pp. 362–367.
DACDAC-1998-Kung #algorithm #library #optimisation #performance
A Fast Fanout Optimization Algorithm for Near-Continuous Buffer Libraries (DSK), pp. 352–355.
DATEDATE-1998-ChuW #algorithm #polynomial
A Polynomial Time Optimal Algorithm for Simultaneous Buffer and Wire Sizing (CCNC, DFW), pp. 479–485.
SIGMODSIGMOD-1998-JonssonFS #evaluation #information retrieval #interactive #query
Interaction of Query Evaluation and Buffer Management for Information Retrieval (BÞJ, MJF, DS), pp. 118–129.
VLDBVLDB-1998-GeorgeH #database #realtime
Secure Buffering in Firm Real-Time Database Systems (BG, JRH), pp. 464–475.
VLDBVLDB-1998-Schoning
The ADABAS Buffer Pool Manager (HS), pp. 675–679.
VLDBVLDB-1998-ZhangL
Buffering and Read-Ahead Strategies for External Mergesort (WZ, PÅL), pp. 523–533.
CIKMCIKM-1998-JeonN #algorithm #database
A Database Disk Buffer Management Algorithm Based on Prefetching (HSJ, SHN), pp. 167–174.
CIKMCIKM-1998-TungTL #mining #named #online #optimisation #using
BROOM: Buffer Replacement using Online Optimization by Mining (AKHT, YCT, HL), pp. 185–192.
SACSAC-1998-KimYL #analysis #policy
Analysis of buffer replacement policies for WWW proxy (IK, HYY, JL), pp. 98–103.
HPCAHPCA-1998-MukherjeeH #data transfer #design #interface #network
The Impact of Data Transfer and Buffering Alternatives on Network Interface Design (SSM, MDH), pp. 207–218.
DACDAC-1997-AlpertD
Wire Segmenting for Improved Buffer Insertion (CJA, AD), pp. 588–593.
SIGMODSIGMOD-1997-TsueiPK #database #experience
Database Buffer Size Investigation for OLTP Workloads (Experience Paper) (TFT, AP, KTK), pp. 112–122.
VLDBVLDB-1997-BridgeJKLLM
The Oracle Universal Server Buffer (WB, AJ, MK, TL, JL, NM), pp. 590–594.
HPCAHPCA-1997-SkadronC #design #trade-off
Design Issues and Tradeoffs for Write Buffers (KS, DWC), pp. 144–155.
HPDCHPDC-1997-NitzbergL #parallel #performance
Collective Buffering: Improving Parallel I/O Performance (BN, VML), pp. 148–157.
DACDAC-1996-ChenCW #optimisation #performance
Fast Performance-Driven Optimization for Buffered Clock Trees Based on Lagrangian Relaxation (CPC, YWC, DFW), pp. 405–408.
SIGMODSIGMOD-1996-BrownCL #revisited
Goal-Oriented Buffer Management Revisited (KPB, MJC, ML), pp. 353–364.
HPCAHPCA-1996-AlexanderK #design #distributed #memory management
Distributed Prefetch-buffer/Cache Design for High-Performance Memory Systems (TA, GK), pp. 254–263.
DACDAC-1995-VittalM #design
Power Optimal Buffered Clock Tree Design (AV, MMS), pp. 497–502.
DACDAC-1995-XiD #power management #process
Buffer Insertion and Sizing Under Process Variations for Low Power Clock Distribution (JGX, WWMD), pp. 491–496.
VLDBVLDB-1995-MoserKK #data flow #interactive #multi #named
L/MRP: A Buffer Management Strategy for Interactive Continuous Data Flows in a Multimedia DBMS (FM, AK, WK), pp. 275–286.
HPCAHPCA-1995-FarkasJC #execution #how #multi #question
How Useful Are Non-Blocking Loads, Stream Buffers and Speculative Execution in Multiple Issue Processors? (KIF, NPJ, PC), pp. 78–89.
SOSPSOSP-1995-GhemawatKL #database #object-oriented #performance #using
Using a Modified Object Buffer to Improve the Write Performance of an Object-Oriented Database (SG, MFK, BL), p. 235.
SIGMODSIGMOD-1994-SwamiS #finite
Estimating Page Fetches for Index Scans with Finite LRU Buffers (ANS, KBS), pp. 173–184.
VLDBVLDB-1994-JohnsonS #algorithm #named #performance
2Q: A Low Overhead High Performance Buffer Management Replacement Algorithm (TJ, DS), pp. 439–450.
VLDBVLDB-1994-KemperK
Dual-Buffering Strategies in Object Bases (AK, DK), pp. 427–438.
VLDBVLDB-1994-NgY #on-demand
Maximizing Buffer and Disk Utilizations for News On-Demand (RTN, JY), pp. 451–462.
ASPLOSASPLOS-1994-GallagherCMGH #ambiguity #memory management #using
Dynamic Memory Disambiguation Using the Memory Conflict Buffer (DMG, WYC, SAM, JCG, WmWH), pp. 183–193.
SIGMODSIGMOD-1993-ONeilOW #algorithm #database
The LRU-K Page Replacement Algorithm For Database Disk Buffering (EJO, PEO, GW), pp. 297–306.
VLDBVLDB-1993-ChenR93a #adaptation #database #feedback #query #using
Adaptive Database Buffer Allocation Using Query Feedback (CMC, NR), pp. 342–353.
VLDBVLDB-1992-ChanOL
Extensible Buffer Management of Indexes (CYC, BCO, HL), pp. 444–454.
DACDAC-1991-HuHB #pipes and filters
Minimizing the Number of Delay Buffers in the Synchronization of Pipelined Systems (XH, RGH, SCB), pp. 758–763.
SIGMODSIGMOD-1991-NgFS #flexibility
Flexible Buffer Allocation Based on Marginal Gains (RTN, CF, TKS), pp. 387–396.
VLDBVLDB-1991-FaloutsosNS #flexibility #predict
Predictive Load Control for Flexible Buffer Allocation (CF, RTN, TKS), pp. 265–274.
CAVCAV-1991-Sistla #automation #verification
Automatic Temporal Verification of Buffer Systems (APS, LDZ), pp. 59–69.
VLDBVLDB-1990-DanDY #data access
The Effect of Skewed Data Access on Buffer Hits and Data Contention an a Data Sharing Environment (AD, DMD, PSY), pp. 419–431.
VLDBVLDB-1990-JauhariCL #algorithm #named
Priority-Hints: An Algorithm for Priority-Based Buffer Management (RJ, MJC, ML), pp. 708–721.
FMVDME-1990-Weber-Wulff #implementation #using
A Buffering System Implementation using VDM (DWW), pp. 135–149.
DACDAC-1989-Al-KhaliliZA #generative
A Module Generator for Optimized CMOS Buffers (AJAK, YZ, DAK), pp. 245–250.
SIGMODSIGMOD-1989-ChangK #clustering #effectiveness #inheritance #object-oriented #semantics
Exploiting Inheritance and Structure Semantics for Effective Clustering and Buffering in an Object-Oriented DBMS (EEC, RHK), pp. 348–357.
VLDBVLDB-1989-CornellY #database #integration #optimisation #query #relational
Integration of Buffer Management and Query Optimization in Relational Database Environment (DWC, PSY), pp. 247–255.
ASPLOSASPLOS-1989-BlackRGHB #approach #consistency
Translation Lookaside Buffer Consistency: A Software Approach (DLB, RFR, DBG, CRH, RVB), pp. 113–122.
ASPLOSASPLOS-1989-Mulder #runtime
Data Buffering: Run-Time Versus Compile-Time Support (HM), pp. 144–151.
SOSPSOSP-1989-BraunsteinRW #performance
Improving the Efficiency of UNIX File Buffer Caches (AB, MR, JW), pp. 71–82.
SOSPSOSP-1989-Rosenburg #consistency #multi #scalability
Low-Synchronization Translation Lookaside Buffer Consistency in Large-Scale Shared-Memory Multiprocessors (BSR), pp. 137–146.
VLDBVLDB-1987-KuspertDG #information management #prototype
Cooperative Object Buffer Management in the Advanced Information Management Prototype (KK, PD, JG), pp. 483–492.
VLDBVLDB-1987-Sacco #finite
Index Access with a Finite Buffer (GMS), pp. 301–309.
VLDBVLDB-1986-Hagmann #database #metric #performance
An Observation on Database Buffering Performance Metrics (RBH), pp. 289–293.
VLDBVLDB-1985-ChouD #database #evaluation #relational
An Evaluation of Buffer Management Strategies for Relational Database Systems (HTC, DJD), pp. 127–141.
VLDBVLDB-1982-SaccoS #database #relational #set #using
A Mechanism for Managing the Buffer Pool in a Relational Database System Using the Hot Set Model (GMS, MS), pp. 257–262.
DACDAC-1979-WeingartenKC #3d #interactive #named #using
DRAW3D: Time sharing graphic interaction using a device-space buffer (NHW, WK, MC), pp. 135–141.

Bibliography of Software Language Engineering in Generated Hypertext (BibSLEIGH) is created and maintained by Dr. Vadim Zaytsev.
Hosted as a part of SLEBOK on GitHub.