BibSLEIGH
BibSLEIGH corpus
BibSLEIGH tags
BibSLEIGH bundles
BibSLEIGH people
CC-BY
Open Knowledge
XHTML 1.0 W3C Rec
CSS 2.1 W3C CanRec
email twitter
Used together with:
softwar (47)
design (32)
processor (32)
optim (29)
parallel (24)

Stem pipelin$ (all stems)

264 papers:

DACDAC-2015-ZhaoTDZ #pipes and filters #synthesis
Area-efficient pipelining for FPGA-targeted high-level synthesis (RZ, MT, SD, ZZ), p. 6.
DATEDATE-2015-YinLLWG #pipes and filters
Joint affine transformation and loop pipelining for mapping nested loop on CGRAs (SY, DL, LL, SW, YG), pp. 115–120.
DATEDATE-2015-ZhangJSPHP #hardware #manycore #named #pipes and filters
E-pipeline: elastic hardware/software pipelines on a many-core fabric (XZ, HJ, MS, JP, JH, SP), pp. 363–368.
SIGIRSIGIR-2015-KimY #design #evaluation #information retrieval #pipes and filters
IR Evaluation: Designing an End-to-End Offline Evaluation Pipeline (JYK, EY), pp. 1129–1132.
ECOOPECOOP-2015-BiboudisPFS #algebra #pipes and filters
Streams a la carte: Extensible Pipelines with Object Algebras (AB, NP, GF, YS), pp. 591–613.
PADLPADL-2015-ShterionovJ #implementation #performance #pipes and filters #probability
Implementation and Performance of Probabilistic Inference Pipelines (DSS, GJ), pp. 90–104.
SACSAC-2015-ShterionovJ #component #pipes and filters #probability
Crucial components in probabilistic inference pipelines (DSS, GJ), pp. 1887–1889.
ASPLOSASPLOS-2015-MullapudiVB #automation #image #named #optimisation #pipes and filters
PolyMage: Automatic Optimization for Image Processing Pipelines (RTM, VV, UB), pp. 429–443.
LCTESLCTES-2015-BairdGSWU #architecture #optimisation #pipes and filters
Optimizing Transfers of Control in the Static Pipeline Architecture (RB, PG, MS, DBW, GRU), p. 10.
DACDAC-2014-DaiTHZ #pipes and filters #synthesis
Flushing-Enabled Loop Pipelining for High-Level Synthesis (SD, MT, KH, ZZ), p. 6.
DACDAC-2014-HoC #optimisation #performance #pipes and filters
A New Asynchronous Pipeline Template for Power and Performance Optimization (KHH, YWC), p. 6.
DACDAC-2014-LiS #monitoring #pipes and filters #robust #self
Robust and In-Situ Self-Testing Technique for Monitoring Device Aging Effects in Pipeline Circuits (JL, MS), p. 6.
DACDAC-2014-LiuGJA #named #pipes and filters
CGPA: Coarse-Grained Pipelined Accelerators (FL, SG, NPJ, DIA), p. 6.
DATEDATE-2014-ChenRC #adaptation #design #named #pipes and filters
DARP: Dynamically Adaptable Resilient Pipeline design in microprocessors (HC, SR, KC), pp. 1–6.
DATEDATE-2014-GinesL #pipes and filters #testing
Sigma-delta testability for pipeline A/D converters (AJG, GL), pp. 1–6.
DATEDATE-2014-HaoRX #behaviour #equivalence #pipes and filters #synthesis
Equivalence checking for function pipelining in behavioral synthesis (KH, SR, FX), pp. 1–6.
ICFPICFP-2014-SchlesingerGW #concurrent #pipes and filters #policy
Concurrent NetCore: from policies to pipelines (CS, MG, DW), pp. 11–24.
CHICHI-2014-GaoHAHD #automation #named #pipes and filters #visualisation
NewsViews: an automated pipeline for creating custom geovisualizations for news (TG, JH, EA, BH, ND), pp. 3005–3014.
CGOCGO-2014-RongPWW #pipes and filters
Just-In-Time Software Pipelining (HR, HP, YW, CW), p. 11.
DACDAC-2013-AlleMD #analysis #dependence #pipes and filters #runtime #synthesis
Runtime dependency analysis for loop pipelining in high-level synthesis (MA, AM, SD), p. 10.
DACDAC-2013-ChakrabortyCRA #pipes and filters
Efficiently tolerating timing violations in pipelined microprocessors (KC, BC, SR, DMA), p. 8.
DACDAC-2013-JahnPKCH #configuration management #optimisation #pipes and filters
Optimizations for configuring and mapping software pipelines in many core systems (JJ, SP, SK, JJC, JH), p. 8.
DACDAC-2013-TangAP #communication #configuration management #multi #pipes and filters
Reconfigurable pipelined coprocessor for multi-mode communication transmission (LT, JAA, SP), p. 8.
DATEDATE-2013-ChenHBK #energy #multi #optimisation #pipes and filters #worst-case
Energy optimization with worst-case deadline guarantee for pipelined multiprocessor systems (GC, KH, CB, AK), pp. 45–50.
DATEDATE-2013-JahnH #architecture #manycore #named #pipes and filters #self
Pipelets: self-organizing software pipelines for many-core architectures (JJ, JH), pp. 1516–1521.
DATEDATE-2013-OborilT #design #pipes and filters
MTTF-balanced pipeline design (FO, MBT), pp. 270–275.
PLDIPLDI-2013-Ragan-KelleyBAPDA #compilation #image #locality #named #optimisation #parallel #pipes and filters
Halide: a language and compiler for optimizing parallelism, locality, and recomputation in image processing pipelines (JRK, CB, AA, SP, FD, SPA), pp. 519–530.
KDDKDD-2013-RamanSGJ #big data #pipes and filters
Beyond myopic inference in big data pipelines (KR, AS, JG, TJ), pp. 86–94.
SACSAC-2013-AzarianCWB #approach #manycore #pipes and filters
An FPGA-based multi-core approach for pipelining computing stages (AA, JMPC, SW, JB), pp. 1533–1540.
SLESLE-2013-BaggeH #pipes and filters #pretty-printing
A Pretty Good Formatting Pipeline (AHB, TH), pp. 177–196.
LCTESLCTES-2013-FinlaysonDGUWST #performance #pipes and filters
Improving processor efficiency by statically pipelining instructions (IF, BD, PG, GRU, DBW, MS, GST), pp. 33–44.
CASECASE-2012-KwonSY #pipes and filters #synthesis
A linkage type mechanical clutch synthesis for pipeline inspection robot (YSK, JTS, BJY), pp. 618–623.
DACDAC-2012-HaoRX #behaviour #equivalence #pipes and filters
Equivalence checking for behaviorally synthesized pipelines (KH, SR, FX), pp. 344–349.
DATEDATE-2012-HansenS #multi #pipes and filters #resource management
Multi-token resource sharing for pipelined asynchronous systems (JH, MS), pp. 1191–1196.
CSCWCSCW-2012-XuB12a #pipes and filters
A reference-based scoring model for increasing the findability of promising ideas in innovation pipelines (AX, BPB), pp. 1183–1186.
ECIRECIR-2012-JonassenB #concurrent #distributed #pipes and filters #retrieval
Intra-query Concurrent Pipelined Processing for Distributed Full-Text Retrieval (SJ, SEB), pp. 413–425.
LOPSTRLOPSTR-2012-ChristiansenHLP #data analysis #declarative #pipes and filters
A Declarative Pipeline Language for Complex Data Analysis (HC, CTH, OTL, MP), pp. 17–34.
HPCAHPCA-2012-SartoriAK #pipes and filters
Power balanced pipelines (JS, BA, RK), pp. 261–272.
OSDIOSDI-2012-GuoFCZZMLLZZ #optimisation #pipes and filters
Spotting Code Optimizations in Data-Parallel Pipelines through PeriSCOPE (ZG, XF, RC, JZ, HZ, SM, CL, WL, JZ, LZ), pp. 121–133.
ISSTAISSTA-2012-ZhangED #composition #generative #pipes and filters #testing
Compositional load test generation for software pipelines (PZ, SGE, MBD), pp. 89–99.
DACDAC-2011-JavaidSPH #adaptation #case study #multi #pipes and filters #power management #video
Low-power adaptive pipelined MPSoCs for multimedia: an H.264 video encoder case study (HJ, MS, SP, JH), pp. 1032–1037.
DACDAC-2011-SeokJCBS #design #energy #performance #pipes and filters
Pipeline strategy for improving optimal energy efficiency in ultra-low voltage design (MS, DJ, CC, DB, DS), pp. 990–995.
DATEDATE-2011-ChiuSH #constraints #pipes and filters #precedence #realtime #streaming #synthesis
Pipeline schedule synthesis for real-time streaming tasks with inter/intra-instance precedence constraints (YSC, CSS, SHH), pp. 1321–1326.
DATEDATE-2011-GolaniB #multi #pipes and filters
An area-efficient multi-level single-track pipeline template (PG, PAB), pp. 1509–1512.
DATEDATE-2011-KondratyevLMW #pipes and filters #synthesis
Realistic performance-constrained pipelining in high-level synthesis (AK, LL, MM, YW), pp. 1382–1387.
DATEDATE-2011-SafarESS #configuration management #pipes and filters #satisfiability
A reconfigurable, pipelined, conflict directed jumping search SAT solver (MS, MWEK, MS, AS), pp. 1243–1248.
DATEDATE-2011-ZattSBH #architecture #estimation #hardware #parallel #pipes and filters #throughput #video
Multi-level pipelined parallel hardware architecture for high throughput motion and disparity estimation in Multiview Video Coding (BZ, MS, SB, JH), pp. 1448–1453.
CIKMCIKM-2011-SarmaJB #debugging #information management #pipes and filters
Building a generic debugger for information extraction pipelines (ADS, AJ, PB), pp. 2229–2232.
CIKMCIKM-2011-WachsmuthSE #information management #performance #pipes and filters
Constructing efficient information extraction pipelines (HW, BS, GE), pp. 2237–2240.
HPDCHPDC-2011-AbbasiEWSK #performance #pipes and filters
Just in time: adding value to the IO pipelines of high performance applications with JITStaging (HA, GE, MW, KS, SK), pp. 27–36.
DACDAC-2010-NurvitadhiHLK #automation #parallel #pipes and filters #specification #synthesis #thread #transaction
Automatic multithreaded pipeline synthesis from transactional datapath specifications (EN, JCH, SLL, TK), pp. 314–319.
DATEDATE-2010-CanedoYK #parallel #pipes and filters #simulation
Skewed pipelining for parallel simulink simulations (AC, TY, HK), pp. 891–896.
DATEDATE-2010-DadgourB #architecture #design #detection #novel #pipes and filters #using
Aging-resilient design of pipelined architectures using novel detection and correction circuits (HFD, KB), pp. 244–249.
DATEDATE-2010-IqbalSH #estimation #execution #named #order #pipes and filters #recursion
RMOT: Recursion in model order for task execution time estimation in a software pipeline (NI, MAS, JH), pp. 953–956.
DATEDATE-2010-JavaidJHP #agile #estimation #pipes and filters #runtime
Rapid runtime estimation methods for pipelined MPSoCs (HJ, AJ, MSH, SP), pp. 363–368.
DATEDATE-2010-Mirza-AghatabarBG #algorithm #pipes and filters
Algorithms to maximize yield and enhance yield/area of pipeline circuitry by insertion of switches and redundant modules (MMA, MAB, SKG), pp. 1249–1254.
DATEDATE-2010-NurvitadhiHKL #automation #pipes and filters #specification #transaction
Automatic pipelining from transactional datapath specifications (EN, JCH, TK, SLL), pp. 1001–1004.
DATEDATE-2010-OmsCBK #architecture #automation #pipes and filters
Automatic microarchitectural pipelining (MGO, JC, DB, MK), pp. 961–964.
PLDIPLDI-2010-ChambersRPAHBW #named #performance #pipes and filters
FlumeJava: easy, efficient data-parallel pipelines (CC, AR, FP, SA, RRH, RB, NW), pp. 363–375.
SASSAS-2010-BellAW #concurrent #logic #parallel #pipes and filters
Concurrent Separation Logic for Pipelined Parallelization (CJB, AWA, DW), pp. 151–166.
SASSAS-2010-Goldberg #framework #in memory #memory management #optimisation #pipes and filters #validation
Translation Validation of Loop Optimizations and Software Pipelining in the TVOC Framework — In Memory of Amir Pnueli (BG), pp. 6–21.
CHICHI-2010-BaileyH #case study #idea #pipes and filters #scalability #what
What’s your idea?: a case study of a grassroots innovation pipeline within a large software company (BPB, EH), pp. 2065–2074.
ICPRICPR-2010-TsaiHTC #detection #pipes and filters #predict #scalability #using
Learning-Based Vehicle Detection Using Up-Scaling Schemes and Predictive Frame Pipeline Structures (YMT, KYH, CCT, LGC), pp. 3101–3104.
KDDKDD-2010-ChanGGHL #modelling #online #pipes and filters #scalability
Evaluating online ad campaigns in a pipeline: causal models at scale (DC, RG, OG, TH, DL), pp. 7–16.
POPLPOPL-2010-TristanL #pipes and filters #validation
A simple, verified validator for software pipelining (JBT, XL), pp. 83–92.
CGOCGO-2010-HuangRJZHA #parallel #pipes and filters
Decoupled software pipelining creates parallelization opportunities (JH, AR, TBJ, YZ, THH, DIA), pp. 121–130.
CGOCGO-2010-WeiYYG #communication #pipes and filters #source code
Minimizing communication in rate-optimal software pipelining for stream programs (HW, JY, HY, GRG), pp. 210–217.
DACDAC-2009-DangRMM #generative #interactive #pipes and filters #source code
Generating test programs to cover pipeline interactions (TND, AR, TM, PM), pp. 142–147.
DACDAC-2009-GeMW #configuration management #memory management #pipes and filters
A DVS-based pipelined reconfigurable instruction memory (ZG, TM, WFW), pp. 897–902.
DACDAC-2009-JavaidP #design #multi #pipes and filters
A design flow for application specific heterogeneous pipelined multiprocessor systems (HJ, SP), pp. 250–253.
DATEDATE-2009-AhmedERCST #performance #pipes and filters #programmable #reduction
Exploration of power reduction and performance enhancement in LEON3 processor with ESL reprogrammable eFPGA in processor pipeline and as a co-processor (SZA, JE, LR, JBC, GS, LT), pp. 184–189.
DATEDATE-2009-Diaz-MadridNHDR #pipes and filters #reduction
Power reduction of a 12-bit 40-MS/s pipeline ADC exploiting partial amplifier sharing (JÁDM, HN, HH, GDA, RRM), pp. 369–373.
DATEDATE-2009-YangH #parallel #pipes and filters #scheduling
Pipelined data parallel task mapping/scheduling technique for MPSoC (HY, SH), pp. 69–74.
ICDARICDAR-2009-ChaudhuryJTSSM #analysis #image #pipes and filters
Google Newspaper Search — Image Processing and Analysis Pipeline (KC, AJ, ST, VS, SS, SM), pp. 621–625.
LOPSTRLOPSTR-2009-ScandoloKH #parallel #pipes and filters #using
Program Parallelization Using Synchronized Pipelining (LS, CK, MVH), pp. 173–187.
CGOCGO-2009-UdupaGT #execution #pipes and filters #source code
Software Pipelined Execution of Stream Programs on GPUs (AU, RG, MJT), pp. 200–209.
DACDAC-2008-EguroH #pipes and filters
Enhancing timing-driven FPGA placement for pipelined netlists (KE, SH), pp. 34–37.
DACDAC-2008-LongM #automation #design #pipes and filters #self
Automated design of self-adjusting pipelines (JL, SOM), pp. 211–216.
DATEDATE-2008-HashemiG #algorithm #approximate #pipes and filters #synthesis
Exact and Approximate Task Assignment Algorithms for Pipelined Software Synthesis (MH, SG), pp. 746–751.
DATEDATE-2008-LiNBPC #architecture #multi
Generic Multi-Phase Software-Pipelined Partial-FFT on Instruction-Level-Parallel Architectures and SDR Baseband Applications (ML, DN, BB, LVdP, FC), pp. 598–603.
DATEDATE-2008-MucciVMGDGKSCC #adaptation #array #configuration management #implementation #parallel #pipes and filters
Implementation of Parallel LFSR-based Applications on an Adaptive DSP featuring a Pipelined Configurable Gate Array (CM, LV, IM, DG, AD, SG, JK, AS, LC, FC), pp. 1444–1449.
DATEDATE-2008-MuirAL #automation #pipes and filters #streaming
Automated Dynamic Throughput-constrained Structural-level Pipelining in Streaming Applications (MM, TA, IL), pp. 1358–1361.
DATEDATE-2008-SammanHG #architecture #parallel #pipes and filters
Multicast Parallel Pipeline Router Architecture for Network-on-Chip (FAS, TH, MG), pp. 1396–1401.
DATEDATE-2008-StefanoBBM #design #multi #pipes and filters #process
Process Variation Tolerant Pipeline Design Through a Placement-Aware Multiple Voltage Island Design Style (BS, DB, LB, EM), pp. 967–972.
ICFPICFP-2008-DukeBRW #case study #experience #functional #pipes and filters #visualisation
Experience report: visualizing data through functional pipelines (DJD, RB, CR, MW), pp. 379–382.
CIKMCIKM-2008-Aguilar-SaboritJSM #memory management #performance #pipes and filters
Exploiting pipeline interruptions for efficient memory allocation (JAS, MJ, DS, VMM), pp. 639–648.
SACSAC-2008-SykoraAS #embedded #pipes and filters
Dynamic configuration of application-specific implicit instructions for embedded pipelined processors (MS, GA, CS), pp. 1509–1516.
CGOCGO-2008-RamanORBA #pipes and filters
Parallel-stage decoupled software pipelining (ER, GO, AR, MJB, DIA), pp. 114–123.
CGOCGO-2008-WinkelKS #compilation #pipes and filters
Latency-tolerant software pipelining in a production compiler (SW, RK, RS), pp. 104–113.
CGOCGO-2008-ZhaoCW #analysis #manycore #named #pipes and filters #profiling
Pipa: pipelined profiling and analysis on multi-core systems (QZ, IC, WFW), pp. 185–194.
PPoPPPPoPP-2008-GiacomoniMV #concurrent #parallel #performance #pipes and filters #queue
FastForward for efficient pipeline parallelism: a cache-optimized concurrent lock-free queue (JG, TM, MV), pp. 43–52.
ECSAECSA-2007-Martinez-PrietoCF #architecture #xml
Aqueducts : A Layered Pipeline-Based Architecture for XML Processing (MAMP, CEC, PdlF), pp. 313–316.
WICSAWICSA-2007-HinzPUM #adaptation #architecture #web
Adaptation and Distribution of Pipeline-Based Context-Aware Web Architectures (MH, SP, MU, KM), p. 15.
CASECASE-2007-OkTRKKZB #monitoring #network #pipes and filters #self
Optimal Transmission Power in Self-sustainable Sensor Networks for Pipeline Monitoring (CO, HPT, UNR, SRTK, SGK, XZ, STSB), pp. 591–596.
DACDAC-2007-ChelceaVG #self
Self-Resetting Latches for Asynchronous Micro-Pipelines (TC, GV, SCG), pp. 986–989.
DACDAC-2007-SheeP #design #multi #pipes and filters
Design Methodology for Pipelined Heterogeneous Multiprocessor System (SLS, SP), pp. 811–816.
DATEDATE-2007-AminzadehDL #design #pipes and filters
Design of high-resolution MOSFET-only pipelined ADCs with digital calibration (HA, MD, RL), pp. 427–432.
DATEDATE-2007-KhanA #architecture #configuration management #implementation #pipes and filters #programmable #realtime
Pipelined implementation of a real time programmable encoder for low density parity check code on a reconfigurable instruction cell architecture (ZK, TA), pp. 349–354.
DATEDATE-2007-KhanATE #algorithm #implementation #pipes and filters #sorting
A new pipelined implementation for minimum norm sorting used in square root algorithm for MIMO-VBLAST systems (ZK, TA, JST, ATE), pp. 1569–1574.
DATEDATE-2007-XuRC #analysis #interactive #pipes and filters #power management
Interactive presentation: Analysis of power consumption and BER of flip-flop based interconnect pipelining (JX, AR, MHC), pp. 1218–1223.
DATEDATE-2007-ZhuSD #functional #interactive #pipes and filters #validation
Interactive presentation: Functional and timing validation of partially bypassed processor pipelines (QZ, AS, ND), pp. 1164–1169.
CCCC-2007-NagarakatteG #integer #linear #pipes and filters #programming #scheduling #using
Register Allocation and Optimal Spill Code Scheduling in Software Pipelined Loops Using 0-1 Integer Linear Programming Formulation (SGN, RG), pp. 126–140.
CGOCGO-2007-DaiLH #execution #network #pipes and filters #using
Pipelined Execution of Critical Sections Using Software-Controlled Caching in Network Processors (JD, LL, BH), pp. 312–324.
LCTESLCTES-2007-HuangHG #embedded #energy #execution #optimisation #pipes and filters #streaming #throughput
Joint throughput and energy optimization for pipelined execution of embedded streaming applications (PKH, MH, SG), pp. 137–139.
DACDAC-2006-PsarakisGHPRR #pipes and filters #self
Systematic software-based self-test for pipelined processors (MP, DG, MH, AMP, AR, SR), pp. 393–398.
DACDAC-2006-SovianiHE #pipes and filters #synthesis
Synthesis of high-performance packet processing pipelines (CS, IH, SAE), pp. 679–682.
DACDAC-2006-TanimotoYNH #realtime #using
A real time budgeting method for module-level-pipelined bus based system using bus scenarios (TT, SY, AN, TH), pp. 37–42.
DATEDATE-2006-AlimondaACP #approach #energy #optimisation #pipes and filters #runtime
A control theoretic approach to run-time energy optimization of pipelined processing in MPSoCs (AA, AA, SC, AP), pp. 876–877.
DATEDATE-2006-KaneMS #pipes and filters #verification
Monolithic verification of deep pipelines with collapsed flushing (RK, PM, SKS), pp. 1234–1239.
DATEDATE-2006-KooM #functional #generative #pipes and filters #testing #using #validation
Functional test generation using property decompositions for validation of pipelined processors (HMK, PM), pp. 1240–1245.
DATEDATE-2006-KranitisMLTPGH #embedded #fault #pipes and filters #testing
Optimal periodic testing of intermittent faults in embedded pipelined processor applications (NK, AM, NL, GT, AMP, DG, CH), pp. 65–70.
DATEDATE-2006-MajidzadehS #design #higher-order #novel
Arbitrary design of high order noise transfer function for a novel class of reduced-sample-rate sigma-delta-pipeline ADCs (VM, OS), pp. 138–143.
DATEDATE-2006-RadhakrishnanGP #multi
Customization of application specific heterogeneous multi-pipeline processors (SR, HG, SP), pp. 746–751.
DATEDATE-2006-ViswanathAJ #automation #pipes and filters #power management
Automatic insertion of low power annotations in RTL for pipelined microprocessors (VV, JAA, WAHJ), pp. 496–501.
DocEngDocEng-2006-Tennison #documentation #pipes and filters #xml
Processing XML documents with pipelines (JT), p. 91.
PODSPODS-2006-CondonDHW #algorithm #pipes and filters #problem
Flow algorithms for two pipelined filter ordering problems (AC, AD, LH, NW), pp. 193–202.
SEFMSEFM-2006-Kapoor #formal method #modelling #pipes and filters #verification
Formal Modelling and Verification of an Asynchronous DLX Pipeline (HKK), pp. 118–127.
ASPLOSASPLOS-2006-GordonTA #parallel #pipes and filters #source code
Exploiting coarse-grained task, data, and pipeline parallelism in stream programs (MIG, WT, SPA), pp. 151–162.
ASPLOSASPLOS-2006-ShyamCPBA #fault #low cost #pipes and filters
Ultra low-cost defect protection for microprocessor pipelines (SS, KC, SP, VB, TMA), pp. 73–82.
DACDAC-2005-KimK05a #evaluation #modelling #performance #pipes and filters #reuse #simulation
Performance simulation modeling for fast evaluation of pipelined scalar processor by evaluation reuse (HYK, TGK), pp. 341–344.
DACDAC-2005-McGeeN #classification #design #framework #pipes and filters
A lattice-based framework for the classification and design of asynchronous pipelines (PBM, SMN), pp. 491–496.
DATEDATE-2005-BarrandonCH #design #pipes and filters
Systematic Figure of Merit Computation for the Design of Pipeline ADC (LB, SC, DH), pp. 277–278.
DATEDATE-2005-CasuM #design #pipes and filters
A New System Design Methodology for Wire Pipelined SoC (MRC, LM), pp. 944–945.
DATEDATE-2005-ChienCLMRM #optimisation #pipes and filters
Designer-Driven Topology Optimization for Pipelined Analog to Digital Converters (YTC, DC, JHL, GKM, RAR, TM), pp. 279–280.
DATEDATE-2005-DattaBMBR #design #modelling #pipes and filters #process #statistics
Statistical Modeling of Pipeline Delay and Design of Pipeline under Process Variation to Enhance Yield in sub-100nm Technologies (AD, SB, SM, NB, KR), pp. 926–931.
DATEDATE-2005-KimKPJC #architecture #configuration management #optimisation #pipes and filters #resource management
Resource Sharing and Pipelining in Coarse-Grained Reconfigurable Architecture for Domain-Specific Optimization (YK, MK, CP, JJ, KC), pp. 12–17.
DATEDATE-2005-MishraD #functional #generative #pipes and filters #testing #validation
Functional Coverage Driven Test Generation for Validation of Pipelined Processors (PM, NDD), pp. 678–683.
DATEDATE-2005-ReshadiD #generative #modelling #performance #pipes and filters
Generic Pipelined Processor Modeling and High Performance Cycle-Accurate Simulator Generation (MR, NDD), pp. 786–791.
DATEDATE-2005-SilvaB #architecture #design #pipes and filters #throughput #trade-off
Area and Throughput Trade-Offs in the Design of Pipelined Discrete Wavelet Transform Architectures (SVS, SB), pp. 32–37.
SIGMODSIGMOD-2005-HarizopoulosSA #named #pipes and filters #query #relational
QPipe: A Simultaneously Pipelined Relational Query Engine (SH, VS, AA), pp. 383–394.
VLDBVLDB-2005-LiuR #parallel #pipes and filters #query
Revisiting Pipelined Parallelism in Multi-Join Query Processing (BL, EAR), pp. 829–840.
PLDIPLDI-2005-DaiHLH #architecture #automation #clustering #pipes and filters
Automatically partitioning packet processing applications for pipelined architectures (JD, BH, LL, LH), pp. 237–248.
PLDIPLDI-2005-RongDG #multi #pipes and filters
Register allocation for software pipelined multi-dimensional loops (HR, AD, GRG), pp. 154–167.
LCTESLCTES-2005-SoD #concurrent #integration #pipes and filters #thread
Complementing software pipelining with software thread integration (WS, AGD), pp. 137–146.
DACDAC-2004-CongFZ #architecture #automation #pipes and filters #synthesis
Architecture-level synthesis for automatic interconnect pipelining (JC, YF, ZZ), pp. 602–607.
DACDAC-2004-LongSLH #optimisation #pipes and filters
Floorplanning optimization with trajectory piecewise-linear model for pipelined interconnects (CL, LJS, WL, LH), pp. 640–645.
DACDAC-2004-NookalaS
A method for correcting the functionality of a wire-pipelined circuit (VN, SSS), pp. 570–575.
DACDAC-2004-ZhangHC #analysis #pipes and filters #statistics
Statistical timing analysis in sequential circuit for on-chip global interconnect pipelining (LZ, YH, CCPC), pp. 904–907.
DATEDATE-DF-2004-PanatoSWJRB #design #multi #pipes and filters
Design of Very Deep Pipelined Multipliers for FPGAs (AP, SVS, FRW, MOJ, RR, SB), pp. 52–57.
DATEDATE-v1-2004-GinesPR #fault #pipes and filters
Digital Background Gain Error Correction in Pipeline ADCs (AJG, EJP, AR), pp. 82–87.
DATEDATE-v1-2004-MishraD #functional #generative #graph #pipes and filters
Graph-Based Functional Test Program Generation for Pipelined Processors (PM, ND), pp. 182–187.
DATEDATE-v1-2004-Taherzadeh-SaniLS #design #optimisation #pipes and filters
Systematic Design for Optimization of High-Resolution Pipelined ADCs (MTS, RL, OS), pp. 678–679.
DATEDATE-v2-2004-GuptaJ #algorithm #architecture
An Algorithm for Nano-Pipelining of Circuits and Architectures for a Nanotechnology (PG, NKJ), pp. 974–979.
DATEDATE-2005-AndersenBTBBHM04 #pipes and filters
A 97mW 110MS/s 12b Pipeline ADC Implemented in 0.18mum Digital CMOS (TNA, AB, FT, JB, TEB, BH, ØM), pp. 219–222.
SIGMODSIGMOD-2004-BabuMMNW #adaptation #pipes and filters
Adaptive Ordering of Pipelined Stream Filters (SB, RM, KM, IN, JW), pp. 407–418.
SCAMSCAM-2004-SuWHM #pipes and filters
Software De-Pipelining Technique (BS, JW, EWH, JM), pp. 7–16.
ICPRICPR-v1-2004-FerrettiB #implementation #parallel #pipes and filters
A Parallel Pipelined Implementation of LOCO-I for JPEG-LS (MF, MB), pp. 769–772.
SEKESEKE-2004-Chan #automation #information management #pipes and filters
Automated Support for Knowledge Engineering for A Natural Gas Pipeline Domain (CWC), pp. 86–91.
ASPLOSASPLOS-2004-SrinivasanRAGU #pipes and filters
Continual flow pipelines (STS, RR, HA, AG, MU), pp. 107–119.
CGOCGO-2004-RongDGG #code generation #multi #pipes and filters
Code Generation for Single-Dimension Software Pipelining of Multi-Dimensional Loops (HR, AD, RG, GRG), pp. 175–188.
CGOCGO-2004-RongTGDG #multi #pipes and filters
Single-Dimension Software Pipelining for Multi-Dimensional Loops (HR, ZT, RG, AD, GRG), pp. 163–174.
CAVCAV-2004-RayH #deduction #first-order #pipes and filters #quantifier #using #verification
Deductive Verification of Pipelined Machines Using First-Order Quantification (SR, WAHJ), pp. 31–43.
DACDAC-2003-ZieglerHD #communication #pipes and filters
Compiler-generated communication for pipelined FPGA applications (HEZ, MWH, PCD), pp. 610–615.
DATEDATE-2003-AgarwalRV #architecture #pipes and filters
Exploring High Bandwidth Pipelined Cache Architecture for Scaled Technology (AA, KR, TNV), pp. 10778–10783.
DATEDATE-2003-NummerS #pipes and filters #testing
DFT for Testing igh-Performance Pipelined Circuits with Slow-Speed Testers (MN, MS), pp. 10212–10217.
DATEDATE-2003-RebaudengoRV #analysis #fault #pipes and filters
An Accurate Analysis of the Effects of Soft Errors in the Instruction and Data Caches of a Pipelined Microprocessor (MR, MSR, MV), pp. 10602–10607.
DATEDATE-2003-RettbergZBL #architecture #embedded #pipes and filters #self
A Fully Self-Timed Bit-Serial Pipeline Architecture for Embedded Systems (AR, MCZ, CB, TL), pp. 11130–11131.
SACSAC-2003-CornoCRS #automation #generative #pipes and filters
Automatic Test Program Generation for Pipeline Processors (FC, GC, MSR, GS), pp. 736–740.
CCCC-2003-TouatiE #pipes and filters
Early Control of Register Pressure for Software Pipelined Loops (SAAT, CE), pp. 17–32.
HPDCHPDC-2003-ThainBAAL #grid #pipes and filters
Pipeline and Batch Sharing in Grid Workloads (DT, JB, ACAD, RHAD, ML), pp. 152–161.
DACDAC-2002-EderB #logic #performance #pipes and filters #verification
Achieving maximum performance: a method for the verification of interlocked pipeline control logic (KE, GB), pp. 135–140.
DATEDATE-2002-FerrettiB #encoding #pipes and filters #using
Single-Track Asynchronous Pipeline Templates Using 1-of-N Encoding (MF, PAB), pp. 1008–1015.
DATEDATE-2002-MishraDNT #automation #execution #functional #multi #pipes and filters #verification
Automatic Verification of In-Order Execution In Microprocessors with Fragmented Pipelines and Multicycle Functional Units (PM, NDD, AN, HT), pp. 36–43.
DATEDATE-2002-OzdagBSN #performance #pipes and filters
High-Speed Non-Linear Asynchronous Pipelines (ROO, PAB, MS, SMN), pp. 1000–1007.
DATEDATE-2002-TugsinavisutB #pipes and filters
Control Circuit Templates for Asynchronous Bundled-Data Pipelines (ST, PAB), p. 1098.
SASSAS-2002-LangenbachTH #analysis #modelling #pipes and filters
Pipeline Modeling for Timing Analysis (ML, ST, RH), pp. 294–309.
GPCEGPCE-2002-NogaK #content management #optimisation #pipes and filters
Optimizing Content Management System Pipelines (MLN, FK), pp. 252–267.
ASPLOSASPLOS-2002-MukherjeeSBELW #algorithm #case study #comparative #pipes and filters
A comparative study of arbitration algorithms for the Alpha 21364 pipelined router (SSM, FS, PJB, JSE, SL, DW), pp. 223–234.
HPCAHPCA-2002-BrownP #pipes and filters #using
Using Internal Redundant Representations and Limited Bypass to Support Pipelined Adders and Register Files (MDB, YNP), pp. 289–298.
LCTESLCTES-SCOPES-2002-MilnerD #performance #pipes and filters
Quick piping: a fast, high-level model for describing processor pipelines (CWM, JWD), pp. 175–184.
CAVCAV-2002-Jacobi #model checking #pipes and filters #verification
Formal Verification of Complex Out-of-Order Pipelines by Combining Model-Checking and Theorem-Proving (CJ0), pp. 309–323.
DACDAC-2001-GorenSW #analysis #novel #pipes and filters #probability
A Novel Method for Stochastic Nonlinearity Analysis of a CMOS Pipeline ADC (DG, ES, IAW), pp. 127–132.
DACDAC-2001-KohnoM #behaviour #pipes and filters #verification
A New Verification Methodology for Complex Pipeline Behavior (KK, NM), pp. 816–821.
DACDAC-2001-KroeningP #automation #design #pipes and filters
Automated Pipeline Design (DK, WJP), pp. 810–815.
PODSPODS-2001-DalviSRS #multi #optimisation #pipes and filters
Pipelining in Multi-Query Optimization (NND, SKS, PR, SS).
VLDBVLDB-2001-UrhanF #interactive #performance #pipes and filters #query #scheduling
Dynamic Pipeline Scheduling for Improving Interactive Query Performance (TU, MJF), pp. 501–510.
SACSAC-2001-AltemoseN #pipes and filters
Register pressure responsive software pipelining (GA, CN), pp. 626–631.
CCCC-2001-MuthukumarD #pipes and filters
Software Pipelining of Nested Loops (KM, GD), pp. 165–181.
CCCC-2001-YunKM #control flow #pipes and filters #towards
A First Step Towards Time Optimal Software Pipelining of Loops with Control Flows (HSY, JK, SMM), pp. 182–199.
HPCAHPCA-2001-PehD #architecture #pipes and filters
A Delay Model and Speculative Architecture for Pipelined Routers (LSP, WJD), pp. 255–266.
HPDCHPDC-2001-RoyoCKF #architecture #network #pipes and filters #resource management
Active Yellow Pages: A Pipelined Resource Management Architecture for Wide-Area Network Computing (DR, LDdC, NHK, JABF), pp. 147–157.
LCTESLCTES-OM-2001-GranstonSZ #architecture #pipes and filters
Software Pipelining Irregular Loops on the TMS320C6000 VLIW DSP Architecture (EDG, ES, JZ), pp. 138–144.
DATEDATE-2000-PeraliasARH #design #pipes and filters #verification
A Vhdl-Based Methodology for Design and Verification of Pipeline A/D Converters (EJP, AJA, AR, JLH), pp. 534–538.
PLDIPLDI-2000-ZalameaLAV #code generation #pipes and filters
Improved spill code generation for software pipelined loops (JZ, JL, EA, MV), pp. 134–144.
ICPRICPR-v1-2000-Wandell #pipes and filters
Color Appearance and the Digital Imaging Pipeline (BAW), pp. 1183–1190.
CCCC-2000-Gregg #pipes and filters
Global Software Pipelining with Iteration Preselection (DG), pp. 189–201.
CCCC-2000-HoogerbruggeA #java #pipes and filters #virtual machine
Pipelined Java Virtual Machine Interpreters (JH, LA), pp. 35–49.
DACDAC-1999-CampenhoutMH #design #generative #pipes and filters #testing #verification
High-Level Test Generation for Design Verification of Pipelined Microprocessors (DVC, TNM, JPH), pp. 185–188.
DACDAC-1999-VelevB #pipes and filters #similarity #verification
Exploiting Positive Equality and Partial Non-Consistency in the Formal Verification of Pipelined Microprocessors (MNV, REB), pp. 397–401.
SACSAC-1999-CraneWS #algorithm #multi #pipes and filters #scheduling #search-based #using
Scheduling of Multi-Product Fungible Liquid Pipelines Using Genetic Algorithms (DSC, RLW, DAS), pp. 280–285.
CCCC-1999-ZhangGRG #performance #pipes and filters
Efficient State-Diagram Construction Methods for Software Pipelining (CZ, RG, SR, GRG), pp. 153–167.
LCTESLCTES-1999-SchneiderF #abstract interpretation #behaviour #pipes and filters #predict
Pipeline Behavior Prediction for Superscalar Processors by Abstract Interpretation (JS, CF), pp. 35–44.
CAVCAV-1999-Bjesse #automation #combinator #pipes and filters #verification
Automatic Verification of Combinatorial and Pipelined FFT (PB), pp. 380–393.
CAVCAV-1999-RameshB #case study #design #pipes and filters #tool support #using #validation
Validation of Pipelined Processor Designs Using Esterel Tools: A Case Study (SR, PB), pp. 84–95.
DACDAC-1998-McGrawAK #design #pipes and filters #top-down
A Top-Down Design Environment for Developing Pipelined Datapaths (RMM, JHA, RHK), pp. 236–241.
DATEDATE-1998-MesmanSTMJ #approach #constraints #pipes and filters
A Constraint Driven Approach to Loop Pipelining and Register Binding (BM, MTJS, AHT, JLvM, JAGJ), pp. 377–383.
ITiCSEITiCSE-1998-KarpouzisK #approach #pipes and filters
The rendering pipeline in the classroom: a diversified approach (KK, SDK), pp. 139–142.
TACASTACAS-1998-Bryant #pipes and filters #verification
Formal Verification of Pipelined Processors (REB), pp. 1–4.
SACSAC-1998-Kimm #2d #configuration management #pipes and filters #problem
Two dimensional maximal elements problem on a reconfigurable optical pipelined bus system (HK), pp. 623–627.
LCTESLCTES-1998-ChildersD #design #pipes and filters #synthesis
A Design Environment for Counterflow Pipeline Synthesis (BRC, JWD), pp. 113–234.
CAVCAV-1998-HosabettuSG #correctness #pipes and filters #proving
Decomposing the Proof of Correctness of pipelined Microprocessors (RH, MKS, GG), pp. 122–134.
DACDAC-1997-BakshiG #clustering #hardware #pipes and filters
Hardware/Software Partitioning and Pipelining (SB, DG), pp. 713–716.
DACDAC-1997-BeniniMP #adaptation #design #latency #pipes and filters #throughput
Telescopic Units: Increasing the Average Throughput of Pipelined Designs by Adaptive Latency Control (LB, EM, MP), pp. 22–27.
DACDAC-1997-CongW #pipes and filters #synthesis
FPGA Synthesis with Retiming and Pipelining for Clock Period Minimization of Sequential Circuits (JC, CW), pp. 644–649.
SACSAC-1997-Sibai97a #communication #multi #on the #pipes and filters
On the impact of pipelined communication in hierarchical ring multicomputers (FNS), pp. 384–388.
HPCAHPCA-1997-JanikLM #architecture #pipes and filters
Advances of the Counterflow Pipeline Microarchitecture (KJJ, SLL, MFM), pp. 230–236.
CAVCAV-1997-SawadaH #approach #pipes and filters #verification
Trace Table Based Approach for Pipeline Microprocessor Verification (JS, WAHJ), pp. 364–375.
DACDAC-1996-BinhISH #algorithm #clustering #design #hardware #pipes and filters
A Hardware/Software Partitioning Algorithm for Designing Pipelined ASIPs with Least Gate Counts (NNB, MI, AS, NH), pp. 527–532.
DACDAC-1996-HassounE #architecture #pipes and filters
Architectural Retiming: Pipelining Latency-Constrained Circuts (SH, CE), pp. 708–713.
DACDAC-1996-LevittO #pipes and filters #scalability #verification
A Scalable Formal Verification Methodology for Pipelined Microprocessors (JRL, KO), pp. 558–563.
DACDAC-1996-LiouLC #performance #pipes and filters #pseudo #testing
Area Efficient Pipelined Pseudo-Exhaustive Testing with Retiming (HYL, TTYL, CKC), pp. 274–279.
PLDIPLDI-1996-RuttenbergGLS #compilation #heuristic #pipes and filters
Software Pipelining Showdown: Optimal vs. Heuristic Methods in a Production Compiler (JCR, GRG, WL, AS), pp. 1–11.
CCCC-1996-PfahlerP #comparison #pipes and filters #scheduling
A Comparison of Modulo Scheduling Techniques for Software Pipelining (PP, GP), pp. 18–32.
CCCC-1996-WangG #named #pipes and filters
Pipelining-Dovetailing: A Transformation to Enhance Software Pipelining for Nested Loops (JW, GRG), pp. 1–17.
HPCAHPCA-1996-GovindarajanAG #hardware #pipes and filters
Co-Scheduling Hardware and Software Pipelines (RG, ERA, GRG), pp. 52–61.
PLDIPLDI-1995-AltmanGG #pipes and filters #scheduling
Scheduling and Mapping: Software Pipelining in the Presence of Structural Hazards (ERA, RG, GRG), pp. 139–150.
ECOOPECOOP-1995-DriesenHV #pipes and filters
Message Dispatch on Pipelined Processors (KD, UH, JV), pp. 253–282.
HPCAHPCA-1995-Weiss #implementation #multi #queue
Implementing Register Interlocks in Parallel-Pipeline Multiple Instruction Queue, Superscalar Processors (SW), pp. 14–21.
DACDAC-1994-BhagwatiD #automation #pipes and filters #verification
Automatic Verification of Pipelined Microprocessors (VB, SD), pp. 603–608.
DACDAC-1994-Casavant #design #named #pipes and filters #programmable
MIST — A Design Aid for Programmable Pipelined Processors (AEC), pp. 532–536.
DACDAC-1994-HuangD #pipes and filters #set #synthesis
Synthesis of Instruction Sets for Pipelined Microprocessors (IJH, AMD), pp. 5–11.
DACDAC-1994-JunH #automation #pipes and filters #synthesis
Automatic Synthesis of Pipeline Structures with Variable Data Initiation Intervals (HSJ, SYH), pp. 537–541.
DACDAC-1994-PassosSB #multi #pipes and filters #scheduling
Loop Pipelining for Scheduling Multi-Dimensional Systems via Rotation (NLP, EHMS, SCB), pp. 485–490.
DACDAC-1994-ShyurCP #on the #pipes and filters #testing
On Testing Wave Pipelined Circuits (JCS, HPC, TMP), pp. 370–374.
DATEEDAC-1994-CoulombP #fourier #pipes and filters
PLFP256 A Pipelined Fourier Processor (PC, FP), pp. 245–249.
DATEEDAC-1994-DepuydtGGM #graph #optimisation #pipes and filters #scheduling
Optimal Scheduling and Software Pipelining of Repetitive Signal Flow Graphs with Delay Line Optimization (FD, WG, GG, HDM), pp. 490–494.
SIGMODSIGMOD-1994-HsiaoCY #execution #on the #parallel #pipes and filters
On Parallel Execution of Multiple Pipelined Hash Joins (HIH, MSC, PSY), pp. 185–196.
VLDBVLDB-1994-HasanM #algorithm #optimisation #parallel #pipes and filters #trade-off
Optimization Algorithms for Exploiting the Parallelism-Communication Tradeoff in Pipelined Parallelism (WH, RM), pp. 36–47.
SEKESEKE-1994-RisheS #automation #database #design #pipes and filters
A pipeline CASE tool for database design (NR, WS), pp. 336–343.
POPLPOPL-1994-ProebstingF #detection #pipes and filters
Detecting Pipeline Structural Hazards Quickly (TAP, CWF), pp. 280–286.
CAVCAV-1994-BurchD #automation #pipes and filters #verification
Automatic verification of Pipelined Microprocessor Control (JRB, DLD), pp. 68–80.
DACDAC-1993-ChaoLS #algorithm #pipes and filters #scheduling
Rotation Scheduling: A Loop Pipelining Algorithm (LFC, ASL, EHMS), pp. 566–572.
DACDAC-1993-CloutierT #pipes and filters #set #synthesis
Synthesis of Pipelined Instruction Set Processors (RJC, DET), pp. 583–588.
DACDAC-1993-ShenoyBS #multi #pipes and filters
Resynthesis of Multi-Phase Pipelines (NVS, RKB, ALSV), pp. 490–496.
SIGMODSIGMOD-1993-FushimiK #database #hardware #named #pipes and filters
GREO: A Commercial Database Processor Based on A Pipelined Hardware Sorter (SF, MK), pp. 449–452.
SIGMODSIGMOD-1993-LoCRY #on the #pipes and filters
On Optimal Processor Allocation to Support Pipelined Hash Joins (MLL, MSC, CVR, PSY), pp. 69–78.
POPLPOPL-1993-NingG #framework #novel #pipes and filters
A Novel Framework of Register Allocation for Software Pipelining (QN, GRG), pp. 29–42.
SACSAC-1993-PanH #array #composition #pipes and filters
Computation of Singular Value Decomposition on Arrays with Pipelined Optical Buses (YP, MH), pp. 525–532.
DACDAC-1992-HuangD #compilation #pipes and filters #set #synthesis
High Level Synthesis of Pipelined Instruction Set Processors and Back-End Compilers (IJH, AMD), pp. 135–140.
VLDBVLDB-1992-ChenLYY #execution #pipes and filters #using
Using Segmented Right-Deep Trees for the Execution of Pipelined Hash Joins (MSC, MLL, PSY, HCY), pp. 15–26.
PLDIPLDI-1992-RauLTS #pipes and filters
Register Allocation for Software Pipelined Loops (BRR, ML, PPT, MSS), pp. 283–299.
CCCC-1992-DuesterwaldGS #approach #pipes and filters
Register Pipelining: An Integrated Approach to Register Allocation for Scalar and Subscripted Variables (ED, RG, MLS), pp. 192–206.
CCCC-1992-ErtlK #pipes and filters #scheduling
Instruction Scheduling for Complex Pipelines (MAE, AK), pp. 207–218.
CCCC-1992-HoogerbruggeC #architecture #pipes and filters
Comparing Software Pipelining for an Operation-Triggered and a Tarnsport-Triggered Architecture (JH, HC), pp. 219–228.
DACDAC-1991-ChenM #pipes and filters #scheduling
Datapath Scheduling for Two-Level Pipelining (CYRC, MZM), pp. 603–606.
DACDAC-1991-HuHB #pipes and filters
Minimizing the Number of Delay Buffers in the Synchronization of Pipelined Systems (XH, RGH, SCB), pp. 758–763.
DACDAC-1991-HwangHL #functional #pipes and filters #scheduling
Scheduling for Functional Pipelining and Loop Winding (CTH, YCH, YLL), pp. 764–769.
PLDIPLDI-1991-Jain #pipes and filters #scheduling
Circular Scheduling: A New Technique to Perform Software Pipelining (SJ), pp. 219–228.
DACDAC-1990-McNallC #architecture #automation #pipes and filters #synthesis
Automatic Operator Configuration in the Synthesis of Pipelined Architectures (KNM, AEC), pp. 174–179.
ICLPCLP-1990-GiacobazziR90 #logic programming #optimisation #pipes and filters #source code
Pipeline Optimizations in AND-Parallel Logic Programs (RG, LR), pp. 291–305.
DACDAC-1988-JainPP #pipes and filters #synthesis
Module Selection for Pipelined Synthesis (RJ, ACP, NP), pp. 542–547.
DACDAC-1988-Razouk #modelling #petri net #pipes and filters
The Use of Petri Nets for Modeling Pipelined Processors (RRR), pp. 548–553.
ESOPESOP-1988-AikenN #parallel #pipes and filters
Perfect Pipelining: A New Loop Parallelization Technique (AA, AN), pp. 221–235.
PLDIPLDI-1988-Lam #effectiveness #pipes and filters #scheduling
Software Pipelining: An Effective Scheduling Technique for VLIW Machines (MSL), pp. 318–328.
PLDIBest-of-PLDI-1988-Lam88a #effectiveness #pipes and filters #scheduling
Software pipelining: an effective scheduling technique for VLIW machines (with retrospective) (MSL), pp. 244–256.
DACDAC-1987-JainPP #design #pipes and filters #predict #trade-off
Predicting Area-Time Tradeoffs for Pipelined Design (RJ, ACP, NP), pp. 35–41.
SIGMODSIGMOD-1987-RichardsonLM #algorithm #design #evaluation #parallel #pipes and filters
Design and Evaluation of Parallel Pipelined Join Algorithms (JPR, HL, KPM), pp. 399–409.
ASPLOSASPLOS-1987-Clark #performance #pipes and filters
Pipelining and Performance in the VAX 8800 Processor (DWC), pp. 173–177.
ASPLOSASPLOS-1987-WeissS #case study #compilation #pipes and filters
A Study of Scalar Compilation Techniques for Pipelined Supercomputers (SW, JES), pp. 105–109.
DACDAC-1986-ParkP #named #pipes and filters #synthesis
Sehwa: a program for synthesis of pipelines (NP, ACP), pp. 454–460.
PLDIBest-of-PLDI-1986-MuchnickG #architecture #performance #pipes and filters #scheduling
Efficient instruction scheduling for a pipelined architecture (with retrospective) (SSM, PBG), pp. 167–174.
ICLPSLP-1984-TickW84 #pipes and filters #prolog #towards
Towards a Pipelined Prolog Processor (ET, DHDW), pp. 29–40.
POPLPOPL-1982-HennessyG #code generation #constraints #pipes and filters
Code Generation and Reorganization in the Presence of Pipeline Constraints (JLH, TRG), pp. 120–127.
ASPLOSASPLOS-1982-Rymarczyk #guidelines #pipes and filters
Coding Guidelines for Pipelined Processors (JWR), pp. 12–19.

Bibliography of Software Language Engineering in Generated Hypertext (BibSLEIGH) is created and maintained by Dr. Vadim Zaytsev.
Hosted as a part of SLEBOK on GitHub.