BibSLEIGH
BibSLEIGH corpus
BibSLEIGH tags
BibSLEIGH bundles
BibSLEIGH people
CC-BY
Open Knowledge
XHTML 1.0 W3C Rec
CSS 2.1 W3C CanRec
email twitter
Used together with:
model (21)
base (18)
use (18)
system (17)
time (13)

Stem sub$ (all stems)

157 papers:

DACDAC-2015-BadrTG #hybrid #synthesis
Mask assignment and synthesis of DSA-MP hybrid lithography for sub-7nm contacts/vias (YB, AT, PG), p. 6.
DACDAC-2015-JiangWS #clustering #power management #sorting
A low power unsupervised spike sorting accelerator insensitive to clustering initialization in sub-optimal feature space (ZJ, QW, MS), p. 6.
DACDAC-2015-PanLYXL #multi #question
Pushing multiple patterning in sub-10nm: are we ready? (DZP, LL, BY, XX, YL), p. 6.
DATEDATE-2015-SharmaGR
Sub-10 nm FinFETs and Tunnel-FETs: from devices to systems (AS, AAG, KR), pp. 1443–1448.
DATEDATE-2015-SuHL #encoding #named #recognition #scalability
SubHunter: a high-performance and scalable sub-circuit recognition method with Prüfer-encoding (HYS, CHH, YLL), pp. 1583–1586.
DATEDATE-2015-ZhangZCY #scalability
Exploiting DRAM restore time variations in deep sub-micron scaling (XZ, YZ, BRC, JY), pp. 477–482.
DRRDRR-2015-SoheiliKS #clustering #image #recognition
Clustering of Farsi sub-word images for whole-book recognition (MRS, EK, DS).
PODSPODS-2015-IndykLR #approximate #testing
Erratum for: Approximating and Testing k-Histogram Distributions in Sub-linear Time (PI, RL, RR), p. 343.
SIGMODSIGMOD-2015-GuoZLTB #query
Location-Aware Pub/Sub System: When Continuous Moving Queries Meet Dynamic Event Streams (LG, DZ, GL, KLT, ZB), pp. 843–857.
STOCSTOC-2015-DvirG #communication
2-Server PIR with Sub-Polynomial Communication (ZD, SG), pp. 577–584.
ICALPICALP-v1-2015-CohenS
Zero-Fixing Extractors for Sub-Logarithmic Entropy (GC, IS), pp. 343–354.
LATALATA-2015-BachmeierLS #automaton #complexity #finite
Finite Automata for the Sub- and Superword Closure of CFLs: Descriptional and Computational Complexity (GB, ML, MS), pp. 473–485.
FMFM-2015-DeboisHS #composition #information management #liveness #process #refinement #runtime #safety
Safety, Liveness and Run-Time Refinement for Modular Process-Aware Information Systems with Dynamic Sub Processes (SD, TTH, TS), pp. 143–160.
ECIRECIR-2015-Dutta #approximate #mining #named #statistics #string #using
MIST: Top-k Approximate Sub-string Mining Using Triplet Statistical Significance (SD), pp. 284–290.
ICMLICML-2015-ZhouZS #analysis #bound #convergence #fault #first-order
ℓ₁,p-Norm Regularization: Error Bounds and Convergence Rate Analysis of First-Order Methods (ZZ, QZ, AMCS), pp. 1501–1510.
SIGIRSIGIR-2015-ZhaoH #documentation #web
Sub-document Timestamping of Web Documents (YZ, CH), pp. 1023–1026.
CSLCSL-2015-BrotherstonV #logic
Sub-classical Boolean Bunched Logics and the Meaning of Par (JB, JV), pp. 325–342.
DACDAC-2014-Bhushan #injection #predict #visual notation
A Rigorous Graphical Technique for Predicting Sub-harmonic Injection Locking in LC Oscillators (PB), p. 8.
DACDAC-2014-ChenWLWSC #design #monitoring #scalability
Critical Path Monitor Enabled Dynamic Voltage Scaling for Graceful Degradation in Sub-Threshold Designs (YGC, TW, KYL, WYW, YS, SCC), p. 6.
DATEDATE-2014-ZangenehJ #design #feedback #logic #using
Sub-threshold logic circuit design using feedback equalization (MZ, AJ), pp. 1–6.
SIGMODSIGMOD-2014-Xie #distance #named #similarity
EDS: a segment-based distance measure for sub-trajectory similarity search (MX), pp. 1609–1610.
CSCWCSCW-2014-TausczikDK #community #online
Building loyalty to online communities through bond and identity-based attachment to sub-groups (YRT, LAD, REK), pp. 146–157.
ECIRECIR-2014-PanemBGV #detection #realtime #topic #twitter #using
Entity Tracking in Real-Time Using Sub-topic Detection on Twitter (SP, RB, MG, VV), pp. 528–533.
ICPRICPR-2014-KamberovBKK #detection #video
Unsupervised Detection of Video Sub-scenes (GK, MB, LK, OK), pp. 1934–1939.
ICPRICPR-2014-LiCH #collaboration #invariant
Transformation-Invariant Collaborative Sub-representation (YL, CC, JH), pp. 3738–3743.
ICPRICPR-2014-LiuCVC #classification
Leaf Species Classification Based on a Botanical Shape Sub-classifier Strategy (HL, DC, LV, GC), pp. 1496–1501.
ICPRICPR-2014-LuZXGW #approximate #morphism #quantum
Approximate Maximum Common Sub-graph Isomorphism Based on Discrete-Time Quantum Walk (KL, YZ, KX, YG, RCW), pp. 1413–1418.
ICPRICPR-2014-SinghA #constraints #energy #self
Sub-band Energy Constraints for Self-Similarity Based Super-resolution (AS, NA), pp. 4447–4452.
RERE-2014-StalhaneW
The DODT tool applied to sub-sea software (TS, TW), pp. 420–427.
ASPLOSASPLOS-2014-ZhouW #architecture #as a service
The sharing architecture: sub-core configurability for IaaS clouds (YZ, DW), pp. 559–574.
DATEDATE-2013-MishraBTRF #energy #power management
A sub-μa power management circuit in 0.18μm CMOS for energy harvesters (BM, CB, GT, CR, PAF), pp. 1197–1202.
DATEDATE-2013-Struzyna #polynomial
Sub-quadratic objectives in quadratic placement (MS), pp. 1867–1872.
ICDARICDAR-2013-AhmadRFM #modelling #novel #recognition
Novel Sub-character HMM Models for Arabic Text Recognition (IA, LR, GAF, SAM), pp. 658–662.
ICDARICDAR-2013-Zhu0N #learning #recognition
Sub-structure Learning Based Handwritten Chinese Text Recognition (YZ, JS, SN), pp. 295–299.
CIKMCIKM-2013-GuoZ #classification #comprehension #empirical #graph #perspective
Understanding the roles of sub-graph features for graph classification: an empirical study perspective (TG, XZ), pp. 817–822.
ECIRECIR-2013-YasudaNHN #combinator #optimisation
Sub-sentence Extraction Based on Combinatorial Optimization (NY, MN, TH, MN), pp. 812–815.
POPLPOPL-2013-UpadrastaC #scheduling #using
Sub-polyhedral scheduling using (unit-)two-variable-per-inequality polyhedra (RU, AC), pp. 483–496.
SACSAC-2013-MartinsLSN #fault tolerance #framework #middleware #realtime
Stheno, a real-time fault-tolerant P2P middleware platform for light-train systems (RM, LMBL, FMAS, PN), pp. 431–438.
CASECASE-2012-LeeKLYBM #3d #automation #visual notation
3D visual perception system for bin picking in automotive sub-assembly automation (SL, JK, ML, KY, LGB, RM), pp. 706–713.
DACDAC-2012-LearyCC #architecture #memory management #synthesis
System-level synthesis of memory architecture for stream processing sub-systems of a MPSoC (GL, WC, KSC), pp. 672–677.
DACDAC-2012-SinhaYCCC #design #modelling #predict
Exploring sub-20nm FinFET design with predictive technology models (SS, GY, VC, BC, YC), pp. 283–288.
DATEDATE-2012-NeogyR #analysis #design #injection
Analysis and design of sub-harmonically injection locked oscillators (AN, JSR), pp. 1209–1214.
PODSPODS-2012-IndykLR #approximate #testing
Approximating and testing k-histogram distributions in sub-linear time (PI, RL, RR), pp. 15–22.
PODSPODS-2012-McGregorPTW #estimation #statistics
Space-efficient estimation of statistics over sub-sampled streams (AM, AP, ST, DPW), pp. 273–282.
EDOCEDOC-2012-DohringSG #adaptation #approach #aspect-oriented #model transformation #recursion #rule-based #runtime #weaving #workflow
Emulating Runtime Workflow Adaptation and Aspect Weaving by Recursive Rule-Based Sub-Process Selection — A Model Transformation Approach (MD, AS, IG), pp. 133–142.
CIKMCIKM-2012-SandersonTZS #difference #effectiveness
Differences in effectiveness across sub-collections (MS, AT, YZ, FS), pp. 1965–1969.
ICPRICPR-2012-DaiFZ #detection #mining
Mining sub-categories for object detection (JD, JF, JZ), pp. 3260–3263.
ICPRICPR-2012-HanQC #adaptation #classification #image #representation
Group sparse representation of adaptive sub-domain selection for image classification (XHH, XQ, YWC), pp. 1431–1434.
ICPRICPR-2012-MizukamiONNT
Sub-pixel disparity search for binocular stereo vision (YM, KO, AN, SN, KT), pp. 364–367.
MLDMMLDM-2012-GlodekSP #detection #process #recognition
Detecting Actions by Integrating Sequential Symbolic and Sub-symbolic Information in Human Activity Recognition (MG, FS, GP), pp. 394–404.
MLDMMLDM-2012-TaTB #approach #clustering #data type #using
Clustering Data Stream by a Sub-window Approach Using DCA (MTT, LTHA, LBA), pp. 279–292.
MODELSMoDELS-2012-JohansenHFES #array #generative #modelling #product line
Generating Better Partial Covering Arrays by Modeling Weights on Sub-product Lines (MFJ, ØH, FF, AGE, TS), pp. 269–284.
MODELSMoDELS-2012-RabbiM #agile #development #domain-specific language #workflow
T: A Domain Specific Language for Rapid Workflow Development (FR, WM), pp. 36–52.
MODELSMoDELS-2012-JohansenHFES #array #generative #modelling #product line
Generating Better Partial Covering Arrays by Modeling Weights on Sub-product Lines (MFJ, ØH, FF, AGE, TS), pp. 269–284.
MODELSMoDELS-2012-RabbiM #agile #development #domain-specific language #workflow
T: A Domain Specific Language for Rapid Workflow Development (FR, WM), pp. 36–52.
PPDPPPDP-2012-SulzmannL #regular expression #using
Regular expression sub-matching using partial derivatives (MS, KZML), pp. 79–90.
PPoPPPPoPP-2012-MittalJGSK #algorithm
Collective algorithms for sub-communicators (AM, NJ, TG, YS, SK), pp. 315–316.
DACDAC-2011-Hu #how #why
New sub-20nm transistors: why and how (CH), pp. 460–463.
DACDAC-2011-KimG #reliability
Leakage-aware redundancy for reliable sub-threshold memories (SK, MRG), pp. 435–440.
DACDAC-2011-KrishnamurthyMS #encryption #energy
High-performance energy-efficient encryption in the sub-45nm CMOS Era (RK, SM, FS), p. 332.
DACDAC-2011-ZhouJBHS #library #standard
A 40 nm inverse-narrow-width-effect-aware sub-threshold standard cell library (JZ, SJ, BB, LH, JS), pp. 441–446.
DATEDATE-2011-GielenMW #analysis #reliability
Analog circuit reliability in sub-32 nanometer CMOS: Analysis and mitigation (GGEG, EM, PHNDW), pp. 1474–1479.
DATEDATE-2011-MistryAFH #power management
Sub-clock power-gating technique for minimising leakage power during active mode (JNM, BMAH, DF, SH), pp. 106–111.
FASEFASE-2011-KelsenMG #complexity #modelling #using
Models within Models: Taming Model Complexity Using the Sub-model Lattice (PK, QM, CG), pp. 171–185.
CSMRCSMR-2011-BelderrarKGAG #architecture #evolution #identification #mining #object-oriented
Sub-graph Mining: Identifying Micro-architectures in Evolving Object-Oriented Software (AB, SK, YGG, GA, PG), pp. 171–180.
HCIHCI-ITE-2011-IkeYYMSYS #evaluation #user interface
Evaluation of User Support of a Hemispherical Sub-display with GUI Pointing Functions (SI, SY, YY, NM, KS, TY, HS), pp. 436–445.
SACSAC-2011-VenkateshGBC #fixpoint #implementation #markov #modelling #recognition #speech #using
Fixed-point implementation of isolated sub-word level speech recognition using hidden Markov models (NV, RG, RB, MGC), pp. 368–373.
DACDAC-2010-BanP #layout #modelling #optimisation #robust
Compact modeling and robust layout optimization for contacts in deep sub-wavelength lithography (YB, DZP), pp. 408–411.
DATEDATE-2010-GaoH #geometry #optimisation #programming #using
A power optimization method for CMOS Op-Amps using sub-space based geometric programming (WG, RH), pp. 508–513.
DATEDATE-2010-LotzeGM #modelling
Timing modeling for digital sub-threshold circuits (NL, JG, YM), pp. 299–302.
ITiCSEITiCSE-2010-Marsh #case study #comparative #programming #student #towards
A sub-saharan comparative study of university students’ attitudes towards computer programming (CJM), pp. 33–37.
CHICHI-2010-HourcadeNPD
Pointassist for older adults: analyzing sub-movement characteristics to aid in pointing tasks (JPH, CMN, KBP, NLD), pp. 1115–1124.
ICEISICEIS-DISI-2010-BottcherHK #clustering #named #xml
CluX — Clustering XML Sub-trees (SB, RH, CK), pp. 142–150.
ICEISICEIS-SAIC-2010-CanturkS #distributed #validation
Service Acquisition and Validation in a Distributed Service Discovery System Consisting of Domain-specific Sub-systems (DC, PS), pp. 93–99.
CIKMCIKM-2010-MwebazeMBV #framework #towards
Towards a provenance framework for sub-image processing for astronomical data (JM, JM, DB, EV), pp. 1277–1280.
ECIRECIR-2010-AnderkaSP #bound #similarity #why
Cross-Language High Similarity Search: Why No Sub-linear Time Bound Can Be Expected (MA, BS, MP), pp. 640–644.
ECIRECIR-2010-SantosPMO
Explicit Search Result Diversification through Sub-queries (RLTS, JP, CM, IO), pp. 87–99.
ICPRICPR-2010-DasKK #detection #multi #optimisation
Sub-Category Optimization for Multi-view Multi-pose Object Detection (DD, YK, YK), pp. 1405–1408.
ICPRICPR-2010-MalgireddyCSGM #framework #gesture #modelling #recognition #using
A Framework for Hand Gesture Recognition and Spotting Using Sub-gesture Modeling (MRM, JJC, SS, VG, DM), pp. 3780–3783.
ICPRICPR-2010-NegiK
SubXPCA versus PCA: A Theoretical Investigation (AN, VKK), pp. 4170–4173.
ICPRICPR-2010-SakarKSG #clustering #feature model #predict
Prediction of Protein Sub-nuclear Location by Clustering mRMR Ensemble Feature Selection (COS, OK, HS, FG), pp. 2572–2575.
ICPRICPR-2010-ZhangXL #detection #video
Extracting Key Sub-trajectory Features for Supervised Tactic Detection in Sports Video (YZ, CX, HL), pp. 125–128.
PPDPPPDP-2010-Barbanerad #behaviour
Two notions of sub-behaviour for session-based client/server systems (FB, Ud), pp. 155–164.
SACSAC-2010-AminBJ #framework #interactive #performance
A cytoscape based framework for efficient sub-graph isomorphic protein-protein interaction motif lookup (MSA, AB, HMJ), pp. 1572–1576.
SACSAC-2010-TairasG #open source #refactoring
Sub-clone refactoring in open source software artifacts (RT, JGG), pp. 2373–2374.
HPDCHPDC-2010-JonesDD #clustering #performance
Impact of sub-optimal checkpoint intervals on application efficiency in computational clusters (WMJ, JTD, ND), pp. 276–279.
DATEDATE-2009-GopalakrishnanK #algebra #polynomial #synthesis
Algebraic techniques to enhance common sub-expression elimination for polynomial system synthesis (SG, PK), pp. 1452–1457.
HCIDHM-2009-JeonJKH #classification #gender #using
Facial Gender Classification Using LUT-Based Sub-images and DIE (JBJ, SHJ, DJK, KSH), pp. 36–45.
SACSAC-2009-LimaH #approach #using
Computing data cubes using exact sub-graph matching: the sequential MCG approach (JdCL, CMH), pp. 1541–1548.
VMCAIVMCAI-2009-LavironL #approach #linear #named #scalability
SubPolyhedra: A (More) Scalable Approach to Infer Linear Inequalities (VL, FL), pp. 229–244.
DATEDATE-2008-BingesserLHHMDV #metric
Low-Noise Sigma-Delta Capacitance-to-Digital Converter for Sub-pF Capacitive Sensors with Integrated Dielectric Loss Measurement (MB, TL, WH, JH, SM, RD, MV), pp. 868–872.
DocEngDocEng-2008-OllisBB #component #documentation #workflow
Tracking sub-page components in document workflows (JAO, SRB, DFB), pp. 86–89.
CHICHI-2008-ChengET #source code #towards
Participant and interviewer attitudes toward handheld computers in the context of HIV/AIDS programs in sub-Saharan Africa (KGC, FE, KNT), pp. 763–766.
CIKMCIKM-2008-ErcegovacJLMS #query
Supporting sub-document updates and queries in an inverted index (VE, VJ, NL, MRM, EJS), pp. 659–668.
ICPRICPR-2008-ZhangGY #3d #adaptation #modelling
Local stereo matching with 3D adaptive cost aggregation for slanted surface modeling and sub-pixel accuracy (YZ, MG, YHY), pp. 1–4.
SACSAC-2008-PirkelbauerPMS #c++ #concept #library #runtime #standard
Runtime concepts for the C++ standard template library (PP, SP, MM, BS), pp. 171–177.
DACDAC-2007-RastogiCK #on the
On Estimating Impact of Loading Effect on Leakage Current in Sub-65nm Scaled CMOS Circuits Based on Newton-Raphson Method (AR, WC, SK), pp. 712–715.
DATEDATE-2007-AndersKG #effectiveness #testing
Re-configuration of sub-blocks for effective application of time domain tests (JA, SK, GG), pp. 707–712.
DATEDATE-2007-MogalB #architecture #reduction
Microarchitecture floorplanning for sub-threshold leakage reduction (HM, KB), pp. 1238–1243.
ICDARICDAR-2007-HickinbothamC #knowledge-based #recognition
Knowledge-Based Recognition of Utility Map Sub-Diagrams (SJH, AGC), pp. 213–217.
STOCSTOC-2007-VassilevskaWY #graph
All-pairs bottleneck paths for general graphs in truly sub-cubic time (VV, RW, RY), pp. 585–589.
ICALPICALP-2007-ChandranGS #random
Ring Signatures of Sub-linear Size Without Random Oracles (NC, JG, AS), pp. 423–434.
ICMLICML-2007-Shalev-ShwartzSS #named
Pegasos: Primal Estimated sub-GrAdient SOlver for SVM (SSS, YS, NS), pp. 807–814.
TOOLSTOOLS-EUROPE-2007-DenkerDLM
Sub-Method Reflection (MD, SD, AL, PM), pp. 275–295.
DATEDATE-2006-AmelifardFP #using
Reducing the sub-threshold and gate-tunneling leakage of SRAM cells using Dual-Vt and Dual-Tox assignment (BA, FF, MP), pp. 995–1000.
DATEDATE-2006-RaychowdhuryPBR #case study #comparative #power management
Ultralow power computing with sub-threshold leakage: a comparative study of bulk and SOI technologies (AR, BCP, SB, KR), pp. 856–861.
STOCSTOC-2006-BarakRSW #graph
2-source dispersers for sub-polynomial entropy and Ramsey graphs beating the Frankl-Wilson construction (BB, AR, RS, AW), pp. 671–680.
STOCSTOC-2006-MoshkovitzR #fault
Sub-constant error low degree test of almost-linear size (DM, RR), pp. 21–30.
ICEISICEIS-DISI-2006-AntolliniCB #enterprise #implementation #information management
Implementing a High Level PUB/SUB Layer for Enterprise Information Systems (MA, MC, APB), pp. 54–62.
ICSTSAT-2006-KullmannLM #agile #categorisation #kernel #normalisation #satisfiability
Categorisation of Clauses in Conjunctive Normal Forms: Minimally Unsatisfiable Sub-clause-sets and the Lean Kernel (OK, IL, JMS), pp. 22–35.
DACDAC-2005-MitraKSZ #challenge #design #fault #logic
Logic soft errors in sub-65nm technologies design and CAD challenges (SM, TK, NS, MZ), pp. 2–4.
DATEDATE-2005-DattaBMBR #design #modelling #pipes and filters #process #statistics
Statistical Modeling of Pipeline Delay and Design of Pipeline under Process Variation to Enhance Yield in sub-100nm Technologies (AD, SB, SM, NB, KR), pp. 926–931.
DATEDATE-2005-KallakuriDF #communication
Buffer Insertion for Bridges and Optimal Buffer Sizing for Communication Sub-System of Systems-on-Chip (SK, AD, EAF), pp. 826–827.
DATEDATE-2005-RosselloCBKS #concurrent #performance
A Fast Concurrent Power-Thermal Model for Sub-100nm Digital ICs (JLR, VC, SAB, AK, JS), pp. 206–211.
ICFPICFP-2005-DantasWWW #aspect-oriented #functional #named #polymorphism #programming language
PolyAML: a polymorphic aspect-oriented functional programming language (DSD, DW, GW, SW), pp. 306–319.
EDOCEDOC-2005-XuXH #approach
An Extended Event Matching Approach in Content-based Pub/Sub Systems for EAI (GX, WX, TH), pp. 287–296.
DATEDATE-v1-2004-BrandtnerW #named #simulation
SubCALM: A Program for Hierarchical Substrate Coupling Simulation on Floorplan Level (TB, RW), pp. 616–621.
DATEDATE-v1-2004-WongT #configuration management #encoding #power management
Re-Configurable Bus Encoding Scheme for Reducing Power Consumption of the Cross Coupling Capacitance for Deep Sub-Micron Instruction Bus (SKW, CYT), pp. 130–135.
DATEDATE-v2-2004-AbasRK #design #metric
Design of Sub-10-Picoseconds On-Chip Time Measurement Circuit (MAA, GR, DJK), pp. 804–809.
DATEDATE-v2-2004-BernardiniPM
A Tunneling Model for Gate Oxide Failure in Deep Sub-Micron Technology (SB, JMP, PM), pp. 1404–1405.
DATEDATE-v2-2004-MangoCWC #fault #testing
Pattern Selection for Testing of Deep Sub-Micron Timing Defects (MCTC, LCW, KTC), p. 160.
FLOPSFLOPS-2004-Oostrom
Sub-Birkhoff (VvO), pp. 180–195.
SACSAC-2004-DamianiDG #classification #multi #thread
Re-classification and multi-threading: FickleMT (FD, MDC, PG), pp. 1297–1304.
ICMLICML-2003-Mesterharm #algorithm #multi #using
Using Linear-threshold Algorithms to Combine Multi-class Sub-experts (CM), pp. 544–551.
ICALPICALP-2002-DengLLMW #string
A PTAS for Distinguishing (Sub)string Selection (XD, GL, ZL, BM, LW), pp. 740–751.
SACSAC-2002-CarvalhoLL #coordination #functional #haskell #process
Coordinating functional processes with Haskell# (FHC, RMFL, RDL), pp. 393–400.
DACDAC-2001-HenkelL #adaptation #design #named #power management
A2BC: Adaptive Address Bus Coding for Low Power Deep Sub-Micron Designs (JH, HL), pp. 744–749.
DACDAC-2001-RiegerMP #design #layout
Layout Design Methodologies for Sub-Wavelength Manufacturing (MLR, JPM, SP), pp. 85–88.
DATEDATE-2001-JozwiakC #composition #functional #metric
High-quality sub-function construction in functional decomposition based on information relationship measures (LJ, AC), pp. 383–390.
ICDARICDAR-2001-ChaS #algorithm #analysis #classification
Apriori Algorithm for Sub-category Classification Analysis of Handwriting (SHC, SNS), pp. 1022–1025.
DACDAC-2000-ChuengDRR #challenge
Test challenges for deep sub-micron technologies (KTC, SD, MR, KR), pp. 142–149.
ICPRICPR-v2-2000-GuoDR #detection #using
Off-Line Skilled Forgery Detection Using Stroke and Sub-Stroke Properties (JKG, DSD, AR), pp. 2355–2358.
ICPRICPR-v3-2000-AchardBD #detection #multi
A Sub-Pixel and Multispectral Corner Detector (CA, EB, JD), pp. 3971–3974.
ICTSSTestCom-2000-MaggioreVP #approach #quality
The Test Sub Group (TSG): A Cooperative Approach to Improve the Release Quality before Type Acceptance (GM, SV, JP), p. 239–?.
DACDAC-1999-BanerjeeMSH #on the
On Thermal Effects in Deep Sub-Micron VLSI Interconnects (KB, AM, ALSV, CH), pp. 885–891.
DACDAC-1999-KhatriMBOS #layout #novel
A Novel VLSI Layout Fabric for Deep Sub-Micron Applications (SPK, AM, RKB, RHJMO, ALSV), pp. 491–496.
ICDARICDAR-1999-PrevostM #online #recognition
Non-supervised Determination of Allograph Sub-classes for On-line Omni-scriptor Handwriting Recognition (LP, MM), pp. 438–441.
ICDARICDAR-1999-YamaokaIBK #approach #documentation #image #interactive #logic #using
Interactive Approach to the Extraction of Logical Structures from Unformatted Document Images Using a Sub-structure Model (MY, OI, NB, TK), pp. 185–188.
DATEDATE-1998-BisdounisKGN #modelling
Switching Response Modeling of the CMOS Inverter for Sub-micron Devices (LB, OGK, CEG, SN), pp. 729–735.
SIGMODSIGMOD-1998-KabraD #execution #performance #query
Efficient Mid-Query Re-Optimization of Sub-Optimal Query Execution Plans (NK, DJD), pp. 106–117.
ESOPESOP-1998-CairesM #concurrent #execution #logic #specification
Verifiable and Executable Logic Specifications of Concurrent Objects in Lpi (LC, LM), pp. 42–56.
SACSAC-1998-VitiniMD #algorithm
A factoring algorithm in F2[x] (FMV, JMM, APD), pp. 672–676.
DATEEDTC-1997-Sachdev #testing
Deep sub-micron IDDQ testing: issues and solutions (MS), pp. 271–278.
ICDARICDAR-1997-JingboXT #analysis #segmentation
Segmentation of Stick Text Based on Sub Connected Area Analysis (JG, XL, ZT), p. 417–?.
STOCSTOC-1997-RazS
A Sub-Constant Error-Probability Low-Degree Test, and a Sub-Constant Error-Probability PCP Characterization of NP (RR, SS), pp. 475–484.
ICPRICPR-1996-AstromH #analysis #detection #modelling #probability
Stochastic modelling and analysis of sub-pixel edge detection (, AH), pp. 86–90.
ICPRICPR-1996-MaoAM #modelling
A model-based form processing sub-system (JM, MA, KMM), pp. 691–695.
ICDARICDAR-v1-1995-MurshedBS #approach #verification
Off-line signature verification, without a priori knowledge of class /spl omega//sub 2/. A new approach (NAM, FB, RS), pp. 191–196.
ICDARICDAR-v1-1995-SimonPJ #automation #documentation #generative #image
(Chem)DeT/sub E/X automatic generation of a markup language description of (chemical) documents from bitmap images (AS, JCP, APJ), pp. 458–461.
ICDARICDAR-v2-1995-TangMXCS #documentation #using
Extraction of reference lines from documents with grey-level background using sub-images of wavelets (YYT, HM, DX, YC, CYS), pp. 571–574.
SACSAC-1995-HalgamugeGG #fuzzy #network #problem #prototype
A sub Bayesian nearest prototype neural network with fuzzy interpretability for diagnosis problems (SKH, CG, MG), pp. 445–449.
PLDIPLDI-1994-HentenryckR #backtracking
Backtracking without Trailing in CLP(RLin) (PVH, VR), pp. 349–360.
DACDAC-1993-OhlrichEGS #algorithm #identification #morphism #named #performance #using
SubGemini: Identifying SubCircuits using a Fast Subgraph Isomorphism Algorithm (MO, CE, EG, LS), pp. 31–37.
ICMLML-1992-LapointeM #induction #named #performance #recursion #source code
Sub-unification: A Tool for Efficient Induction of Recursive Programs (SL, SM), pp. 273–281.
ECOOPECOOP-1991-Barbedette #object-oriented #persistent
Schema Modifications in the LISPO2 Persistent Object-Oriented Language (GB), pp. 77–96.
PLDISCC-1984-Farrow #attribute grammar
Sub-protocol-evaluators for attribute grammars (RF), pp. 70–80.
VLDBVLDB-1979-MunzSS #database #testing
Application of Sub-Predicate Tests in Database Systems (RM, HJS, FS), pp. 426–435.

Bibliography of Software Language Engineering in Generated Hypertext (BibSLEIGH) is created and maintained by Dr. Vadim Zaytsev.
Hosted as a part of SLEBOK on GitHub.