BibSLEIGH
BibSLEIGH corpus
BibSLEIGH tags
BibSLEIGH bundles
BibSLEIGH people
CC-BY
Open Knowledge
XHTML 1.0 W3C Rec
CSS 2.1 W3C CanRec
email twitter
Used together with:
high (58)
system (15)
optim (14)
base (14)
maxim (10)

Stem throughput$ (all stems)

119 papers:

CASECASE-2015-GallertLRJT #2d #3d #biology #industrial #scalability #throughput
Biological high throughput screening of 2D and 3D cell cultures for future industrial up-scaling (CG, RL, TR, SJ, KT), pp. 1527–1532.
CASECASE-2015-XuZLW #order #probability #scheduling #throughput
Stochastic customer order scheduling to maximize throughput (XX, YZ, HL, MW), pp. 665–670.
VLDBVLDB-2015-ZhangWYGLZ #in memory #named #throughput
Mega-KV: A Case for GPUs to Maximize the Throughput of In-Memory Key-Value Stores (KZ, KW, YY, LG, RL, XZ), pp. 1226–1237.
HCIHCI-IT-2015-MacKenzie #throughput
Fitts’ Throughput and the Remarkable Case of Touch-Based Target Selection (ISM), pp. 238–249.
SACSAC-2015-ChengKWT #scalability #semantics #throughput #web
High throughput indexing for large-scale semantic web data (LC, SK, TEW, GT), pp. 416–422.
HPCAHPCA-2015-LiRJOEBFR #throughput
Priority-based cache allocation in throughput processors (DL, MR, DRJ, MO, ME, DB, DSF, SWR), pp. 89–100.
LCTESLCTES-2015-BarijoughHKG #analysis #streaming #trade-off
Implementation-Aware Model Analysis: The Case of Buffer-Throughput Tradeoff in Streaming Applications (KMB, MH, VK, SG), p. 10.
DACDAC-2014-DingCM #layout #optimisation #throughput
Throughput Optimization for SADP and E-beam based Manufacturing of 1D Layout (YD, CC, WKM), p. 6.
DATEDATE-2014-DasKV #energy #multi #trade-off
Temperature aware energy-reliability trade-offs for mapping of throughput-constrained applications on multimedia MPSoCs (AD, AK, BV), pp. 1–6.
DocEngDocEng-2014-KolbergFRF #analysis #documentation #performance #throughput #using
JAR tool: using document analysis for improving the throughput of high performance printing environments (MLK, LGF, MR, CF), pp. 175–178.
ICPRICPR-2014-ScottEMFA #pattern matching #scalability
GPU-Based PostgreSQL Extensions for Scalable High-Throughput Pattern Matching (GJS, ME, KM, ZF, DTA), pp. 1880–1885.
KDDKDD-2014-HoGS #health #named
Marble: high-throughput phenotyping from electronic health records via sparse nonnegative tensor factorization (JCH, JG, JS), pp. 115–124.
ASPLOSASPLOS-2014-ChenDSWWCT #named #ubiquitous
DianNao: a small-footprint high-throughput accelerator for ubiquitous machine-learning (TC, ZD, NS, JW, CW, YC, OT), pp. 269–284.
HPCAHPCA-2014-HechtmanCHTBHRW #approach #consistency #named
QuickRelease: A throughput-oriented approach to release consistency on GPUs (BAH, SC, DRH, YT, BMB, MDH, SKR, DAW), pp. 189–200.
HPCAHPCA-2014-XieTHC #clustering #memory management #throughput
Improving system throughput and fairness simultaneously in shared memory CMP systems via Dynamic Bank Partitioning (MX, DT, KH, XC), pp. 344–355.
HPDCHPDC-2014-ZhangJLGXI #in memory #memory management #named #programmable
TOP-PIM: throughput-oriented programmable processing in memory (DPZ, NJ, AL, JLG, LX, MI), pp. 85–98.
OSDIOSDI-2014-BelayPKGKB #latency #named #operating system #throughput
IX: A Protected Dataplane Operating System for High Throughput and Low Latency (AB, GP, AK, SG, CK, EB), pp. 49–65.
CASECASE-2013-ZhouL #detection #realtime #throughput
Real time electricity demand response for sustainable manufacturing systems considering throughput bottleneck detection (ZZ, LL), pp. 640–644.
DACDAC-2013-DevWR #3d #integration #testing #using
High-throughput TSV testing and characterization for 3D integration using thermal mapping (KD, GW, SR), p. 6.
DACDAC-2013-PapakonstantinouCHCL #kernel #migration
Throughput-oriented kernel porting onto FPGAs (AP, DC, WmWH, JC, YL), p. 10.
SIGMODSIGMOD-2013-ZhangR #case study #scalability #towards
Towards high-throughput gibbs sampling at scale: a study across storage managers (CZ, CR), pp. 397–408.
CIKMCIKM-2013-VanderbauwhedeFACM #throughput #using
High throughput filtering using FPGA-acceleration (WV, AF, LA, SRC, MM), pp. 1245–1248.
SACSAC-2013-FlushingC #network #optimisation
A flow-based optimization model for throughput-oriented relay node placement in wireless sensor networks (EFF, GADC), pp. 632–639.
SACSAC-2013-HuangMGM #multi #realtime #scalability
Throughput-constrained voltage and frequency scaling for real-time heterogeneous multiprocessors (PH, OM, KG, AMM), pp. 1517–1524.
SACSAC-2013-NunesP #scheduling #throughput #transaction
Improving transaction abort rates without compromising throughput through judicious scheduling (AN, JP), pp. 493–494.
HPCAHPCA-2013-GoswamiCL #architecture #memory management #throughput #using
Power-performance co-optimization of throughput core architecture using resistive memory (NG, BC, TL), pp. 342–353.
CASECASE-2012-KimM #modelling #on the #probability #random #throughput
On the throughput of deterministic flow lines with random state dependent setups: Stochastic models and applications (WsK, JRM), pp. 650–655.
DACDAC-2012-CropPC #detection #logic #throughput #using
Regaining throughput using completion detection for error-resilient, near-threshold logic (JC, RP, PC), pp. 974–979.
DATEDATE-2012-CongHLZZ #replication #source code #streaming
Combining module selection and replication for throughput-driven streaming programs (JC, MH, BL, PZ, YZ), pp. 1018–1023.
VLDBVLDB-2012-KangLM #performance #throughput
Flash-based Extended Cache for Higher Throughput and Faster Recovery (WHK, SWL, BM), pp. 1615–1626.
ICPRICPR-2012-KorffFKSBPS #analysis #image #library #recognition
Compound color recognition via image analysis on high-throughput compound libraries (MvK, JF, AK, JS, GB, OP, TS), pp. 1289–1293.
SACSAC-2012-JiXWLTY #gpu #sequence
High-throughput antibody sequence alignment based on GPU computing (GJ, ZX, XW, SL, MT, JY), pp. 1417–1418.
CASECASE-2011-GhirardiPS #case study #multimodal #throughput
Maximizing the throughput of multimodal logistic platforms by simulation-optimization: The Duferco case study (MG, GP, DS), pp. 52–57.
DACDAC-2011-HongSK #case study #estimation #performance #throughput
Emulation based high-accuracy throughput estimation for high-speed connectivities: case study of USB2.0 (BH, CS, DK), pp. 609–614.
DACDAC-2011-HuangQFQ #constraints #realtime #throughput
Throughput maximization for periodic real-time systems under the maximal temperature constraint (HH, GQ, JF, MQ), pp. 363–368.
DATEDATE-2011-HeidmannWP #architecture #detection #throughput
Architecture and FPGA-implementation of a high throughput K+-Best detector (NH, TW, SP), pp. 240–245.
DATEDATE-2011-LungHKC #3d #manycore #online #optimisation #throughput
Thermal-aware on-line task allocation for 3D multi-core processor throughput optimization (CLL, YLH, DMK, SCC), pp. 8–13.
DATEDATE-2011-MurugappaABJ #architecture #flexibility #multi #throughput
A flexible high throughput multi-ASIP architecture for LDPC and turbo decoding (PM, RAK, AB, MJ), pp. 228–233.
DATEDATE-2011-ZattSBH #architecture #estimation #hardware #parallel #pipes and filters #throughput #video
Multi-level pipelined parallel hardware architecture for high throughput motion and disparity estimation in Multiview Video Coding (BZ, MS, SB, JH), pp. 1448–1453.
SIGMODSIGMOD-2011-LiTP #named #sequence
WHAM: a high-throughput sequence alignment method (YL, AT, JMP), pp. 445–456.
VLDBVLDB-2011-HeY #transaction
High-throughput transaction executions on graphics processors (BH, JXY), pp. 314–325.
CHICHI-2011-WobbrockSJ #design #empirical #metric #modelling #throughput
The effects of task dimensionality, endpoint deviation, throughput calculation, and experiment design on pointing measures and models (JOW, KS, AJ), pp. 1639–1648.
HPCAHPCA-2011-RanganPWB #performance #throughput
Achieving uniform performance and maximizing throughput in the presence of heterogeneity (KKR, MDP, GYW, DMB), pp. 3–14.
DACDAC-2010-HePKYALC #energy #named #throughput
Xetal-Pro: an ultra-low energy and high throughput SIMD processor (YH, YP, RPK, ZY, AAA, SML, HC), pp. 543–548.
DACDAC-2010-KuangB #latency #named
LATA: a latency and throughput-aware packet processing system (JK, LNB), pp. 36–41.
DATEDATE-2010-BonfiettiBLM #approach #manycore #performance #scheduling
An efficient and complete approach for throughput-maximal SDF allocation and scheduling on multi-core platforms (AB, LB, ML, MM), pp. 897–902.
DATEDATE-2010-CupaiuoloST #architecture #detection #ml #throughput
Low-complexity high throughput VLSI architecture of soft-output ML MIMO detector (TC, MS, AT), pp. 1396–1401.
DATEDATE-2010-HenryN #power management
From transistors to MEMS: Throughput-aware power gating in CMOS circuits (MBH, LN), pp. 130–135.
DATEDATE-2010-KennedyWLL #string #throughput
Ultra-high throughput string matching for Deep Packet Inspection (AK, XW, ZL, BL), pp. 399–404.
DATEDATE-2010-MeijerNS #modelling #network #process #throughput
Throughput modeling to evaluate process merging transformations in polyhedral process networks (SM, HN, TS), pp. 747–752.
DATEDATE-2010-WiggersBGB #graph
Simultaneous budget and buffer size computation for throughput-constrained task graphs (MW, MB, MG, TB), pp. 1669–1672.
VLDBVLDB-2010-DebnathSL #named #persistent #throughput
FlashStore: High Throughput Persistent Key-Value Store (BKD, SS, JL), pp. 1414–1425.
ICALPICALP-v2-2010-BunnO #network
Asynchronous Throughput-Optimal Routing in Malicious Networks (PB, RO), pp. 236–248.
SACSAC-2010-ZhouS #ad hoc #energy #network #performance #throughput #towards
Towards higher throughput and energy efficiency in dense wireless ad hoc and sensor networks (WZ, RS), pp. 749–755.
ICSEICSE-2010-GoldsteinSTU #throughput
Improving throughput via slowdowns (MG, OS, RTB, SU), pp. 11–20.
HPCAHPCA-2010-XuZZY #throughput
Simple virtual channel allocation for high throughput and high frequency on-chip routers (YX, BZ, YZ, JY), pp. 1–11.
HPDCHPDC-2010-XuF #data transformation #file system #framework #named
GatorShare: a file system framework for high-throughput data management (JX, RJOF), pp. 776–786.
OSDIOSDI-2010-GulatiMV #named #scheduling #throughput #variability
mClock: Handling Throughput Variability for Hypervisor IO Scheduling (AG, AM, PJV), pp. 437–450.
CASECASE-2009-RoyKHM #throughput
Impact of zones on throughput and cycle times in warehouses with Autonomous Vehicles (DR, AK, SSH, CJM), pp. 449–454.
DACDAC-2009-HanumaiahRVC #constraints #manycore #throughput
Throughput optimal task allocation under thermal constraints for multi-core processors (VH, RR, SBKV, KSC), pp. 776–781.
DACDAC-2009-LeeK #manycore #optimisation #throughput #using
Optimizing throughput of power- and thermal-constrained multicore processors using DVFS and per-core power-gating (JL, NSK), pp. 47–50.
DATEDATE-2009-GuanLF #design #scalability #set
Design of an application-specific instruction set processor for high-throughput and scalable FFT (XG, HL, YF), pp. 1302–1307.
HPCAHPCA-2009-ChenA #fine-grained #first-order #parallel #thread #throughput
A first-order fine-grained multithreaded throughput model (XEC, TMA), pp. 329–340.
ISMMISMM-2009-Vengerov #analysis #garbage collection #modelling #optimisation #throughput
Modeling, analysis and throughput optimization of a generational garbage collector (DV), pp. 1–9.
CASECASE-2008-UnverM #automation #composition #design pattern #throughput #using
Using architectural software patterns in support of controlling modular high throughput screening automation systems (HÖÜ, JM), pp. 912–917.
DACDAC-2008-BalkanQV #hybrid #network #parallel
An area-efficient high-throughput hybrid interconnection network for single-chip parallel processing (AOB, GQ, UV), pp. 435–440.
DATEDATE-2008-GhamarianGBS #analysis #data flow #graph #parametricity #throughput
Parametric Throughput Analysis of Synchronous Data Flow Graphs (AHG, MG, TB, SS), pp. 116–121.
DATEDATE-2008-MuirAL #automation #pipes and filters #streaming
Automated Dynamic Throughput-constrained Structural-level Pipelining in Streaming Applications (MM, TA, IL), pp. 1358–1361.
DATEDATE-2008-WiggersBS #communication #throughput
Computation of Buffer Capacities for Throughput Constrained and Data Dependent Inter-Task Communication (MW, MB, GJMS), pp. 640–645.
CHICHI-2008-MacKenzieI #throughput #trade-off
Fitts’ throughput and the speed-accuracy tradeoff (ISM, PI), pp. 1633–1636.
HPCAHPCA-2008-LarsonSDDYGSKS #interactive #simulation
High-throughput pairwise point interactions in Anton, a specialized machine for molecular dynamics simulation (RHL, JKS, ROD, MMD, CY, JPG, YS, JLK, DES), pp. 331–342.
CASECASE-2007-AllwardtWHST #integration #throughput
Enhancing the Throughput of Catalyst Screening Labs by Integration of a High Pressure Microplate Reactor (AA, CW, SHL, NS, KT), pp. 381–385.
CASECASE-2007-MorrisonM #clustering #on the #throughput #tool support
On the Throughput of Clustered Photolithography Tools: Wafer Advancement and Intrinsic Equipment Loss (JRM, MKM), pp. 88–93.
CASECASE-2007-SalernoZBLDGSPYBN #automation #design
Design Considerations for a Minimally Invasive High-Throughput Automation System for Radiation Biodosimetry (AS, JZ, AB, OVL, AD, GG, NS, GRP, YLY, DJB, JN), pp. 846–852.
CASECASE-2007-VanijjirattikhanKCS #biology #modelling #petri net #process #simulation
Timed Petri Net Modeling and Simulation of a High-Throughput Biological Screening Process (RV, DK, MYC, NS), pp. 442–447.
CASECASE-2007-YiDZ0 #analysis #clustering #linear #throughput #tool support
Throughput Analysis of Linear Cluster Tools (JY, SD, MTZ, PvdM), pp. 1063–1068.
DACDAC-2007-CollinsC #optimisation #throughput
Topology-Based Optimization of Maximal Sustainable Throughput in a Latency-Insensitive System (RLC, LPC), pp. 410–415.
DACDAC-2007-StuijkBGC #data flow #graph #multi #resource management
Multiprocessor Resource Allocation for Throughput-Constrained Synchronous Dataflow Graphs (SS, TB, MG, HC), pp. 777–782.
DATEDATE-2007-GargM #analysis #design #interactive #multi #process #throughput
Interactive presentation: System-level process variation driven throughput analysis for single and multiple voltage-frequency island designs (SG, DM), pp. 403–408.
DATEDATE-2007-XuC #array
A cross-referencing-based droplet manipulation method for high-throughput and pin-constrained digital microfluidic arrays (TX, KC), pp. 552–557.
VLDBVLDB-2007-LeeZL #approach #concurrent #distributed #integration #query #throughput
Request Window: an Approach to Improve Throughput of RDBMS-based Data Integration System by Utilizing Data Sharing Across Concurrent Distributed Queries (RL, MZ, HL), pp. 1219–1230.
CIAACIAA-2007-CaucalCFR #context-free grammar #performance #throughput
Efficient Computation of Throughput Values of Context-Free Languages (DC, JC, WF, WR), pp. 203–213.
OOPSLAOOPSLA-2007-SpringPGV #java #named #programming
Streamflex: high-throughput stream programming in java (JHS, JP, RG, JV), pp. 211–228.
HPDCHPDC-2007-BurgerK #grid #multi #named
MOB: zero-configuration high-throughput multicasting for grid applications (MdB, TK), pp. 159–168.
LCTESLCTES-2007-HuangHG #embedded #energy #execution #optimisation #pipes and filters #streaming #throughput
Joint throughput and energy optimization for pipelined execution of embedded streaming applications (PKH, MH, SG), pp. 137–139.
DACDAC-2006-StuijkGB #constraints #data flow #graph #requirements #throughput #trade-off
Exploring trade-offs in buffer requirements and throughput constraints for synchronous dataflow graphs (SS, MG, TB), pp. 899–904.
DATEDATE-DF-2006-QuaglioVCTM #flexibility #framework
Interconnection framework for high-throughput, flexible LDPC decoders (FQ, FV, CC, AT, GM), pp. 124–129.
CIKMCIKM-2006-StanoiMPL #distributed #query #throughput
Maximizing the sustained throughput of distributed continuous queries (IS, GAM, TP, CAL), pp. 834–835.
HPDCHPDC-2006-LiuSW #approach #combinator #throughput
A High Throughput Approach to Combinatorial Search on Grids (YL, AMS, SW), pp. 351–352.
CASECASE-2005-MeldrumHFSMRPMCDW #analysis
Sample preparation in glass capillaries for high-throughput biochemical analyses (DRM, MH, CHF, MSS, SKM, TTHR, WHP, SEM, DLC, DAD, PJW), pp. 7–12.
DATEDATE-2005-SilvaB #architecture #design #pipes and filters #throughput #trade-off
Area and Throughput Trade-Offs in the Design of Pipelined Discrete Wavelet Transform Architectures (SVS, SB), pp. 32–37.
HPDCHPDC-2005-KonishiOHI #case study #throughput
A case study of instant workbench for InterProScan by Knoppix high throughput computing edition (FK, SO, YH, MI), pp. 301–302.
VLDBVLDB-2004-BloomS #case study #data transformation
Managing Data from High-Throughput Genomic Processing: A Case Study (TB, TS), pp. 1198–1201.
SACSAC-2004-CarmeliGHNNSV #reliability #throughput
High throughput reliable message dissemination (BC, GG, AH, NN, HN, JS, PV), pp. 322–327.
HPCAHPCA-2004-CarreraB #data-driven #throughput
Improving Disk Throughput in Data-Intensive Servers (EVC, RB), pp. 130–141.
OSDIOSDI-2004-RenesseS #replication #throughput
Chain Replication for Supporting High Throughput and Availability (RvR, FBS), pp. 91–104.
DACDAC-2002-LiuP #design #power management
Design of a high-throughput low-power IS95 Viterbi decoder (XL, MCP), pp. 263–268.
ICEISICEIS-2002-LiuL #analysis #object-oriented #throughput
A Knowledge Object Oriented System for High Throughput Collection and Analysis of Data (HL, TL), pp. 764–769.
ASPLOSASPLOS-2002-KimPR #interface #network #throughput #web
Increasing web server throughput with network interface data caching (HyK, VSP, SR), pp. 239–250.
HPDCHPDC-2002-GhanemGRW #information management #throughput
Grid-Based Knowledge Discovery Services for High Throughput Informatics (MG, YG, AR, PW), p. 416.
VLDBVLDB-2001-PereiraFJLS #named #xml
WebFilter: A High-throughput XML-based Publish and Subscribe System (JLMP, FF, HAJ, FL, DS), pp. 723–724.
DACDAC-2000-OmnesFC #co-evolution #design #embedded #interactive #multi #throughput
Interactive co-design of high throughput embedded multimedia (TJFO, TF, FC), pp. 328–331.
STOCSTOC-2000-GoelMP #multi #online #throughput
Combining fairness with throughput: online routing with multiple objectives (AG, AM, SAP), pp. 670–679.
HPCAHPCA-2000-NandaNMJ
High-Throughput Coherence Controllers (AKN, ATN, MMM, DJJ), pp. 145–155.
HPCAHPCA-2000-RajwarKG #throughput
Improving the Throughput of Synchronization by Insertion of Delays (RR, AK, JRG), pp. 168–179.
STOCSTOC-1999-Bar-NoyGNS #approximate #multi #realtime #scheduling #throughput
Approximating the Throughput of Multiple Machines Under Real-Time Scheduling (ABN, SG, JN, BS), pp. 622–631.
DACDAC-1998-LakshminarayanaJ #behaviour #control flow #framework #named #optimisation #throughput
FACT: A Framework for the Application of Throughput and Power Optimizing Transformations to Control-Flow Intensive Behavioral Descriptions (GL, NKJ), pp. 102–107.
PODSPODS-1998-GarofalakisIOS #database
Throughput-Competitive Admission Control for Continuous Media Databases (MNG, YEI, , AS), pp. 79–88.
HPDCHPDC-1998-RamanLS #distributed #named #resource management #throughput
Matchmaking: Distributed Resource Management for High Throughput Computing (RR, ML, MHS), pp. 140–146.
DACDAC-1997-BeniniMP #adaptation #design #latency #pipes and filters #throughput
Telescopic Units: Increasing the Average Throughput of Pipelined Designs by Adaptive Latency Control (LB, EM, MP), pp. 22–27.
DACDAC-1995-DeCastelo-Vide-e-SouzaPP #algorithm #approach #architecture #optimisation #throughput #using
Optimal ILP-Based Approach for Throughput Optimization Using Simultaneous Algorithm/Architecture Matching and Retiming (YGDVeS, MP, ACP), pp. 113–118.
VLDBVLDB-1995-HvasshovdTBH #database #realtime #throughput
The ClustRa Telecom Database: High Availability, High Throughput, and Real-Time Response (SOH, ØT, SEB, PH), pp. 469–477.
DATEEDAC-1994-HuangR #behaviour #performance #throughput #using
Maximizing the Throughput of High Performance DSP Applications Using Behavioral Transformations (SHH, JMR), pp. 25–30.
STOCSTOC-1994-MansourNV #communication #parallel #throughput #trade-off
Trade-offs between communication throughput and parallel time (YM, NN, UV), pp. 372–381.
DACDAC-1992-GeurtsCM #throughput
Time Constrained Allocation and Assignment Techniques for High Throughput Signal Processing (WG, FC, HDM), pp. 124–127.
VLDBVLDB-1992-KrishnakumarB #algorithm #database #throughput
High Throughput Escrow Algorithms for Replicated Databases (NK, AJB), pp. 175–186.
DACDAC-1991-NoteGCM #architecture #named #synthesis #throughput
Cathedral-III: Architecture-Driven High-level Synthesis for High Throughput DSP Applications (SN, WG, FC, HDM), pp. 597–602.
VLDBVLDB-1990-GrayHW #array #low cost #reliability #throughput
Parity Striping of Disk Arrays: Low-Cost Reliable Storage with Acceptable Throughput (JG, BH, MW), pp. 148–161.
SIGMODSIGMOD-1987-HermanGLW #architecture #database #throughput
The Datacycle Architecture for Very High Throughput Database Systems (GEH, GG, KCL, AW), pp. 97–103.

Bibliography of Software Language Engineering in Generated Hypertext (BibSLEIGH) is created and maintained by Dr. Vadim Zaytsev.
Hosted as a part of SLEBOK on GitHub.