BibSLEIGH
BibSLEIGH corpus
BibSLEIGH tags
BibSLEIGH bundles
BibSLEIGH people
CC-BY
Open Knowledge
XHTML 1.0 W3C Rec
CSS 2.1 W3C CanRec
email twitter
Used together with:
level (451)
perform (422)
system (233)
data (190)
dimension (177)

Stem high$ (all stems)

1930 papers:

ECSAECSA-2015-AlvaresRS #architecture #component #configuration management
High-Level Language Support for Reconfiguration Control in Component-Based Architectures (FA, ÉR, LS), pp. 3–19.
CASECASE-2015-FariaHGL #robust
Extended high-gain observer for robust position control of a micro-gripper in air and vacuum (MGdF, YH, YLG, PL), pp. 1626–1631.
CASECASE-2015-GallertLRJT #2d #3d #biology #industrial #scalability #throughput
Biological high throughput screening of 2D and 3D cell cultures for future industrial up-scaling (CG, RL, TR, SJ, KT), pp. 1527–1532.
CASECASE-2015-KanY #image #modelling #monitoring #network
Network models for monitoring high-dimensional image profiles (CK, HY), pp. 1078–1083.
CASECASE-2015-LiuY #modelling #predict #self
A self-organizing method for predictive modeling with highly-redundant variables (GL, HY), pp. 1084–1089.
CASECASE-2015-PanciroliTBBF #realtime #simulation
Overcoming real time bond in high level simulation environments (RP, CT, GB, RB, CF), pp. 1644–1648.
CASECASE-2015-Sakakura #framework
A speculation on a framework that provides highly organized services for manufacturing (TS), pp. 1025–1028.
CASECASE-2015-ZhangWZZ #automaton #learning #optimisation #performance
Incorporation of ordinal optimization into learning automata for high learning efficiency (JZ, CW, DZ, MZ), pp. 1206–1211.
DACDAC-2015-CampbellLMC #debugging #detection #fault #hybrid #synthesis #using #validation
Hybrid quick error detection (H-QED): accelerator validation and debug using high-level synthesis principles (KAC, DL, SM, DC), p. 6.
DACDAC-2015-CampbellVPC #detection #fault #low cost #synthesis
High-level synthesis of error detecting cores through low-cost modulo-3 shadow datapaths (KAC, PV, DZP, DC), p. 6.
DACDAC-2015-HahnKL #garbage collection
To collect or not to collect: just-in-time garbage collection for high-performance SSDs with long lifetimes (SSH, JK, SL), p. 6.
DACDAC-2015-LiLSH #approximate #optimisation #precise #synthesis
Joint precision optimization and high level synthesis for approximate computing (CL, WL, SSS, JH), p. 6.
DACDAC-2015-LinYP #constraints #performance
High performance dummy fill insertion with coupling and uniformity constraints (YL, BY, DZP), p. 6.
DACDAC-2015-LiuMLLCLWJBWY #configuration management #design #named
RENO: a high-efficient reconfigurable neuromorphic computing accelerator design (XL, MM, BL, HL, YC, BL, YW, HJ, MB, QW, JY), p. 6.
DACDAC-2015-LiuZ #configuration management #performance
A reconfigurable analog substrate for highly efficient maximum flow computation (GL, ZZ), p. 6.
DACDAC-2015-RozicYDV #generative #performance #random
Highly efficient entropy extraction for true random number generators on FPGAs (VR, BY, WD, IV), p. 6.
DACDAC-2015-SuC #complexity
Nanowire-aware routing considering high cut mask complexity (YHS, YWC), p. 6.
DACDAC-2015-TatsuokaWOHZOLT #design #synthesis
Physically aware high level synthesis design flow (MT, RW, TO, TH, QZ, RO, XL, TT), p. 6.
DACDAC-2015-ZhaoTDZ #pipes and filters #synthesis
Area-efficient pipelining for FPGA-targeted high-level synthesis (RZ, MT, SD, ZZ), p. 6.
DATEDATE-2015-AhmadyanGNCV #analysis #diagrams #performance
Fast eye diagram analysis for high-speed CMOS circuits (SNA, CG, SN, EC, SV), pp. 1377–1382.
DATEDATE-2015-AzarkhishRLB #memory management #performance
High performance AXI-4.0 based interconnect for extensible smart memory cubes (EA, DR, IL, LB), pp. 1317–1322.
DATEDATE-2015-CourbonLFT #detection #hardware #performance
A high efficiency hardware trojan detection technique based on fast SEM imaging (FC, PLM, JJAF, AT), pp. 788–793.
DATEDATE-2015-DinizSDBH #architecture #hardware #performance #standard #video
A deblocking filter hardware architecture for the high efficiency video coding standard (CMD, MS, FVD, SB, JH), pp. 1509–1514.
DATEDATE-2015-DubenSPYAEPP #big data #case study #energy #performance
Opportunities for energy efficient computing: a study of inexact general purpose processors for high-performance and big-data applications (PDD, JS, P, SY, JA, CCE, KVP, TNP), pp. 764–769.
DATEDATE-2015-FangHYZLG #estimation #fault #performance
Efficient bit error rate estimation for high-speed link by Bayesian model fusion (CF, QH, FY, XZ, XL, CG), pp. 1024–1029.
DATEDATE-2015-GarciaMSN #multi #performance
High performance single supply CMOS inverter level up shifter for multi: supply voltages domains (JCG, JAMN, JS, SN), pp. 1273–1276.
DATEDATE-2015-HadjisCSHTA #multi #synthesis
Profiling-driven multi-cycling in FPGA high-level synthesis (SH, AC, RS, YHA, HT, JA), pp. 31–36.
DATEDATE-2015-HanyuSOMNM #architecture #in memory #paradigm #power management #reliability #towards
Spintronics-based nonvolatile logic-in-memory architecture towards an ultra-low-power and highly reliable VLSI computing paradigm (TH, DS, NO, SM, MN, AM), pp. 1006–1011.
DATEDATE-2015-KhanhSKA #dependence #design #synthesis
Exploiting loop-array dependencies to accelerate the design space exploration with high level synthesis (PNK, AKS, AK, KMMA), pp. 157–162.
DATEDATE-2015-OborilET #monitoring #online
High-resolution online power monitoring for modern microprocessors (FO, JE, MBT), pp. 265–268.
DATEDATE-2015-SuHL #encoding #named #recognition #scalability
SubHunter: a high-performance and scalable sub-circuit recognition method with Prüfer-encoding (HYS, CHH, YLL), pp. 1583–1586.
DATEDATE-2015-TemanKGMB #embedded #energy #logic #trade-off
Energy versus data integrity trade-offs in embedded high-density logic compatible dynamic memories (AT, GK, RG, PAM, APB), pp. 489–494.
DATEDATE-2015-WeiDC #architecture #memory management #multi #scalability
A scalable and high-density FPGA architecture with multi-level phase change memory (CW, AD, DC), pp. 1365–1370.
DocEngDocEng-2015-KimCT #documentation #video
High-Quality Capture of Documents on a Cluttered Tabletop with a 4K Video Camera (CK, PC, HT), pp. 219–222.
DRRDRR-2015-Fan0N #documentation #image #performance
Separation of text and background regions for high performance document image compression (WF, JS, SN).
PODSPODS-2015-CateCST #ontology #using
High-Level Why-Not Explanations using Ontologies (BtC, CC, ES, WCT), pp. 31–43.
SIGMODSIGMOD-2015-BraunEGKKWAILL #database #performance #realtime
Analytics in Motion: High Performance Event-Processing AND Real-Time Analytics in the Same Database (LB, TE, GG, MK, DK, DW, AA, AI, EL, NL), pp. 251–264.
SIGMODSIGMOD-2015-ColgroveDHMSSTV #component #enterprise #named #performance
Purity: Building Fast, Highly-Available Enterprise Flash Storage from Commodity Components (JC, JDD, JH, ELM, CS, RS, AT, NV, FW), pp. 1683–1694.
SIGMODSIGMOD-2015-YuanWYC #big data #database #scalability
A Demonstration of Rubato DB: A Highly Scalable NewSQL Database System for OLTP and Big Data Applications (LYY, LW, JHY, YC), pp. 907–912.
VLDBVLDB-2015-FinisBK0MF
Indexing Highly Dynamic Hierarchical Data (JF, RB, AK, TN, NM, FF), pp. 986–997.
VLDBVLDB-2015-SundaramSPDAV0D #effectiveness #graph #named #performance
GraphMat: High performance graph analytics made productive (NS, NS, MMAP, SD, MJA, SGV, DD, PD), pp. 1214–1225.
TACASTACAS-2015-KantLMPBD #independence #model checking #named
LTSmin: High-Performance Language-Independent Model Checking (GK, AL, JM, JvdP, SB, TvD), pp. 692–707.
ICSMEICSME-2015-Fenske #configuration management #smell
Code smells in highly configurable software (WF), pp. 602–605.
ICSMEICSME-2015-TianNLH #android #case study #what
What are the characteristics of high-rated apps? A case study on free Android Applications (YT, MN, DL, AEH), pp. 301–310.
MSRMSR-2015-OhiraKYYMLFHIM #classification #dataset #debugging
A Dataset of High Impact Bugs: Manually-Classified Issue Reports (MO, YK, YY, HY, YM, NL, KF, HH, AI, KiM), pp. 518–521.
SANERSANER-2015-AlawnehHH #analysis #metamodelling #performance #towards
Towards a common metamodel for traces of high performance computing systems to enable software analysis tasks (LA, AHL, JH), pp. 111–120.
PLDIPLDI-2015-LongfieldNMT #self #specification
Preventing glitches and short circuits in high-level self-timed chip specifications (SLJ, BN, RM, RT), pp. 270–279.
PLDIPLDI-2015-MendisBWKRPZA #domain-specific language #kernel #named
Helium: lifting high-performance stencil kernels from stripped x86 binaries to halide DSL code (CM, JB, KW, SK, JRK, SP, QZ, SPA), pp. 391–402.
STOCSTOC-2015-AlwenS #complexity #graph #parallel
High Parallel Complexity Graphs and Memory-Hard Functions (JA, VS), pp. 595–603.
STOCSTOC-2015-GeHK #learning
Learning Mixtures of Gaussians in High Dimensions (RG, QH, SMK), pp. 761–770.
ICALPICALP-v1-2015-HemenwayW #linear
Linear-Time List Recovery of High-Rate Expander Codes (BH, MW), pp. 701–712.
ICALPICALP-v2-2015-Charron-BostFN #algorithm #approximate #network
Approximate Consensus in Highly Dynamic Networks: The Role of Averaging Algorithms (BCB, MF, TN), pp. 528–539.
ICFPICFP-2015-SteuwerFLD #functional #generative #performance #using
Generating performance portable code using rewrite rules: from high-level functional expressions to high-performance OpenCL code (MS, CF, SL, CD), pp. 205–217.
CHICHI-2015-AmmaKBS #interface
Advancing Muscle-Computer Interfaces with High-Density Electromyography (CA, TK, JB, TS), pp. 929–938.
CHICHI-2015-DavisK #learning #student
Investigating High School Students’ Perceptions of Digital Badges in Afterschool Learning (KD, EK), pp. 4043–4046.
CHICHI-2015-StraitVFSU #elicitation #interactive
Too Much Humanness for Human-Robot Interaction: Exposure to Highly Humanlike Robots Elicits Aversive Responding in Observers (MS, LV, VF, MS, HLU), pp. 3593–3602.
HCIHCI-UC-2015-Ujita #analysis #reliability #using
Accident Analysis by Using Methodology of Resilience Engineering, High Reliability Organization, and Risk Literacy (HU), pp. 358–369.
HCIHIMI-IKC-2015-SotokawaMNSI #detection #evaluation
Driving Evaluation of Mild Unilateral Spatial Neglect Patients-Three High-Risk Cases Undetected by BIT After Recovery (TS, TM, JN, YS, MI), pp. 253–261.
ICEISICEIS-v1-2015-SilvaHL #approach #hybrid #memory management
A Hybrid Memory Data Cube Approach for High Dimension Relations (RRS, CMH, JdCL), pp. 139–149.
ICEISICEIS-v2-2015-DutraPC #development #performance #question #what
What Are the Main Characteristics of High Performance Teams for Software Development? (ACSD, RP, TC), pp. 145–152.
ICEISICEIS-v2-2015-KriouileMA #as a service #configuration management #towards
Towards a High Configurable SaaS — To Deploy and Bind Auser-aware Tenancy of the SaaS (HK, ZM, BEA), pp. 674–679.
ICMLICML-2015-KandasamySP #modelling #optimisation
High Dimensional Bayesian Optimisation and Bandits via Additive Models (KK, JGS, BP), pp. 295–304.
ICMLICML-2015-QiuXHLC #estimation #matrix #process #robust
Robust Estimation of Transition Matrices in High Dimensional Heavy-tailed Vector Autoregressive Processes (HQ, SX, FH, HL, BC), pp. 1843–1851.
ICMLICML-2015-ThomasTG #policy
High Confidence Policy Improvement (PST, GT, MG), pp. 2380–2388.
KDDKDD-2015-ArlorioCLLP #assessment #authentication #data mining #mining
Exploiting Data Mining for Authenticity Assessment and Protection of High-Quality Italian Wines from Piedmont (MA, JDC, GL, ML, LP), pp. 1671–1680.
KDDKDD-2015-CaiTFJH #higher-order #mining #named #performance
Facets: Fast Comprehensive Mining of Coevolving High-order Time Series (YC, HT, WF, PJ, QH), pp. 79–88.
KDDKDD-2015-ChenXZX
Differentially Private High-Dimensional Data Publication via Sampling-Based Inference (RC, QX, YZ, JX), pp. 129–138.
KDDKDD-2015-SethiYRVR #classification #machine learning #scalability #using
Scalable Machine Learning Approaches for Neighborhood Classification Using Very High Resolution Remote Sensing Imagery (MS, YY, AR, RRV, SR), pp. 2069–2078.
KDDKDD-2015-ZhaoJCJ #estimation #parametricity #performance #quality
SAME but Different: Fast and High Quality Gibbs Parameter Estimation (HZ, BJ, JFC, BJ), pp. 1495–1502.
MLDMMLDM-2015-KrasotkinaM15a #analysis #approach
A Bayesian Approach to Sparse Cox Regression in High-Dimentional Survival Analysis (OK, VM), pp. 425–437.
MLDMMLDM-2015-ZidaFWLT #mining #performance
Efficient Mining of High-Utility Sequential Rules (SZ, PFV, CWW, JCWL, VST), pp. 157–171.
SEKESEKE-2015-GaoKN #set
Combining Feature Subset Selection and Data Sampling for Coping with Highly Imbalanced Software Data (KG, TMK, AN), pp. 439–444.
SEKESEKE-2015-LiuH #petri net #pipes and filters #verification
PIPE+Verifier — A Tool for Analyzing High Level Petri Nets (SL, XH), pp. 575–580.
SEKESEKE-2015-LiuL #architecture
An Exploration of System Architecture on Integrating Building Management System in High-Rise Building (ZL, YL), pp. 342–345.
SIGIRSIGIR-2015-HarveyHE #learning #query
Learning by Example: Training Users with High-quality Query Suggestions (MH, CH, DE), pp. 133–142.
SIGIRSIGIR-2015-RoegiestCCG #retrieval
Impact of Surrogate Assessments on High-Recall Retrieval (AR, GVC, CLAC, MRG), pp. 555–564.
SIGIRSIGIR-2015-YuM #graph #quality #similarity
High Quality Graph-Based Similarity Search (WY, JAM), pp. 83–92.
GPCEGPCE-2015-SelgradLKSL #generative #lightweight
Lightweight, generative variant exploration for high-performance graphics Applications (KS, AL, FK, MS, DL), pp. 141–150.
SACSAC-2015-ChengKWT #scalability #semantics #throughput #web
High throughput indexing for large-scale semantic web data (LC, SK, TEW, GT), pp. 416–422.
SACSAC-2015-Fournier-VigerZ #mining #named #performance
FOSHU: faster on-shelf high utility itemset mining — with or without negative unit profit (PFV, SZ), pp. 857–864.
SACSAC-2015-LagoMM #estimation #network #power management
High speed network impacts and power consumption estimation for cloud data centers (DGdL, ERMM, DM), pp. 615–620.
SACSAC-2015-QueirozH #capacity
Translating full duplexity into capacity gains for the high-priority traffic classes of IEEE 802.11 (SQ, RH), pp. 634–639.
ESEC-FSEESEC-FSE-2015-KargenS #slicing #source code #using
Turning programs against each other: high coverage fuzz-testing using binary-code mutation and dynamic slicing (UK, NS), pp. 782–792.
ESEC-FSEESEC-FSE-2015-SiegmundGAK #configuration management #modelling
Performance-influence models for highly configurable systems (NS, AG, SA, CK), pp. 284–294.
ICSEICSE-v1-2015-RheinGAS0B #configuration management
Presence-Condition Simplification in Highly Configurable Systems (AvR, AG, SA, NS, DB, TB), pp. 178–188.
ICSEICSE-v2-2015-Hanakawa #contest #learning #motivation #re-engineering #student
Contest Based Learning with Blending Software Engineering and Business Management: For Students’ High Motivation and High Practice Ability (NH), pp. 360–369.
ASPLOSASPLOS-2015-ZhangYMS #memory management #named #reliability
Mojim: A Reliable and Highly-Available Non-Volatile Memory System (YZ, JY, AM, SS), pp. 3–18.
HPCAHPCA-2015-ChrysosMRBV #named #network
SCOC: High-radix switches made of bufferless clos networks (NC, CM, MR, CB, BV), pp. 402–414.
HPCAHPCA-2015-JaleelNMSE #latency
High performing cache hierarchies for server workloads: Relaxing inclusion to capture the latency benefits of exclusive caches (AJ, JN, AM, SCSJ, JSE), pp. 343–353.
HPDCHPDC-2015-Deelman
High Impact Computing: Computing for Science and the Science of Computing (ED), p. 119.
HPDCHPDC-2015-GuoCWZ
Bidding for Highly Available Services with Low Price in Spot Instance Market (WG, KC, YW, WZ), pp. 191–202.
HPDCHPDC-2015-PatkiLSMRSS #performance #resource management
Practical Resource Management in Power-Constrained, High Performance Computing (TP, DKL, AS, MM, BR, MS, BRdS), pp. 121–132.
HPDCHPDC-2015-PokeH #named #network #replication #state machine
DARE: High-Performance State Machine Replication on RDMA Networks (MP, TH), pp. 107–118.
PPoPPPPoPP-2015-ChabbiFM #multi #performance
High performance locks for multi-level NUMA systems (MC, MWF, JMMC), pp. 215–226.
PPoPPPPoPP-2015-WangDPWRO #gpu #graph #library #named
Gunrock: a high-performance graph processing library on the GPU (YW, AAD, YP, YW, AR, JDO), pp. 265–266.
SOSPSOSP-2015-FangNXDL #memory management #scalability #source code
Interruptible tasks: treating memory pressure as interrupts for highly scalable data-parallel programs (LF, KN, G(X, BD, SL), pp. 394–409.
SOSPSOSP-2015-XieSLAK0 #composition #concurrent
High-performance ACID via modular concurrency control (CX, CS, CL, LA, MK, YW), pp. 279–294.
ASEASE-2014-ArifulinaWBP #composition #modelling #named
SeSAME: modeling and analyzing high-quality service compositions (SA, SW, MB, MCP), pp. 839–842.
ASEASE-2014-MatinnejadNBB #configuration management #modelling #scalability #testing #using
MiL testing of highly configurable continuous controllers: scalable search using surrogate models (RM, SN, LCB, TB), pp. 163–174.
ASEASE-2014-TurenneKGR #generative
A tool chain for generating the description files of highly available software (MT, AK, AG, SR), pp. 867–870.
CASECASE-2014-LiuKCY #functional #modelling #monitoring #parametricity
Model-driven parametric monitoring of high-dimensional nonlinear functional profiles (GL, CK, YC, HY), pp. 722–727.
CASECASE-2014-NodaMNKOI #behaviour #maintenance #online #predict
Online maintaining behavior of high-load and unstable postures based on whole-body load balancing strategy with thermal prediction (SN, MM, SN, YK, KO, MI), pp. 1166–1171.
CASECASE-2014-ParkLH #generative #performance #using
Trajectory generation method using Bézier spiral curves for high-speed on-road autonomous vehicles (BP, YCL, WYH), pp. 927–932.
CASECASE-2014-SachsMTS #energy #hybrid
Filter-based PV power smoothing control for island hybrid energy systems with high PV penetration (JS, BM, KT, OS), pp. 872–877.
DACDAC-2014-AbeyratneJKBDDM
Quality-of-Service for a High-Radix Switch (NA, SJ, YK, DB, RGD, RD, TNM), p. 6.
DACDAC-2014-AdirGGS #generative #network #testing #using
Using a High-Level Test Generation Expert System for Testing In-Car Networks (AA, AG, LG, TS), p. 6.
DACDAC-2014-CuiMSW #detection #hardware #runtime #synthesis
High-Level Synthesis for Run-Time Hardware Trojan Detection and Recovery (XC, KM, LS, KW), p. 6.
DACDAC-2014-DaiTHZ #pipes and filters #synthesis
Flushing-Enabled Loop Pipelining for High-Level Synthesis (SD, MT, KH, ZZ), p. 6.
DACDAC-2014-GaoLQ #flexibility
A Highly Flexible Ring Oscillator PUF (MG, KL, GQ), p. 6.
DACDAC-2014-GuglielmoPC #composition #design #synthesis
A Design Methodology for Compositional High-Level Synthesis of Communication-Centric SoCs (GDG, CP, LPC), p. 6.
DACDAC-2014-QiuLX #performance #power management
Write Mode Aware Loop Tiling for High Performance Low Power Volatile PCM (KQ, QL, CJX), p. 6.
DACDAC-2014-WenZMC #design #memory management #strict
State-Restrict MLC STT-RAM Designs for High-Reliable High-Performance Memory System (WW, YZ, MM, YC), p. 6.
DACDAC-2014-WuXKCH #named #simulation #statistics #towards
REscope: High-dimensional Statistical Circuit Simulation towards Full Failure Region Coverage (WW, WX, RK, YLC, LH), p. 6.
DATEDATE-2014-AlordaCB #embedded #power management #reliability
Word-line power supply selector for stability improvement of embedded SRAMs in high reliability applications (BA, CC, SAB), pp. 1–6.
DATEDATE-2014-AshammagariMH #configuration management #design #functional #performance #power management
Exploiting STT-NV technology for reconfigurable, high performance, low power, and low temperature functional unit design (ARA, HM, HH), pp. 1–6.
DATEDATE-2014-Bautista-GomezCCDFGPRR #how #named #reliability
GPGPUs: How to combine high computational power with high reliability (LABG, FC, LC, ND, BF, SG, KP, PR, MSR), pp. 1–9.
DATEDATE-2014-BournoutianO #framework #mobile #optimisation
On-device objective-C application optimization framework for high-performance mobile processors (GB, AO), pp. 1–6.
DATEDATE-2014-ChenCT #performance #simulation
An activity-sensitive contention delay model for highly efficient deterministic full-system simulations (SYC, CHC, RST), pp. 1–6.
DATEDATE-2014-GangopadhyayLNR #adaptation #analysis #linear #modelling #performance
Modeling and analysis of digital linear dropout regulators with adaptive control for high efficiency under wide dynamic range digital loads (SG, YL, SBN, AR), pp. 1–6.
DATEDATE-2014-GholipourCSC #modelling #scalability
Highly accurate SPICE-compatible modeling for single- and double-gate GNRFETs with studies on technology scaling (MG, YYC, AS, DC), pp. 1–6.
DATEDATE-2014-GuoWWH #automation #effectiveness #named #test coverage
EATBit: Effective automated test for binary translation with high code coverage (HG, ZW, CW, RH), pp. 1–6.
DATEDATE-2014-HsuCMGB #architecture #named #performance #validation
ArChiVED: Architectural checking via event digests for high performance validation (CHH, DC, RM, RG, VB), pp. 1–6.
DATEDATE-2014-Huang #performance
A high performance SEU-tolerant latch for nanoscale CMOS technology (ZH), pp. 1–5.
DATEDATE-2014-KhanSH #architecture #manycore #performance #power management #video
Software architecture of High Efficiency Video Coding for many-core systems with power-efficient workload balancing (MUKK, MS, JH), pp. 1–6.
DATEDATE-2014-LiuHL #approximate #configuration management #fault #multi #power management
A low-power, high-performance approximate multiplier with configurable partial error recovery (CL, JH, FL), pp. 1–4.
DATEDATE-2014-MeeusS #automation #reuse #synthesis
Automating data reuse in High-Level Synthesis (WM, DS), pp. 1–4.
DATEDATE-2014-NaqviS #resource management
A tree arbiter cell for high speed resource sharing in asynchronous environments (SRN, AS), pp. 1–6.
DATEDATE-2014-PalominoSASH #named #performance #video
hevcDTM: Application-driven Dynamic Thermal Management for High Efficiency Video Coding (DP, MS, HA, AAS, JH), pp. 1–4.
DATEDATE-2014-RanaC #analysis #named #reduction #scalability #simulation
SSFB: A highly-efficient and scalable simulation reduction technique for SRAM yield analysis (MR, RC), pp. 1–6.
DATEDATE-2014-SampaioSZBH #architecture #distributed #energy #memory management #named #performance #video
dSVM: Energy-efficient distributed Scratchpad Video Memory Architecture for the next-generation High Efficiency Video Coding (FS, MS, BZ, SB, JH), pp. 1–6.
DATEDATE-2014-TtofisT #hardware #image #realtime
High-quality real-time hardware stereo matching based on guided image filtering (CT, TT), pp. 1–6.
DATEDATE-2014-TurkyilmazCRBC #3d #integration #using
3D FPGA using high-density interconnect Monolithic Integration (OT, GC, OR, PB, FC), pp. 1–4.
DATEDATE-2014-ZhangYW #analysis #performance #problem
Efficient high-sigma yield analysis for high dimensional problems (MZ, ZY, YW), pp. 1–6.
DocEngDocEng-2014-KolbergFRF #analysis #documentation #performance #throughput #using
JAR tool: using document analysis for improving the throughput of high performance printing environments (MLK, LGF, MR, CF), pp. 175–178.
DRRDRR-2014-ChachraXADT #documentation #image
Extraction and labeling high-resolution images from PDF documents (SKC, ZX, SA, DDF, GRT), p. ?–9.
SIGMODSIGMOD-2014-Dev14a #community #detection #graph #precise #privacy #social
Privacy preserving social graphs for high precision community detection (HD), pp. 1615–1616.
SIGMODSIGMOD-2014-GaoJLO #named
DSH: data sensitive hashing for high-dimensional k-nnsearch (JG, HVJ, WL, BCO), pp. 1127–1138.
VLDBVLDB-2014-CaoYX #database #low cost #performance
Realization of the Low Cost and High Performance MySQL Cloud Database (WC, FY, JX), pp. 1742–1747.
VLDBVLDB-2014-KlonatosKRC #performance #query
Building Efficient Query Engines in a High-Level Language (YK, CK, TR, HC), pp. 853–864.
VLDBVLDB-2014-KlonatosKRC14a #performance #query
Errata for “Building Efficient Query Engines in a High-Level Language” (PVLDB 7(10): 853-864) (YK, CK, TR, HC), p. 1784.
VLDBVLDB-2014-Neumann #database
Engineering High-Performance Database Engines (TN0), pp. 1734–1741.
VLDBVLDB-2015-ChandramouliGBDPTW14 #incremental #named #query
Trill: A High-Performance Incremental Query Processor for Diverse Analytics (BC, JG, MB, RD, JCP, JFT, JW), pp. 401–412.
VLDBVLDB-2015-SunWQZL14 #approximate #named #nearest neighbour #query
SRS: Solving c-Approximate Nearest Neighbor Queries in High Dimensional Euclidean Space with a Tiny Index (YS, WW, JQ, YZ, XL), pp. 1–12.
CSEETCSEET-2014-AkpolatS #gamification #programming #re-engineering #student #using
Enhancing software engineering student team engagement in a high-intensity extreme programming course using gamification (BSA, WS), pp. 149–153.
CSEETCSEET-2014-FranklBK #development #learning
Learning and working together as prerequisites for the development of high-quality software (GF, SB, BK), pp. 154–157.
ITiCSEITiCSE-2014-BenottiMS #student #using
Engaging high school students using chatbots (LB, MCM, FS), pp. 63–68.
ICSMEICSME-2014-KashiwaYKO #case study #debugging
A Pilot Study of Diversity in High Impact Bugs (YK, HY, YK, MO), pp. 536–540.
PLDIPLDI-2014-DeVitoRFAH #generative #runtime #using
First-class runtime generation of high-performance types using exotypes (ZD, DR, MF, AA, PH), p. 11.
STOCSTOC-2014-RudraW
Every list-decodable code for high noise has abundant near-optimal rate puncturings (AR, MW), pp. 764–773.
LATALATA-2014-FormentiHKP #complexity
ω-rational Languages: High Complexity Classes vs. Borel Hierarchy (EF, MH, MK, JP), pp. 372–383.
IFLIFL-2014-Christiansen #low level #syntax
Type-Directed Elaboration of Quasiquotations: A High-Level Syntax for Low-Level Reflection (DRC), p. 1.
CHICHI-2014-GrauHRP #interactive
Mechanical force redistribution: enabling seamless, large-format, high-accuracy surface interaction (AMG, CH, JRR, KP), pp. 4137–4146.
CHICHI-2014-KimIDRKZSLBNBFP #3d #named #physics
RetroDepth: 3D silhouette sensing for high-precision input on and above physical surfaces (DK, SI, JD, CR, CK, CZ, JS, TAL, SB, MN, DAB, SF, VP), pp. 1377–1386.
CHICHI-2014-MellisB
Do-it-yourself cellphones: an investigation into the possibilities and limits of high-tech diy (DAM, LB), pp. 1723–1732.
CHICHI-2014-RamakersSL #mobile #named #physics
Paddle: highly deformable mobile devices with physical controls (RR, JS, KL), pp. 2569–2578.
CSCWCSCW-2014-ForteDMA #network #online #social #student #what
What do teens ask their online social networks?: social search practices among high school students (AF, MD, RMM, DEA), pp. 28–37.
HCIDUXU-DI-2014-RugenhagenH #design #development #game studies #research
Game Design Techniques in User Research Methods — A New Way to Reach the High Score in Development Teams (ER, TH), pp. 754–762.
HCIHCI-AIMT-2014-JakusGTS #artificial reality #evaluation #precise
Evaluation of Leap Motion Controller with a High Precision Optical Tracking System (GJ, JG, ST, JS), pp. 254–263.
HCIHCI-TMT-2014-SteigerLMKK #layout
Deterministic Local Layouts through High-Dimensional Layout Stitching (MS, HLT, TM, AK, JK), pp. 643–651.
HCIHCI-TMT-2014-SzklannySWCW #interface #metaprogramming #named #performance
SyncBox — Synchronizer and Interface for High-Speed Macro Photography (KS, AS, PW, SC, AW), pp. 652–661.
HCIHIMI-DE-2014-SakaguchiIH #development #performance #using
Development of High-Speed Thermal Display Using Water Flow (MS, KI, KH), pp. 233–240.
ICEISICEIS-v1-2014-LettnerZ #data analysis #framework #industrial #product line
A Data Analysis Framework for High-variety Product Lines in the Industrial Manufacturing Domain (CL, MZ), pp. 209–216.
ICEISICEIS-v2-2014-SimpsonC #enterprise
Vulnerability and Remediation for a High-assurance Web-based Enterprise (WRS, CC), pp. 119–128.
CIKMCIKM-2014-DavletovAC #predict #using
High Impact Academic Paper Prediction Using Temporal and Topological Features (FD, ASA, AC), pp. 491–498.
CIKMCIKM-2014-YuanWYC #big data #database #grid #scalability #staged
Rubato DB: A Highly Scalable Staged Grid Database System for OLTP and Big Data Applications (LYY, LW, JHY, YC), pp. 1–10.
CIKMCIKM-2014-ZhangWWLZ #community #quality #retrieval
Question Retrieval with High Quality Answers in Community Question Answering (KZ, WW, HW, ZL, MZ), pp. 371–380.
ECIRECIR-2014-SchnitzerFT #multi #retrieval
A Case for Hubness Removal in High-Dimensional Multimedia Retrieval (DS, AF, NT), pp. 687–692.
ICMLICML-c1-2014-KhaleghiR #consistency #estimation
Asymptotically consistent estimation of the number of change points in highly dependent time series (AK, DR), pp. 539–547.
ICMLICML-c2-2014-CelikLL #estimation #network #performance #reduction
Efficient Dimensionality Reduction for High-Dimensional Network Estimation (SC, BAL, SIL), pp. 1953–1961.
ICMLICML-c2-2014-ChenX #modelling #statistics
Statistical-Computational Phase Transitions in Planted Models: The High-Dimensional Setting (YC, JX), pp. 244–252.
ICMLICML-c2-2014-GaboardiAHRW #query
Dual Query: Practical Private Query Release for High Dimensional Data (MG, EJGA, JH, AR, ZSW), pp. 1170–1178.
ICMLICML-c2-2014-HeinemannG #modelling #visual notation
Inferning with High Girth Graphical Models (UH, AG), pp. 1260–1268.
ICMLICML-c2-2014-LiZ #higher-order #learning #problem
High Order Regularization for Semi-Supervised Learning of Structured Output Problems (YL, RSZ), pp. 1368–1376.
ICMLICML-c2-2014-WangLYFWY #algorithm #modelling #parallel #scalability
A Highly Scalable Parallel Algorithm for Isotropic Total Variation Models (JW, QL, SY, WF, PW, JY), pp. 235–243.
ICMLICML-c2-2014-YangLR #linear
Elementary Estimators for High-Dimensional Linear Regression (EY, ACL, PDR), pp. 388–396.
ICMLICML-c2-2014-Yi0WJJ #algorithm #clustering
A Single-Pass Algorithm for Efficiently Recovering Sparse Cluster Centers of High-dimensional Data (JY, LZ, JW, RJ, AKJ), pp. 658–666.
ICPRICPR-2014-Al-HalahRS #learning #metric #semantics #similarity #what
What to Transfer? High-Level Semantics in Transfer Metric Learning for Action Similarity (ZAH, LR, RS), pp. 2775–2780.
ICPRICPR-2014-AlbarelliCBT #3d #online
High-Coverage 3D Scanning through Online Structured Light Calibration (AA, LC, FB, AT), pp. 4080–4085.
ICPRICPR-2014-ElmikatyS #detection #image #multi #using
Car Detection in High-Resolution Urban Scenes Using Multiple Image Descriptors (ME, TS), pp. 4299–4304.
ICPRICPR-2014-GaoWXSZ #recognition #representation
Stroke Bank: A High-Level Representation for Scene Character Recognition (SG, CW, BX, CS, ZZ), pp. 2909–2913.
ICPRICPR-2014-HayamiTOSS
Super-high Dynamic Range Imaging (TH, MT, MO, TS, SS), pp. 720–725.
ICPRICPR-2014-HiltunenELK #comparison #performance
Comparison of General Object Trackers for Hand Tracking in High-Speed Videos (VH, TE, LL, HK), pp. 2215–2220.
ICPRICPR-2014-LeoMD #segmentation
Highly Usable and Accurate Iris Segmentation (ML, TDM, CD), pp. 2489–2494.
ICPRICPR-2014-LiYLMDWX #higher-order #multi
Multiple-Output Regression with High-Order Structure Information (CL, LY, QL, FM, WD, YW, JX), pp. 3868–3873.
ICPRICPR-2014-ScottEMFA #pattern matching #scalability
GPU-Based PostgreSQL Extensions for Scalable High-Throughput Pattern Matching (GJS, ME, KM, ZF, DTA), pp. 1880–1885.
ICPRICPR-2014-SuL #detection
High-Stakes Deception Detection Based on Facial Expressions (LS, MDL), pp. 2519–2524.
ICPRICPR-2014-XiaoCJF #recognition #using
Position-Based Action Recognition Using High Dimension Index Tree (QX, JC, JJ, WF), pp. 4400–4405.
KDDKDD-2014-HoGS #health #named
Marble: high-throughput phenotyping from electronic health records via sparse nonnegative tensor factorization (JCH, JG, JS), pp. 115–124.
KDDKDD-2014-PurushothamMKO #feature model #higher-order #interactive #learning #modelling
Factorized sparse learning models with interpretable high order feature interactions (SP, MRM, CCJK, RO), pp. 552–561.
KDDKDD-2014-YangKSG #modelling #scalability #topic #twitter
Large-scale high-precision topic modeling on twitter (SHY, AK, AS, PG), pp. 1907–1916.
MLDMMLDM-2014-SandovalH #learning #network #using
Learning of Natural Trading Strategies on Foreign Exchange High-Frequency Market Data Using Dynamic Bayesian Networks (JS, GH), pp. 408–421.
SIGIRSIGIR-2014-LiWRM #classification #interactive #named #query #retrieval
ReQ-ReC: high recall retrieval with query pooling and interactive classification (CL, YW, PR, QM), pp. 163–172.
OOPSLAOOPSLA-2014-BosboomRWA #compilation #named #programming
StreamJIT: a commensal compiler for high-performance stream programming (JB, SR, WFW, SPA), pp. 177–195.
OOPSLAOOPSLA-2014-KumarH #compilation #matlab #named #performance
MIX10: compiling MATLAB to X10 for high performance (VK, LJH), pp. 617–636.
ASPLOSASPLOS-2014-ChenDSWWCT #named #ubiquitous
DianNao: a small-footprint high-throughput accelerator for ubiquitous machine-learning (TC, ZD, NS, JW, CW, YC, OT), pp. 269–284.
ASPLOSASPLOS-2014-JungCSK #array #named #performance
Triple-A: a Non-SSD based autonomic all-flash array for high performance storage systems (MJ, WC, JS, MTK), pp. 441–454.
ASPLOSASPLOS-2014-RuwaseKGM #approach #hardware #named
Guardrail: a high fidelity approach to protecting hardware devices from buggy drivers (OR, MAK, PBG, TCM), pp. 655–670.
ASPLOSASPLOS-2014-VoskuilenV
High-performance fractal coherence (GV, TNV), pp. 701–714.
HPCAHPCA-2014-0001A #clustering #energy
Implications of high energy proportional servers on cluster-wide energy proportionality (DW, MA), pp. 142–153.
HPCAHPCA-2014-EmmaBHKPYHBM #3d
3D stacking of high-performance processors (PGE, AB, MBH, KK, VP, RY, AH, PB, JHM), pp. 500–511.
HPCAHPCA-2014-HuangHFS #concurrent #data transformation #detection #metadata #runtime
Low-overhead and high coverage run-time race detection through selective meta-data management (RCH, EH, AF, GES), pp. 96–107.
HPCAHPCA-2014-KimLJK #architecture #gpu #memory management #named #using
GPUdmm: A high-performance and memory-oblivious GPU architecture using dynamic memory management (YK, JL, JEJ, JK), pp. 546–557.
HPCAHPCA-2014-PeraisS
Practical data value speculation for future high-end processors (AP, AS), pp. 428–439.
HPCAHPCA-2014-WangDDS #concurrent #memory management #multi #named #predict #source code #thread
DraMon: Predicting memory bandwidth usage of multi-threaded programs with high accuracy and low overhead (WW, TD, JWD, MLS), pp. 380–391.
HPDCHPDC-2014-MittalVL #embedded #energy #performance
Improving energy efficiency of embedded DRAM caches for high-end computing systems (SM, JSV, DL), pp. 99–110.
HPDCHPDC-2014-TangMEL0G #manycore #scalability
Data filtering for scalable high-dimensional k-NN search on multicore systems (XT, SM, DME, KCL, ZH, MG), pp. 305–310.
ISMMISMM-2014-TereiAV #component #memory management #named #off the shelf
M3: high-performance memory management from off-the-shelf components (DT, AA, JV), pp. 3–13.
OSDIOSDI-2014-BelayPKGKB #latency #named #operating system #throughput
IX: A Protected Dataplane Operating System for High Throughput and Low Latency (AB, GP, AK, SG, CK, EB), pp. 49–65.
PPoPPPPoPP-2014-BauerTA #named #performance
Singe: leveraging warp specialization for high performance on GPUs (MB, ST, AA), pp. 119–130.
PPoPPPPoPP-2014-ChiangGRS #fault #float #performance
Efficient search for inputs causing high floating-point errors (WFC, GG, ZR, AS), pp. 43–52.
PPoPPPPoPP-2014-MaAC #algorithm #analysis #manycore #thread
Theoretical analysis of classic algorithms on highly-threaded many-core GPUs (LM, KA, RDC), pp. 391–392.
PPoPPPPoPP-2014-RodriguesJDH #algorithm #clustering #interface #named #programming
Triolet: a programming system that unifies algorithmic skeleton interfaces for high-performance cluster computing (CIR, TBJ, AD, WmWH), pp. 247–258.
ICSTSAT-2014-Bulatov0 #approximate #random #satisfiability
Approximating Highly Satisfiable Random 2-SAT (AAB, CW), pp. 384–398.
CASECASE-2013-ChenCLZZF #assembly #automation #performance #process
Performance improvement for high accuracy assembly process in manufacturing automation (HC, HC, JL, BZ, GZ, TAF), pp. 540–545.
CASECASE-2013-LaLBGYMRP #evaluation
Autonomous robotic system for high-efficiency non-destructive bridge deck inspection and evaluation (HML, RSL, BB, NG, JY, AM, FAR, HP), pp. 1053–1058.
DACDAC-2013-AlleMD #analysis #dependence #pipes and filters #runtime #synthesis
Runtime dependency analysis for loop pipelining in high-level synthesis (MA, AM, SD), p. 10.
DACDAC-2013-BombieriLFC #c++ #synthesis
A method to abstract RTL IP blocks into C++ code and enable high-level synthesis (NB, HYL, FF, LPC), p. 9.
DACDAC-2013-CarloYM #3d #induction #integration #on the #power management
On the potential of 3D integration of inductive DC-DC converter for high-performance power delivery (SC, WY, SM), p. 8.
DACDAC-2013-ChandrikakuttyUTW #hardware #monitoring #network
High-performance hardware monitors to protect network processors from data plane attacks (HC, DU, RT, TW), p. 6.
DACDAC-2013-DevWR #3d #integration #testing #using
High-throughput TSV testing and characterization for 3D integration using thermal mapping (KD, GW, SR), p. 6.
DACDAC-2013-HeHCKLCY #integration #quality
Ripple 2.0: high quality routability-driven placement via global router integration (XH, TH, WKC, JK, KCL, WC, EFYY), p. 6.
DACDAC-2013-KarnikPB #power management
Power management and delivery for high-performance microprocessors (TK, M(P, SB), p. 3.
DACDAC-2013-LeeLL #3d
Power benefit study for ultra-high density transistor-level monolithic 3D ICs (YJL, DBL, SKL), p. 10.
DACDAC-2013-LiuC #on the #synthesis
On learning-based methods for design-space exploration with high-level synthesis (HYL, LPC), p. 7.
DACDAC-2013-NacciRBSBA #algorithm #implementation #synthesis
A high-level synthesis flow for the implementation of iterative stencil loop algorithms on FPGA devices (AAN, VR, FB, DS, IB, DA), p. 6.
DACDAC-2013-SunWL #design #memory management #power management
Cross-layer racetrack memory design for ultra high density and low power consumption (ZS, WW, HHL), p. 6.
DACDAC-2013-WagstaffGFT #architecture #partial evaluation #set
Early partial evaluation in a JIT-compiled, retargetable instruction set simulator generated from a high-level architecture description (HW, MG, BF, NPT), p. 6.
DACDAC-2013-Wang #fault #reliability
Hierarchical decoding of double error correcting codes for high speed reliable memories (ZW), p. 7.
DACDAC-2013-WangLZZC #array #clustering #memory management #multi #synthesis
Memory partitioning for multidimensional arrays in high-level synthesis (YW, PL, PZ, CZ, JC), p. 8.
DATEDATE-2013-AliasDP #kernel #optimisation #synthesis
Optimizing remote accesses for offloaded kernels: application to high-level synthesis for FPGA (CA, AD, AP), pp. 575–580.
DATEDATE-2013-BarrioHMMM #multi #synthesis
Multispeculative additive trees in high-level synthesis (AADB, RH, SOM, JMM, MCM), pp. 188–193.
DATEDATE-2013-CanisAB #multi #reduction #synthesis
Multi-pumping for resource reduction in FPGA high-level synthesis (AC, JHA, SDB), pp. 194–197.
DATEDATE-2013-CastellanaF #analysis #independence #liveness #scheduling #synthesis
Scheduling independent liveness analysis for register binding in high level synthesis (VGC, FF), pp. 1571–1574.
DATEDATE-2013-ChenLSCCAN #embedded #modelling #synthesis
High-level modeling and synthesis for embedded FPGAs (XC, SL, JS, TC, AC, GA, TGN), pp. 1565–1570.
DATEDATE-2013-ChenZ #design #optimisation
Resource-constrained high-level datapath optimization in ASIP design (YC, HZ), pp. 198–201.
DATEDATE-2013-GaoGB #fault tolerance #scheduling #using
Using explicit output comparisons for fault tolerant scheduling (FTS) on modern high-performance processors (YG, SKG, MAB), pp. 927–932.
DATEDATE-2013-HuNRK #detection #hardware #multimodal #using
High-sensitivity hardware trojan detection using multimodal characterization (KH, ANN, SR, FK), pp. 1271–1276.
DATEDATE-2013-KahngLN #design #estimation #metamodelling #problem
Enhanced metamodeling techniques for high-dimensional IC design estimation problems (ABK, BL, SN), pp. 1861–1866.
DATEDATE-2013-KondratyevLMW #evaluation #synthesis
Share with care: a quantitative evaluation of sharing approaches in high-level synthesis (AK, LL, MM, YW), pp. 1547–1552.
DATEDATE-2013-LeeVTS #design #energy #performance
Design of low energy, high performance synchronous and asynchronous 64-point FFT (WL, VSV, ART, KSS), pp. 242–247.
DATEDATE-2013-LindwerP #integration #mobile
High-performance imaging subsystems and their integration in mobile devices (ML, MRP), p. 170.
DATEDATE-2013-Mitcheson #adaptation #energy #performance #question
Adaptable, high performance energy harvesters: can energy harvesting deliver enough power for automotive electronics? (PDM), p. 482.
DATEDATE-2013-ParkCA #energy
Non-speculative double-sampling technique to increase energy-efficiency in a high-performance processor (JP, AC, JAA), pp. 254–257.
DATEDATE-2013-RenPRKWEK #performance #synthesis
Intuitive ECO synthesis for high performance circuits (HR, RP, LNR, SK, CW, JE, JK), pp. 1002–1007.
DATEDATE-2013-WangW #named #performance #ram
TreeFTL: efficient RAM management for high performance of NAND flash-based storage systems (CW, WFW), pp. 374–379.
DATEDATE-2013-YaoYW #adaptation #analysis #modelling #online #performance
Efficient importance sampling for high-sigma yield analysis with adaptive online surrogate modeling (JY, ZY, YW), pp. 1291–1296.
DATEDATE-2013-ZhaoOX #process #synthesis
Profit maximization through process variation aware high level synthesis with speed binning (MZ, AO, CJX), pp. 176–181.
ICDARICDAR-2013-BreuelUAS #network #using
High-Performance OCR for Printed English and Fraktur Using LSTM Networks (TMB, AUH, MIAAA, FS), pp. 683–687.
ICDARICDAR-2013-MaraK #3d #invariant
Vectorization of 3D-Characters by Integral Invariant Filtering of High-Resolution Triangular Meshes (HM, SK), pp. 62–66.
ICDARICDAR-2013-SankaranJ #detection #fault
Error Detection in Highly Inflectional Languages (NS, CVJ), pp. 1135–1139.
SIGMODSIGMOD-2013-MozafariCJM #modelling #performance
Performance and resource modeling in highly-concurrent OLTP workloads (BM, CC, AJ, SM), pp. 301–312.
SIGMODSIGMOD-2013-ZhangR #case study #scalability #towards
Towards high-throughput gibbs sampling at scale: a study across storage managers (CZ, CR), pp. 397–408.
VLDBVLDB-2013-AjiWVLL0S #named #performance #pipes and filters
Hadoop-GIS: A High Performance Spatial Data Warehousing System over MapReduce (AA, FW, HV, RL, QL, XZ, JHS), pp. 1009–1020.
VLDBVLDB-2013-FuhryZSNP #named
PLASMA-HD: Probing the LAttice Structure and MAkeup of High-dimensional Data (DF, YZ, VS, AN, SP), pp. 1318–1321.
VLDBVLDB-2013-SchalerGSSKS #named
QuEval: Beyond high-dimensional indexing a la carte (MS, AG, RS, SS, VK, GS), pp. 1654–1665.
VLDBVLDB-2014-BailisDFGHS13 #transaction
Highly Available Transactions: Virtues and Limitations (PB, AD, AF, AG, JMH, IS), pp. 181–192.
VLDBVLDB-2014-CaoR13 #clustering #performance #query
High Performance Stream Query Processing With Correlation-Aware Partitioning (LC, EAR), pp. 265–276.
CSEETCSEET-2013-StejskalS #learning #testing
Test-driven learning in high school computer science (RS, HPS), pp. 289–293.
ITiCSEITiCSE-2013-LakanenI #student
High school students’ perspective to university CS1 (AJL, VI), pp. 261–266.
ITiCSEITiCSE-2013-LapidotR #education
Supporting high school computer science teachers in writing academic papers (TL, NR), p. 325.
TACASTACAS-2013-AbdullaHHJR #concurrent #data type #specification #verification
An Integrated Specification and Verification Technique for Highly Concurrent Data Structures (PAA, FH, LH, BJ, AR), pp. 324–338.
ICPCICPC-2013-MondalRS #co-evolution #empirical #identification
Insight into a method co-change pattern to identify highly coupled methods: An empirical study (MM, CKR, KAS), pp. 103–112.
ICSMEICSM-2013-QianPXJZ #logic #mining #programming
Mining Logical Clones in Software: Revealing High-Level Business and Programming Rules (WQ, XP, ZX, SJ, WZ), pp. 40–49.
MSRMSR-2013-WangDZCXZ #api #mining #source code
Mining succinct and high-coverage API usage patterns from source code (JW, YD, HZ, KC, TX, DZ), pp. 319–328.
WCREWCRE-2013-HallerSB #c #c++ #data type #detection #named
MemPick: High-level data structure detection in C/C++ binaries (IH, AS, HB), pp. 32–41.
PLDIPLDI-2013-DeVitoHAHV #multi #named
Terra: a multi-stage language for high-performance computing (ZD, JH, AA, PH, JV), pp. 105–116.
ICALPICALP-v1-2013-DeDS #algorithm #analysis #difference #fourier #geometry #robust
A Robust Khintchine Inequality, and Algorithms for Computing Optimal Constants in Fourier Analysis and High-Dimensional Geometry (AD, ID, RAS), pp. 376–387.
LATALATA-2013-BolligCHKS #automaton #branch #communication
Dynamic Communicating Automata and Branching High-Level MSCs (BB, AC, LH, AK, TS), pp. 177–189.
IFLIFL-2013-GrelckW #adaptation #array #functional #generative #performance
Next Generation Asynchronous Adaptive Specialization for Data-Parallel Functional Array Processing in SAC: Accelerating the Availability of Specialized High Performance Code (CG, HW), p. 117.
CHICHI-2013-BullingWG #behaviour #named #recognition #visual notation
EyeContext: recognition of high-level contextual cues from human visual behaviour (AB, CW, HG), pp. 305–308.
CHICHI-2013-NancelCPYIB #scalability #using
High-precision pointing on large wall displays using small handheld devices (MN, OC, EP, XDY, PPI, MBL), pp. 831–840.
CHICHI-2013-RoudautKLS #flexibility #mobile #named #self #towards
Morphees: toward high “shape resolution” in self-actuated flexible mobile devices (AR, AK, ML, SS), pp. 593–602.
CHICHI-2013-SteimleJM13a #flexibility #interactive #named
Flexpad: highly flexible bending interactions for projected handheld displays (JS, AJ, PM), pp. 237–246.
HCIHIMI-HSM-2013-HeinCCMLG #coordination #simulation
Developing a High-Fidelity Simulation and Training to Improve Coordination between Aerospace Specializations (MH, PC, PC, RM, GL, AG), pp. 66–75.
HCIHIMI-LCCB-2013-KangL #performance #student
The Display Medium, Academic Major and Sex Effect of High School Students on Visuospatial Abilities Test Performance (YYK, YHL), pp. 70–78.
HCIOCSC-2013-ValdespinoZW #education #in the cloud #modelling
A High-School Homeschooling Education Model Based on Cloud Computing (JV, WZ, JW), pp. 216–221.
HILTHILT-2013-Bjorner #development #modulo theories #satisfiability
Satisfiability modulo theories for high integrity development (NB), pp. 5–6.
HILTHILT-2013-Chaki #bound #model checking
Bounded model checking of high-integrity software (SC), pp. 9–10.
CIKMCIKM-2013-GilpinQD #clustering #dataset #performance #scalability
Efficient hierarchical clustering of large high dimensional datasets (SG, BQ, ID), pp. 1371–1380.
CIKMCIKM-2013-LefortierOSS #crawling
Timely crawling of high-quality ephemeral new content (DL, LO, ES, PS), pp. 745–750.
CIKMCIKM-2013-VanderbauwhedeFACM #throughput #using
High throughput filtering using FPGA-acceleration (WV, AF, LA, SRC, MM), pp. 1245–1248.
ECIRECIR-2013-ZhuGCLN #graph #query #recommendation
Recommending High Utility Query via Session-Flow Graph (XZ, JG, XC, YL, WN), pp. 642–655.
ICMLICML-c1-2013-KolarL #classification #feature model
Feature Selection in High-Dimensional Classification (MK, HL), pp. 329–337.
ICMLICML-c2-2013-HanL #estimation #matrix
Transition Matrix Estimation in High Dimensional Time Series (FH, HL), pp. 172–180.
ICMLICML-c3-2013-Cho #image
Simple Sparsification Improves Sparse Denoising Autoencoders in Denoising Highly Corrupted Images (KC), pp. 432–440.
ICMLICML-c3-2013-CovielloMCL #exclamation #performance
That was fast! Speeding up NN search of high dimensional distributions (EC, AM, ABC, GRGL), pp. 468–476.
KDDKDD-2013-MaiyaTLR #analysis #documentation
Exploratory analysis of highly heterogeneous document collections (ASM, JPT, FLL, RMR), pp. 1375–1383.
KDDKDD-2013-SunBK #identification #optimisation #polynomial
Quadratic optimization to identify highly heritable quantitative traits from complex phenotypic features (JS, JB, HRK), pp. 811–819.
KDDKDD-2013-Vatsavai #approach #learning #multi #using
Gaussian multiple instance learning approach for mapping the slums of the world using very high resolution imagery (RRV), pp. 1419–1426.
KDDKDD-2013-WuLYT #mining #sequence
Mining high utility episodes in complex event sequences (CWW, YFL, PSY, VST), pp. 536–544.
MLDMMLDM-2013-AllahSG #algorithm #array #dataset #mining #performance #scalability
An Efficient and Scalable Algorithm for Mining Maximal — High Confidence Rules from Microarray Dataset (WZAA, YKES, FFMG), pp. 352–366.
MLDMMLDM-2013-YasojimaFBOS #analysis #case study #generative #power management
Partial Discharge Analysis and Inspection Alert Generation in High Power Transformers: A Case Study of an Autotransformer Bank at Eletrobrás-ELETRONORTE Vila do Conde Station (CTKY, MSF, FdSB, TFdO, AMdS), pp. 367–378.
OOPSLAOOPSLA-2013-RavichandranP #distributed #multi #named
Multiverse: efficiently supporting distributed high-level speculation (KR, SP), pp. 533–552.
GPCEGPCE-2013-Richard-FoyBJ #abstraction #performance #programming #web
Efficient high-level abstractions for web programming (JRF, OB, JMJ), pp. 53–60.
GPCEGPCE-2013-SujeethGBLROO #declarative #domain-specific language #generative #implementation #named #performance #specification
Forge: generating a high performance DSL implementation from a declarative specification (AKS, AG, KJB, HL, TR, MO, KO), pp. 145–154.
POPLPOPL-2013-JensenBK #logic #low level
High-level separation logic for low-level code (JBJ, NB, AK), pp. 301–314.
POPLPOPL-2013-RompfSABJLJOO #compilation #data type #optimisation #source code #staging
Optimizing data structures in high-level programs: new directions for extensible compilers based on staging (TR, AKS, NA, KJB, VJ, HL, MJ, KO, MO), pp. 497–510.
SACSAC-2013-RezguiMY
High-resolution spatial interpolation on cloud platforms (AR, ZM, CY), pp. 377–382.
ESEC-FSEESEC-FSE-2013-MarinescuC #named #testing
KATCH: high-coverage testing of software patches (PDM, CC), pp. 235–245.
ICSEICSE-2013-Rimba #assurance #security #using
Building high assurance secure applications using security patterns for capability-based platforms (PR), pp. 1401–1404.
HPCAHPCA-2013-AbeyratneDLSGDBM #scalability #symmetry #towards
Scaling towards kilo-core processors with asymmetric high-radix topologies (NA, RD, QL, KS, BG, RGD, DB, TNM), pp. 496–507.
HPCAHPCA-2013-BaekLNLK #capacity #effectiveness #named
ECM: Effective Capacity Maximizer for high-performance compressed caching (SB, HGL, CN, JL, JK), pp. 131–142.
HPCAHPCA-2013-BeuPHC #performance #verification
High-speed formal verification of heterogeneous coherence hierarchies (JGB, JAP, ERH, TMC), pp. 566–577.
HPCAHPCA-2013-CarterABCDDFGGKLMMPTTVVX #architecture #named #ubiquitous
Runnemede: An architecture for Ubiquitous High-Performance Computing (NPC, AA, SB, RC, HD, DD, JBF, IG, RAG, RCK, RL, BM, AKM, WRP, JT, JT, NV, GV, JX), pp. 198–209.
HPCAHPCA-2013-LiZL #distributed #generative
Enabling distributed generation powered sustainable high-performance data center (CL, RZ, TL), pp. 35–46.
HPCAHPCA-2013-LiZL13a #energy #interface #memory management
Exploring high-performance and energy proportional interface for phase change memory systems (ZL, RZ, TL), pp. 210–221.
HPCAHPCA-2013-QianHSQ #dependence #memory management #named #parallel #performance
Rainbow: Efficient memory dependence recording with high replay parallelism for relaxed memory model (XQ, HH, BS, DQ), pp. 554–565.
HPCAHPCA-2013-ZhuR #energy #mobile #web
High-performance and energy-efficient mobile web browsing on big/little systems (YZ, VJR), pp. 13–24.
HPDCHPDC-2013-CadambiCLPRSC #middleware #multi #named #performance #reliability
COSMIC: middleware for high performance and reliable multiprocessing on xeon phi coprocessors (SC, GC, CHL, RP, KR, MS, STC), pp. 215–226.
HPDCHPDC-2013-MaratheHLSRSY #case study #comparative
A comparative study of high-performance computing on the cloud (AM, RH, DKL, BRdS, BR, MS, XY), pp. 239–250.
HPDCHPDC-2013-ZhangODJ #clustering #framework #implementation #manycore #named
Orthrus: a framework for implementing high-performance collective I/O in the multicore clusters (XZ, JO, KD, SJ), pp. 113–114.
LCTESLCTES-2013-LiJZHX #compilation #performance #power management
Compiler directed write-mode selection for high performance low power volatile PCM (QL, LJ, YZ, YH, CJX), pp. 101–110.
PPoPPPPoPP-2013-XiangS #compilation #concurrent #data type #performance
Compiler aided manual speculation for high performance concurrent data structures (LX, MLS), pp. 47–56.
CAVCAV-2013-UhlerD #automation #named #query #smt #symbolic computation
Smten: Automatic Translation of High-Level Symbolic Computations into SMT Queries (RU, ND), pp. 678–683.
ICSTICST-2013-DadeauCLTVBT #evaluation #generative #testing
Test Generation and Evaluation from High-Level Properties for Common Criteria Evaluations — The TASCCC Testing Tool (FD, KCC, YL, TT, GV, JB, ST), pp. 431–438.
CASECASE-2012-DunnTRR #mining #navigation
High accuracy inertial navigation for underground mining machinery (MTD, JPT, PBR, DCR), pp. 1179–1183.
CASECASE-2012-KammererP #fault #flexibility #geometry
High accuracy patient positioning system: geometric and elastic error calibration of a flexible model (NK, YP), pp. 1033–1039.
CASECASE-2012-LeeHJKL #matlab #simulation #using
Dynamic simulation of radial active magnetic bearing system for high speed rotor using ADAMS and MATLAB co-simulation (KCL, DKH, YHJ, CYK, MCL), pp. 880–885.
CASECASE-2012-NguyenOTMA #multi #performance
High-speed autofocusing of multisized microobjects (CNN, KO, TT, YM, TA), pp. 34–39.
DACDAC-2012-CongL #architecture #metric #optimisation #synthesis
A metric for layout-friendly microarchitecture optimization in high-level synthesis (JC, BL), pp. 1239–1244.
DACDAC-2012-CongZZ #memory management #optimisation #synthesis
Optimizing memory hierarchy allocation with loop transformations for high-level synthesis (JC, PZ, YZ), pp. 1233–1238.
DACDAC-2012-KelleyWSRH #interface
Removing overhead from high-level interfaces (KK, MW, JPS, SR, MH), pp. 783–789.
DACDAC-2012-SatpathyDDMSB #multi #quality #self
High radix self-arbitrating switch fabric with multiple arbitration schemes and quality of service (SS, RD, RGD, TNM, DS, DB), pp. 406–411.
DACDAC-2012-WardDP #automation #evaluation #learning #named
PADE: a high-performance placer with automatic datapath extraction and evaluation through high dimensional data learning (SIW, DD, DZP), pp. 756–761.
DACDAC-2012-ZhangLWFW #higher-order #performance #reduction
Fast nonlinear model order reduction via associated transforms of high-order volterra transfer functions (YZ, HL, QW, NF, NW), pp. 289–294.
DATEDATE-2012-AkbariSFB #3d #low cost #named #performance #reliability
AFRA: A low cost high performance reliable routing for 3D mesh NoCs (SA, AS, MF, RB), pp. 332–337.
DATEDATE-2012-AksanliRM #energy #network
Benefits of green energy and proportionality in high speed wide area networks connecting data centers (BA, TSR, IM), pp. 175–180.
DATEDATE-2012-BattezzatiCMS #algorithm #architecture #industrial #novel
SURF algorithm in FPGA: A novel architecture for high demanding industrial applications (NB, SC, MM, LS), pp. 161–162.
DATEDATE-2012-BeniniFFM #composition #ecosystem #embedded #named #scalability
P2012: Building an ecosystem for a scalable, modular and high-efficiency embedded computing accelerator (LB, EF, DF, DM), pp. 983–987.
DATEDATE-2012-ChenSZX #3d #named #physics #synthesis
3DHLS: Incorporating high-level synthesis in physical planning of three-dimensional (3D) ICs (YC, GS, QZ, YX), pp. 1185–1190.
DATEDATE-2012-DuVM #latency #performance #reliability
High performance reliable variable latency carry select addition (KD, PJV, KM), pp. 1257–1262.
DATEDATE-2012-KondratyevLMW #synthesis #trade-off
Exploiting area/delay tradeoffs in high-level synthesis (AK, LL, MM, YW), pp. 1024–1029.
DATEDATE-2012-KwongG #architecture #constant #geometry #performance
A high performance split-radix FFT with constant geometry architecture (JK, MG), pp. 1537–1542.
DATEDATE-2012-LiuMG #estimation #performance #problem
A fast analog circuit yield estimation method for medium and high dimensional problems (BL, JM, GGEG), pp. 751–756.
DATEDATE-2012-LiuPC #composition #design #synthesis
Compositional system-level design exploration with planning of high-level synthesis (HYL, MP, LPC), pp. 641–646.
DATEDATE-2012-LuMS #abstraction #modelling #prototype #transaction
Accurately timed transaction level models for virtual prototyping at high abstraction level (KL, DMG, US), pp. 135–140.
DATEDATE-2012-Mancini #kernel #memory management #synthesis
Enhancing non-linear kernels by an optimized memory hierarchy in a High Level Synthesis flow (SM, FR), pp. 1130–1133.
DATEDATE-2012-SahlbachWE
A high-performance dense block matching solution for automotive 6D-vision (HS, SW, RE), pp. 268–271.
DATEDATE-2012-WangTLG #runtime
Runtime power estimator calibration for high-performance microprocessors (HW, SXDT, XL, AG), pp. 352–357.
SIGMODSIGMOD-2012-MozafariZZ #xml
High-performance complex event processing over XML streams (BM, KZ, CZ), pp. 253–264.
SIGMODSIGMOD-2012-RosnerHGPB #correlation #performance #word
Fast sampling word correlations of high dimensional text data (abstract only) (FR, AH, MG, MP, AB), p. 866.
VLDBVLDB-2012-AgarwalRB #clustering #graph #identification #realtime
Real Time Discovery of Dense Clusters in Highly Dynamic Graphs: Identifying Real World Events in Highly Dynamic Environments (MKA, KR, MB), pp. 980–991.
ITiCSEITiCSE-2012-Asad #image #student
Junior high school students performing image smoothening and noise filtering by applying mathematical operations (KA), p. 390.
ITiCSEITiCSE-2012-Bargury #education
A new curriculum for junior-high in computer science (IZB), pp. 204–208.
ITiCSEITiCSE-2012-Rabin #student
Never too early to begin: computer science for high-school students (MOR), p. 1.
ITiCSEITiCSE-2012-SettleFHSJRW #education
Infusing computational thinking into the middle- and high-school curriculum (AS, BF, RH, FS, CJ, CRM, BW), pp. 22–27.
ITiCSEITiCSE-2012-SperlingL #machine learning #re-engineering #student
Integrating AI and machine learning in software engineering course for high school students (AS, DL), pp. 244–249.
TACASTACAS-2012-JegourelLS #framework #model checking #performance #statistics
A Platform for High Performance Statistical Model Checking — PLASMA (CJ, AL, SS), pp. 498–503.
CSMRCSMR-2012-BinunK #design pattern #detection #named
DPJF — Design Pattern Detection with High Accuracy (AB, GK), pp. 245–254.
ICPCICPC-2012-JbaraMF #kernel #linux
High-MCC functions in the Linux kernel (AJ, AM, DGF), pp. 83–92.
ICSMEICSM-2012-FadhelKLW #detection #search-based
Search-based detection of high-level model changes (AbF, MK, PL, MW), pp. 212–221.
PLDIPLDI-2012-DubachCRBF #architecture #compilation
Compiling a high-level language for GPUs: (via language support for architectures and compilers) (CD, PC, RMR, DFB, SJF), pp. 1–12.
ICFPICFP-2012-Olukotun #domain-specific language #embedded #performance
High performance embedded domain specific languages (KO), pp. 139–140.
ICFPICFP-2012-PikeWNG #case study #compilation #experience
Experience report: a do-it-yourself high-assurance compiler (LP, NW, SN, AG), pp. 335–340.
ICFPICFP-2012-Sheard #design #programming #reduction
Painless programming combining reduction and search: design principles for embedding decision procedures in high-level languages (TES), pp. 89–102.
CHICHI-2012-PetrieP #comparison #interactive #problem #usability #what
What do users really care about?: a comparison of usability problems found by users and experts on highly interactive websites (HP, CP), pp. 2107–2116.
HILTHILT-2012-BeltCHR #ada #automation #contract #using #verification
Leading-edge Ada verification technologies: highly automated Ada contract checking using bakar kiasan (JB, PC, JH, R), pp. 3–4.
HILTHILT-2012-Fisher #assurance #named
HACMS: high assurance cyber military systems (KF), pp. 51–52.
CIKMCIKM-2012-ChenW #automation #classification #naive bayes
Automated feature weighting in naive bayes for high-dimensional data classification (LC, SW), pp. 1243–1252.
CIKMCIKM-2012-LiuQ #generative #mining
Mining high utility itemsets without candidate generation (ML, JFQ), pp. 55–64.
CIKMCIKM-2012-TangZLW #mining #quality #recommendation
Incorporating occupancy into frequent pattern mining for high quality pattern recommendation (LT, LZ, PL, MW), pp. 75–84.
CIKMCIKM-2012-ZhuGCL #behaviour #mining #query #recommendation
More than relevance: high utility query recommendation by mining users’ search behaviors (XZ, JG, XC, YL), pp. 1814–1818.
CIKMCIKM-2012-ZhuZYGX #classification #parallel
Parallel proximal support vector machine for high-dimensional pattern classification (ZZ, XZ, YY, YFG, XX), pp. 2351–2354.
ICMLICML-2012-Boulanger-LewandowskiBV #dependence #generative #modelling #music #sequence
Modeling Temporal Dependencies in High-Dimensional Sequences: Application to Polyphonic Music Generation and Transcription (NBL, YB, PV), p. 244.
ICMLICML-2012-ChenCK #optimisation #process
Joint Optimization and Variable Selection of High-dimensional Gaussian Processes (BC, RMC, AK), p. 179.
ICMLICML-2012-FengXY #approach #robust
Robust PCA in High-dimension: A Deterministic Approach (JF, HX, SY), p. 237.
ICMLICML-2012-JanzaminA #composition #independence #markov
High-Dimensional Covariance Decomposition into Sparse Markov and Independence Domains (MJ, AA), p. 60.
ICMLICML-2012-KolarS #estimation
Variance Function Estimation in High-dimensions (MK, JS), p. 115.
ICMLICML-2012-LeRMDCCDN #learning #scalability #using
Building high-level features using large scale unsupervised learning (QVL, MR, RM, MD, GC, KC, JD, AYN), p. 69.
ICMLICML-2012-LiuHYLW #modelling #visual notation
High Dimensional Semiparametric Gaussian Copula Graphical Models (HL, FH, MY, JDL, LAW), p. 225.
ICMLICML-2012-ZhaiTTO
Discovering Support and Affiliated Features from Very High Dimensions (YZ, MT, IWT, YSO), p. 226.
ICPRICPR-2012-AlbarelliBRVT #graph #higher-order #recognition #representation
A stable graph-based representation for object recognition through high-order matching (AA, FB, LR, SV, AT), pp. 3341–3344.
ICPRICPR-2012-CaoCZL #clustering #query
Locating high-density clusters with noisy queries (CC, SC, CZ, JL), pp. 3537–3540.
ICPRICPR-2012-GiotCD #clustering
Local water diffusion phenomenon clustering from high angular resolution diffusion imaging (HARDI) (RG, CC, MD), pp. 3745–3749.
ICPRICPR-2012-HouZQ #higher-order
Diffusion-driven high-order matching of partial deformable shapes (TH, MZ, HQ), pp. 137–140.
ICPRICPR-2012-KorffFKSBPS #analysis #image #library #recognition
Compound color recognition via image analysis on high-throughput compound libraries (MvK, JF, AK, JS, GB, OP, TS), pp. 1289–1293.
ICPRICPR-2012-LiuCZZ
Fusion of low-and high-dimensional approaches by trackers sampling for generic human motion tracking (YL, JC, HZ, HZ), pp. 898–901.
ICPRICPR-2012-MinKCK #approach #detection #higher-order #using
A superpixel MRF approach using high-order likelihood for moving object detection (JM, HK, JC, ISK), pp. 266–269.
ICPRICPR-2012-NguyenFMO #image #performance #retrieval
Mapping high dimensional features onto Hilbert curve: Applying to fast image retrieval (GN, PF, RM, JMO), pp. 425–428.
ICPRICPR-2012-RenO #authentication #video
Accuracy of a high-level, loss-tolerant video fingerprint for surveillance authentication (Y(R, LO), pp. 1088–1091.
ICPRICPR-2012-Shen #image #multi
Depth-map merging for Multi-View Stereo with high resolution images (SS), pp. 788–791.
ICPRICPR-2012-SrikanthaSM #approach #detection #image
An SVD-based approach for ghost detection and removal in high dynamic range images (AS, DS, FM), pp. 380–383.
ICPRICPR-2012-WangCCLP #video
Video stabilization based on high degree B-spline smoothing (YW, RC, TWC, KL, NTP), pp. 3152–3155.
ICPRICPR-2012-YangGAZW #classification #clustering #query
Iterative clustering and support vectors-based high-confidence query selection for motor imagery EEG signals classification (HY, CG, KKA, HZ, CW), pp. 2169–2172.
ICPRICPR-2012-ZhangZH #collaboration
Collaborative and compressive high-resolution imaging (YZ, HZ, TSH), pp. 3062–3065.
ICPRICPR-2012-ZhongHH #approach
A hierarchical approach to high-quality partial shape registration (MZ, TH, QH), pp. 113–116.
KDDKDD-2012-PhamP #algorithm #approximate #detection
A near-linear time approximation algorithm for angle-based outlier detection in high-dimensional data (NP, RP), pp. 877–885.
KDDKDD-2012-WuSTY #mining
Mining top-K high utility itemsets (CWW, BES, VST, PSY), pp. 78–86.
KDDKDD-2012-YinZC #algorithm #mining #named #performance
USpan: an efficient algorithm for mining high utility sequential patterns (JY, ZZ, LC), pp. 660–668.
KRKR-2012-Rodriguez-MuroC #ontology #performance #query
High Performance Query Answering over DL-Lite Ontologies (MRM, DC).
MLDMMLDM-2012-ToussaintB #comparison #empirical #learning
Proximity-Graph Instance-Based Learning, Support Vector Machines, and High Dimensionality: An Empirical Comparison (GTT, CB), pp. 222–236.
RecSysRecSys-2012-StrickrothP #community #network #quality #recommendation
High quality recommendations for small communities: the case of a regional parent network (SS, NP), pp. 107–114.
SIGIRSIGIR-2012-ZhangZC #documentation #effectiveness #interactive
Summarizing highly structured documents for effective search interaction (LZ, YZ, YC), pp. 145–154.
TOOLSTOOLS-EUROPE-2012-ZhengAMSBVTQM #bytecode #partial evaluation
Turbo DiSL: Partial Evaluation for High-Level Bytecode Instrumentation (YZ, DA, LM, AS, WB, AV, PT, ZQ, MM), pp. 353–368.
REFSQREFSQ-2012-Cleland-HuangHHLM #assurance #query #requirements #safety
Trace Queries for Safety Requirements in High Assurance Systems (JCH, MPEH, JHH, RRL, PM), pp. 179–193.
REFSQREFSQ-2012-GulkeRJA #complexity #development #problem #requirements
High-Level Requirements Management and Complexity Costs in Automotive Development Projects: A Problem Statement (TG, BR, MJ, JA), pp. 94–100.
SACSAC-PL-J-2009-J-CervelleFLR12 #implementation #parsing #using
Banzai+Tatoo: Using cutting-edge parsers for implementing high-performance servers (JC, RF, GL, GR), pp. 990–1005.
SACSAC-2012-BoulilaEFS #adaptation #approach #database #image #predict
High level adaptive fusion approach: application to land cover change prediction in satellite image databases (WB, KSE, IRF, BS), pp. 21–22.
SACSAC-2012-JiXWLTY #gpu #sequence
High-throughput antibody sequence alignment based on GPU computing (GJ, ZX, XW, SL, MT, JY), pp. 1417–1418.
ICSEICSE-2012-SongPF #interactive #named #using
iTree: Efficiently discovering high-coverage configurations using interaction trees (CS, AP, JSF), pp. 903–913.
HPCAHPCA-2012-AhnCK #approach #architecture #network #scalability
Network within a network approach to create a scalable high-radix router microarchitecture (JHA, SC, JK), pp. 455–466.
HPCAHPCA-2012-DalyC
Cache restoration for highly partitioned virtualized systems (DD, HWC), pp. 225–234.
HPCAHPCA-2012-SundararajanPJTF #clustering #energy
Cooperative partitioning: Energy-efficient cache partitioning for high-performance CMPs (KTS, VP, TMJ, NPT, BF), pp. 311–322.
HPDCHPDC-2012-MooreK #encapsulation #framework #logic #performance
A resiliency model for high performance infrastructure based on logical encapsulation (JJM, CK), pp. 283–294.
HPDCHPDC-2012-UenoS #benchmark #graph #metric #scalability
Highly scalable graph search for the Graph500 benchmark (KU, TS), pp. 149–160.
HPDCHPDC-2012-XiaCLTDB #named #network #performance
VNET/P: bridging the cloud and high performance computing through fast overlay networking (LX, ZC, JRL, YT, PAD, PGB), pp. 259–270.
PPoPPPPoPP-2012-AliasDP #kernel #optimisation #synthesis
Optimizing remote accesses for offloaded kernels: application to high-level synthesis for FPGA (CA, AD, AP), pp. 285–286.
PPoPPPPoPP-2012-BaghsorkhiGDH #evaluation #memory management #parallel #performance #thread
Efficient performance evaluation of memory hierarchy for highly multithreaded graphics processors (SSB, IG, MD, WmWH), pp. 23–34.
PPoPPPPoPP-2012-BonettaPPB #named #rest #scripting language #web #web service
S: a scripting language for high-performance RESTful web services (DB, AP, CP, WB), pp. 97–106.
PPoPPPPoPP-2012-ZuYXWTPD #automaton #implementation #memory management #nondeterminism #performance #regular expression
GPU-based NFA implementation for memory efficient high speed regular expression matching (YZ, MY, ZX, LW, XT, KP, QD), pp. 129–140.
ICSTICST-2012-DurelliOD #mutation testing #testing #towards #virtual machine
Toward Harnessing High-Level Language Virtual Machines for Further Speeding Up Weak Mutation Testing (VHSD, JO, MED), pp. 681–690.
ISSTAISSTA-2012-GrossFZ #search-based #testing
Search-based system testing: high coverage, no false alarms (FG, GF, AZ), pp. 67–77.
ISSTAISSTA-2012-HongAPKH #concurrent #source code #testing
Testing concurrent programs to achieve high synchronization coverage (SH, JA, SP, MK, MJH), pp. 210–220.
ASEASE-2011-ZiftciK #precise #requirements #testing
Tracing requirements to tests with high precision and recall (CZ, IK), pp. 472–475.
CASECASE-2011-WigstromL #energy #optimisation #scheduling
Energy optimization of trajectories for high level scheduling (OW, BL), pp. 654–659.
DACDAC-2011-ChaiJ #complexity #equation #linear #matrix
Direct matrix solution of linear complexity for surface integral-equation based impedance extraction of high bandwidth interconnects (WC, DJ), pp. 206–211.
DACDAC-2011-ChoiYLA #behaviour #fault #performance
Matching cache access behavior and bit error pattern for high performance low Vcc L1 cache (YGC, SY, SL, JHA), pp. 978–983.
DACDAC-2011-GongYH #analysis #monte carlo #orthogonal #performance #probability
Fast non-monte-carlo transient noise analysis for high-precision analog/RF circuits by stochastic orthogonal polynomials (FG, HY, LH), pp. 298–303.
DACDAC-2011-HongSK #case study #estimation #performance #throughput
Emulation based high-accuracy throughput estimation for high-speed connectivities: case study of USB2.0 (BH, CS, DK), pp. 609–614.
DACDAC-2011-HsiaoD #bound #parallel #scalability
A highly scalable parallel boundary element method for capacitance extraction (YCH, LD), pp. 552–557.
DACDAC-2011-KrishnamurthyMS #encryption #energy
High-performance energy-efficient encryption in the sub-45nm CMOS Era (RK, SM, FS), p. 332.
DACDAC-2011-PatilBC #architecture #contract #synthesis
Enforcing architectural contracts in high-level synthesis (NAP, AB, DC), pp. 824–829.
DACDAC-2011-WuWFT #distributed #manycore #scheduling #simulation
A high-parallelism distributed scheduling mechanism for multi-core instruction-set simulation (MHW, PCW, CYF, RST), pp. 339–344.
DACDAC-2011-YinKL #effectiveness
High effective-resolution built-in jitter characterization with quantization noise shaping (LY, YK, PL), pp. 765–770.
DATEDATE-2011-AcquavivaPOS #power management #reliability
System level techniques to improve reliability in high power microcontrollers for automotive applications (AA, MP, MO, MS), pp. 1123–1124.
DATEDATE-2011-AkinBNRSA #algorithm #implementation #parallel
A high-performance parallel implementation of the Chambolle algorithm (AA, IB, AAN, VR, MDS, DA), pp. 1436–1441.
DATEDATE-2011-BartoliniCTB #distributed #energy #multi #predict #self
A distributed and self-calibrating model-predictive controller for energy and thermal management of high-performance multicores (AB, MC, AT, LB), pp. 830–835.
DATEDATE-2011-CassidyYZA #design
A high-level analytical model for application specific CMP design exploration (AC, KY, HZ, AGA), pp. 1095–1100.
DATEDATE-2011-ErbP #analysis #performance
A method for fast jitter tolerance analysis of high-speed PLLs (SE, WP), pp. 1107–1112.
DATEDATE-2011-HeidmannWP #architecture #detection #throughput
Architecture and FPGA-implementation of a high throughput K+-Best detector (NH, TW, SP), pp. 240–245.
DATEDATE-2011-KondratyevLMW #pipes and filters #synthesis
Realistic performance-constrained pipelining in high-level synthesis (AK, LL, MM, YW), pp. 1382–1387.
DATEDATE-2011-LiuHRG #optimisation #process #using
Global optimization of integrated transformers for high frequency microwave circuits using a Gaussian process based surrogate model (BL, YH, PR, GGEG), pp. 1101–1106.
DATEDATE-2011-LiZY
Proactive recovery for BTI in high-k SRAM cells (LL, YZ, JY), pp. 992–997.
DATEDATE-2011-MedwedM #detection #fault #logic
Arithmetic logic units with high error detection rates to counteract fault attacks (MM, SM), pp. 1644–1649.
DATEDATE-2011-MontagA #precise #realtime
Precise WCET calculation in highly variant real-time systems (PM, SA), pp. 920–925.
DATEDATE-2011-MurugappaABJ #architecture #flexibility #multi #throughput
A flexible high throughput multi-ASIP architecture for LDPC and turbo decoding (PM, RAK, AB, MJ), pp. 228–233.
DATEDATE-2011-MuWLLZCXD #embedded #performance
Evaluating the potential of graphics processors for high performance embedded computing (SM, CW, ML, DL, MZ, XC, XX, YD), pp. 709–714.
DATEDATE-2011-RossiTSM #analysis #fault #memory management #performance #reliability
Error correcting code analysis for cache memory high reliability and performance (DR, NT, MS, CM), pp. 1620–1625.
DATEDATE-2011-ShahRK #bound #latency #performance
Priority division: A high-speed shared-memory bus arbitration with bounded latency (HS, AR, AK), pp. 1497–1500.
DATEDATE-2011-ShinKSCWP #hybrid
Battery-supercapacitor hybrid system for high-rate pulsed load applications (DS, YK, JS, NC, YW, MP), pp. 875–878.
DATEDATE-2011-SinghSG #generative #performance #testing #using
Testing of high-speed DACs using PRBS generation with “Alternate-Bit-Tapping” (MS, MS, SG), pp. 377–382.
DATEDATE-2011-SinhaP #representation #state machine #synthesis
Abstract state machines as an intermediate representation for high-level synthesis (RS, HDP), pp. 1406–1411.
DATEDATE-2011-TsaiLCKCK #bound #on the
On routing fixed escaped boundary pins for high speed boards (TYT, RJL, CYC, CYK, HMC, YK), pp. 461–466.
DATEDATE-2011-VissersNN #interface #realtime #synthesis #tool support #using
Building real-time HDTV applications in FPGAs using processors, AXI interfaces and high level synthesis tools (KAV, SN, JN), pp. 848–850.
DATEDATE-2011-WangNKWRLMB #configuration management #using
High-temperature (>500°C) reconfigurable computing using silicon carbide NEMS switches (XW, SN, ARK, FGW, SR, THL, MM, SB), pp. 1065–1070.
DATEDATE-2011-YipYLD #challenge #design #memory management #mobile
Challenges in designing high speed memory subsystem for mobile applications (TGY, PY, ML, DD), pp. 509–510.
DATEDATE-2011-ZattSBH #architecture #estimation #hardware #parallel #pipes and filters #throughput #video
Multi-level pipelined parallel hardware architecture for high throughput motion and disparity estimation in Multiview Video Coding (BZ, MS, SB, JH), pp. 1448–1453.
DocEngDocEng-2011-SadallahAP #component #specification
Component-based hypervideo model: high-level operational specification of hypervideos (MS, OA, YP), pp. 53–56.
DRRDRR-2011-AnB #documentation
High recall document content extraction (CA, HSB), pp. 1–10.
HTHT-2011-SmitsB #adaptation #hypermedia #named
GALE: a highly extensible adaptive hypermedia engine (DS, PDB), pp. 63–72.
ICDARICDAR-2011-BukhariSB11a #analysis #documentation #image #layout #performance
High Performance Layout Analysis of Arabic and Urdu Document Images (SSB, FS, TMB), pp. 1275–1279.
SIGMODSIGMOD-2011-LiTP #named #sequence
WHAM: a high-throughput sequence alignment method (YL, AT, JMP), pp. 445–456.
SIGMODSIGMOD-2011-SadoghiJ #named
BE-tree: an index structure to efficiently match boolean expressions over high-dimensional discrete space (MS, HAJ), pp. 637–648.
SIGMODSIGMOD-2011-ZhaiLG #algorithm #named #probability #similarity
ATLAS: a probabilistic algorithm for high dimensional similarity search (JZ, YL, JG), pp. 997–1008.
VLDBVLDB-2011-HeY #transaction
High-throughput transaction executions on graphics processors (BH, JXY), pp. 314–325.
VLDBVLDB-2011-JungHFR #database
Serializable Snapshot Isolation for Replicated Databases in High-Update Scenarios (HJ, HH, AF, UR), pp. 783–794.
VLDBVLDB-2011-MinhasRCASW #database #named
RemusDB: Transparent High Availability for Database Systems (UFM, SR, BC, AA, KS, AW), pp. 738–748.
VLDBVLDB-2011-RaoST #consistency #scalability #using
Using Paxos to Build a Scalable, Consistent, and Highly Available Datastore (JR, EJS, ST), pp. 243–254.
VLDBVLDB-2012-LarsonBDFPZ11 #concurrent #database #in memory
High-Performance Concurrency Control Mechanisms for Main-Memory Databases (PÅL, SB, CD, CF, JMP, MZ), pp. 298–309.
CSEETCSEET-2011-Georgas #approach #community #development #education #re-engineering #student
Software development as service to the student community: An experiential and high student involvement approach to software engineering education (JCG), pp. 434–438.
ITiCSEITiCSE-2011-FeasterSWH #education
Teaching CS unplugged in the high school (with limited success) (YF, LS, SKW, JOH), pp. 248–252.
ITiCSEITiCSE-2011-LangCPEA #source code #student
Outreach programs to promote computer science and ict to high school and middle school students (CL, AC, JP, MALE, RA), pp. 344–345.
ITiCSEITiCSE-2011-Schroeder #metric
A bouquet of measures to promote computer science in middle & high schools (US), p. 1.
ICPCICPC-2011-AlawnehH #named #performance #scalability
MTF: A Scalable Exchange Format for Traces of High Performance Computing Systems (LA, AHL), pp. 181–184.
STOCSTOC-2011-HatamiL #correlation #fault #invariant #testing
Correlation testing for affine invariant properties on Fpn in the high error regime (HH, SL), pp. 187–194.
STOCSTOC-2011-Karnin
Deterministic construction of a high dimensional lp section in l1n for any p<2 (ZSK), pp. 645–654.
STOCSTOC-2011-KoppartySY
High-rate codes with sublinear-time decoding (SK, SS, SY), pp. 167–176.
CIAACIAA-2011-Buchnik #algorithm #multi #named #string
Bouma2 — A High-Performance Input-Aware Multiple String-Match Algorithm (EB), pp. 53–64.
DLTDLT-2011-RampersadV #on the #word
On Highly Repetitive and Power Free Words (NR, EV), pp. 441–451.
CEFPCEFP-2011-Grelck #c #performance
Single Assignment C (SAC) High Productivity Meets High Performance (CG), pp. 207–278.
IFLIFL-2011-MaierT #haskell #implementation #parallel
Implementing a High-Level Distributed-Memory Parallel Haskell in Haskell (PM, PWT), pp. 35–50.
CSCWCSCW-2011-LiuHTCGPH #communication #mobile #social
Improving communication and social support for caregivers of high-risk infants through mobile technologies (LSL, SHH, MT, KGC, SG, SYP, GRH), pp. 475–484.
HCIHCI-DDA-2011-XuZC #algorithm #image #performance
High-Quality Fast Image Upsampling Algorithm Based on CUDA (QX, XZ, JC), pp. 677–683.
HCIHCI-ITE-2011-AkahaneHYS #development
Development of a High Definition Haptic Rendering for Stability and Fidelity (KA, TH, TY, MS), pp. 3–12.
HCIHCI-UA-2011-KimuraF #communication #comprehension #design
Design of Communication Field for Leading to Satisfied Understanding: Example of High-Level Radioactive Waste Disposal in Japan (HK, MF), pp. 354–359.
AdaEuropeAdaEurope-2011-RazaFP #detection #fault #parallel #source code
Detecting High-Level Synchronization Errors in Parallel Programs (SAR, SF, EP), pp. 17–30.
ICEISICEIS-v1-2011-ChaoC #clustering #data type #quality #resource management #ubiquitous
Resource-aware High Quality Clustering in Ubiquitous Data Streams (CMC, GLC), pp. 64–73.
ICEISICEIS-v1-2011-ShanZ #convergence #network
Mixed Convergence Pattern for Intelligence Negotiation Support Systems of High-tech Network Organization (ZdS, JlZ), pp. 396–399.
ICEISICEIS-v2-2011-FanLL #performance #set
Influencing Factors of High-speed Railway Passengers’ Travel Choice based on Rough Set (YF, JL, CL), pp. 213–217.
ICEISICEIS-v2-2011-JiaWLW #higher-order #research
The Research on Stability of Supply Chain under High Order Delay (SJ, LW, CL, QW), pp. 361–367.
ICEISICEIS-v2-2011-LongLG #algorithm #performance #predict #search-based
High-speed Railway based on Genetic Algorithm for Prediction of Travel Choice (CL, JL, YG), pp. 26–31.
ICEISICEIS-v4-2011-ZhangZ11a #algorithm #contest #game studies #performance
Model and Algorithm of Competition Between High-speed Railway and Air Transport — Game Theory Based (JZ, PZ), pp. 563–568.
CIKMCIKM-2011-ClaudeFMN #documentation
Indexes for highly repetitive document collections (FC, AF, MAMP, GN), pp. 463–468.
CIKMCIKM-2011-MaZSDC #query
Matching query processing in high-dimensional space (CM, YZ, LS, DD, GC), pp. 1589–1594.
CIKMCIKM-2011-XuZYL #set
Pattern change discovery between high dimensional data sets (YX, ZZ, PSY, BL), pp. 1097–1106.
CIKMCIKM-2011-YanGC #higher-order #learning #query #recommendation
Context-aware query recommendation by learning high-order relation in query logs (XY, JG, XC), pp. 2073–2076.
CIKMCIKM-2011-ZhuQYKL #graph #performance #quality #scalability
High efficiency and quality: large graphs matching (YZ, LQ, JXY, YK, XL), pp. 1755–1764.
ICMLICML-2011-AgarwalNW #composition #matrix
Noisy matrix decomposition via convex relaxation: Optimal rates in high dimensions (AA, SN, MJW), pp. 1129–1136.
KDDKDD-2011-BekkermanG #classification #documentation
High-precision phrase-based document classification on a modern scale (RB, MG), pp. 231–239.
KDDKDD-2011-IfrimW #biology #bound #classification #coordination #predict #sequence
Bounded coordinate-descent for biological sequence classification in high dimensional predictor space (GI, CW), pp. 708–716.
KDDKDD-2011-JinLA #graph #nondeterminism #reliability
Discovering highly reliable subgraphs in uncertain graphs (RJ, LL, CCA), pp. 992–1000.
KDDKDD-2011-SinkovitsCSTRWB #analysis #data-driven #performance
Data intensive analysis on the gordon high performance data and compute system (RSS, PC, SS, MT, PR, NW, NB), pp. 747–748.
MLDMMLDM-2011-AidosF #clustering #higher-order
Hierarchical Clustering with High Order Dissimilarities (HA, ALNF), pp. 280–293.
MLDMMLDM-2011-TomasevRMI #classification #fuzzy #metric #nearest neighbour
Hubness-Based Fuzzy Measures for High-Dimensional k-Nearest Neighbor Classification (NT, MR, DM, MI), pp. 16–30.
SEKESEKE-2011-GaoK #fault #predict
Software Defect Prediction for High-Dimensional and Class-Imbalanced Data (KG, TMK), pp. 89–94.
SEKESEKE-2011-LiuZH #modelling #petri net #pipes and filters
PIPE+ — A Modeling Tool for High Level Petri Nets (SL, RZ, XH), pp. 115–121.
SIGIRSIGIR-2011-GanjisaffarCL #modelling #precise #ranking
Bagging gradient-boosted trees for high precision, low variance ranking models (YG, RC, CVL), pp. 85–94.
SIGIRSIGIR-2011-JainOV #query #web
Synthesizing high utility suggestions for rare web search queries (AJ, UO, EV), pp. 805–814.
MODELSMoDELS-2011-PalyartLOB #framework #maintenance #scalability
Improving Scalability and Maintenance of Software for High-Performance Scientific Computing by Combining MDE and Frameworks (MP, DL, IO, JMB), pp. 213–227.
MODELSMoDELS-2011-PalyartLOB #framework #maintenance #scalability
Improving Scalability and Maintenance of Software for High-Performance Scientific Computing by Combining MDE and Frameworks (MP, DL, IO, JMB), pp. 213–227.
ECOOPECOOP-2011-Taube-SchockWW #question
Can We Avoid High Coupling? (CTS, RJW, IHW), pp. 204–228.
OOPSLAOOPSLA-2011-ThummalapentaXTHS #sequence #testing
Synthesizing method sequences for high-coverage testing (ST, TX, NT, JdH, ZS), pp. 189–206.
SACSAC-2011-BanZATK #mining
Mining interlacing manifolds in high dimensional spaces (TB, CZ, SA, TT, YK), pp. 942–949.
SACSAC-2011-IakymchukNB #resource management
Improving high-performance computations on clouds through resource underutilization (RI, JN, PB), pp. 119–126.
SACSAC-2011-LinTBBK #component #configuration management #distributed #evolution #programming
Transparent componentisation: high-level (re)configurable programming for evolving distributed systems (SL, FT, MB, GSB, AMK), pp. 203–208.
SACSAC-2011-RosaGO #problem #quality #satisfiability
Optimal stopping methods for finding high quality solutions to satisfiability problems with preferences (EDR, EG, BO), pp. 901–906.
SACSAC-2011-ShimadaKLCN #composition #design #embedded #functional #kernel
Design issues in composition kernels for highly functional embedded systems (HS, YK, THL, AC, TN), pp. 338–345.
SACSAC-2011-WangZ #detection #performance #web
High-speed web attack detection through extracting exemplars from HTTP traffic (WW, XZ), pp. 1538–1543.
ESEC-FSEESEC-FSE-2011-ShihabMKAH #case study #fault
High-impact defects: a study of breakage and surprise defects (ES, AM, YK, BA, AEH), pp. 300–310.
ICSEICSE-2011-MirakhorliC #architecture #assurance
Tracing architectural concerns in high assurance systems (MM, JCH), pp. 908–911.
ICSEICSE-2011-SridharaPV #automation #detection
Automatically detecting and describing high level actions within methods (GS, LLP, KVS), pp. 101–110.
SPLCSPLC-2011-HutchessonM #effectiveness #product line #towards
Towards Cost-Effective High-Assurance Software Product Lines: The Need for Property-Preserving Transformations (SH, JAM), pp. 55–64.
CCCC-2011-JoynerBS #analysis #array #bound
Subregion Analysis and Bounds Check Elimination for High Level Arrays (MJ, ZB, VS), pp. 246–265.
CGOCGO-2011-GreathouseLAB #analysis #data flow #distributed #scalability
Highly scalable distributed dataflow analysis (JLG, CL, TMA, VB), pp. 277–288.
HPCAHPCA-2011-ChenLZ #memory management #parallel #performance
Essential roles of exploiting internal parallelism of flash memory based solid state drives in high-speed data processing (FC, RL, XZ), pp. 266–277.
HPCAHPCA-2011-GhasemiDK #architecture #using
Low-voltage on-chip cache architecture using heterogeneous cell sizes for high-performance processors (HRG, SCD, NSK), pp. 38–49.
HPCAHPCA-2011-LiaoZB #architecture #network
A new server I/O architecture for high speed networks (GL, XZ, LNB), pp. 255–265.
HPCAHPCA-2011-PellauerAKPE #manycore #named #simulation #using
HAsim: FPGA-based high-detail multicore simulation using time-division multiplexing (MP, MA, MAK, AP, JSE), pp. 406–417.
HPDCHPDC-2011-AbbasiEWSK #performance #pipes and filters
Just in time: adding value to the IO pipelines of high performance applications with JITStaging (HA, GE, MW, KS, SK), pp. 27–36.
HPDCHPDC-2011-SaadR #distributed #framework #memory management #named #performance #transaction
HyFlow: a high performance distributed software transactional memory framework (MMS, BR), pp. 265–266.
PPoPPPPoPP-2011-WillcockHEL #data-driven #fine-grained #parallel #programming
Active pebbles: a programming model for highly parallel fine-grained data-driven computations (JW, TH, NGE, AL), pp. 305–306.
SOSPSOSP-2011-CalderWONSMXSWSHUKEBMAAHHBDAMSMR #consistency
Windows Azure Storage: a highly available cloud storage service with strong consistency (BC, JW, AO, NN, AS, SM, YX, SS, JW, HS, JH, CU, HK, AE, VB, SM, RA, AA, MFuH, MIuH, DB, SD, AA, MM, SS, KM, LR), pp. 143–157.
SOSPSOSP-2011-LimFAK #named
SILT: a memory-efficient, high-performance key-value store (HL, BF, DGA, MK), pp. 1–13.
CAVCAV-2011-RamanK #behaviour #specification #using
Analyzing Unsynthesizable Specifications for High-Level Robot Behavior Using LTLMoP (VR, HKG), pp. 663–668.
ICLPICLP-J-2011-HallerstedeL #concurrent #constraints #specification
Constraint-based deadlock checking of high-level specifications (SH, ML), pp. 767–782.
ISSTAISSTA-2011-AllwoodCE #haskell #source code #testing
High coverage testing of Haskell programs (TORA, CC, SE), pp. 375–385.
ISSTAISSTA-2011-XiaoZ #analysis #encoding #geometry #java #performance #points-to
Geometric encoding: forging the high performance context sensitive points-to analysis for Java (XX, CZ), pp. 188–198.
ICSTSAT-2011-RyvchinS #performance #satisfiability
Faster Extraction of High-Level Minimal Unsatisfiable Cores (VR, OS), pp. 174–187.
CASECASE-2010-IshiiONTOTM #analysis #image #using
Cardiac motion analysis of a rat model for myocardial infarction using high-frame-rate stereo images (II, TO, YN, TT, KO, AT, HM), pp. 780–785.
CASECASE-2010-JasperF #automation #performance
Automated high-speed nanopositioning inside scanning electron microscopes (DJ, SF), pp. 704–709.
CASECASE-2010-KrishnanSPW
Durability studies of PBI-based membrane elect rode assemblies for high temperature PEMFCs (LK, TS, RP, DW), pp. 21–26.
CASECASE-2010-MazierXS #scheduling
Scheduling inpatient admission under high demand of emergency patients (AM, XX, MS), pp. 792–797.
CASECASE-2010-Puffer #automation #challenge
Automation challenges and opportunities for high volume manufacture of proton exchange membrane fuel cell stacks (RHP), pp. 287–292.
CASECASE-2010-VergnanoTLFPYBL #energy #optimisation #scheduling
Embedding detailed robot energy optimization into high-level scheduling (AV, CT, BL, PF, MP, CY, SB, FL), pp. 386–392.
CASECASE-2010-YuanZ #video
Micro-mixing of highly viscous bio-samples observed with a miniature wireless video sensor (LY, YFZ), pp. 180–185.
DACDAC-2010-BeeceXVZL #parametricity
Transistor sizing of custom high-performance digital circuits with parametric yield considerations (DKB, JX, CV, VZ, YL), pp. 781–786.
DACDAC-2010-GajskiAS #question #synthesis #what
What input-language is the best choice for high level synthesis (HLS)? (DG, TMA, SS), pp. 857–858.
DACDAC-2010-HePKYALC #energy #named #throughput
Xetal-Pro: an ultra-low energy and high throughput SIMD processor (YH, YP, RPK, ZY, AAA, SML, HC), pp. 543–548.
DACDAC-2010-LiaoYB #performance
A new IP lookup cache for high performance IP routers (GL, HY, LNB), pp. 338–343.
DACDAC-2010-WohlWNG
Fully X-tolerant, very high scan compression (PW, JAW, FN, EG), pp. 362–367.
DACDAC-2010-ZhangLH #analysis
Separatrices in high-dimensional state space: system-theoretical tangent computation and application to SRAM dynamic stability analysis (YZ, PL, GMH), pp. 567–572.
DACDAC-2010-ZhangS #adaptation #performance
Adaptive and autonomous thermal tracking for high performance computing systems (YZ, AS), pp. 68–73.
DATEDATE-2010-AbbasCFKA #adaptation #automation #framework #generative #performance #testing
An automatic test generation framework for digitally-assisted adaptive equalizers in high-speed serial links (MA, KTC, YF, SK, KA), pp. 1755–1760.
DATEDATE-2010-BarrioMMHM #functional #synthesis #using
Using Speculative Functional Units in high level synthesis (AADB, MCM, JMM, RH, SOM), pp. 1779–1784.
DATEDATE-2010-CaoN #markov #protocol
High-fidelity markovian power model for protocols (JC, AN), pp. 267–270.
DATEDATE-2010-ChineaGDDK #megamodelling #on the #performance
On the construction of guaranteed passive macromodels for high-speed channels (AC, SGT, DD, TD, LK), pp. 1142–1147.
DATEDATE-2010-CupaiuoloST #architecture #detection #ml #throughput
Low-complexity high throughput VLSI architecture of soft-output ML MIMO detector (TC, MS, AT), pp. 1396–1401.
DATEDATE-2010-EconomakosXKS #component #configuration management #synthesis
Construction of dual mode components for reconfiguration aware high-level synthesis (GE, SX, IK, DS), pp. 1357–1360.
DATEDATE-2010-FanucciPDSTCLT #programmable
An high voltage CMOS voltage regulator for automotive alternators with programmable functionalities and full reverse polarity capability (LF, GP, PD, RS, FT, PC, LL, PT), pp. 526–531.
DATEDATE-2010-GarciaM #energy #named
Spinto: High-performance energy minimization in spin glasses (HJG, ILM), pp. 160–165.
DATEDATE-2010-HallerB #low cost #performance
High-speed clock recovery for low-cost FPGAs (IH, ZFB), pp. 610–613.
DATEDATE-2010-KennedyWLL #string #throughput
Ultra-high throughput string matching for Deep Packet Inspection (AK, XW, ZL, BL), pp. 399–404.
DATEDATE-2010-LandrockK
High temperature polymer capacitors for aerospace applications (CKL, BK), pp. 1349–1352.
DATEDATE-2010-LeeYCC #embedded #metric
An embedded wide-range and high-resolution CLOCK jitter measurement circuit (YL, CYY, NCDC, JJC), pp. 1637–1640.
DATEDATE-2010-NassarBDDG #evaluation #named
BCDL: A high speed balanced DPL for FPGA with global precharge and no early evaluation (MN, SB, JLD, GD, SG), pp. 849–854.
DATEDATE-2010-PasettiFS #power management
A High-Voltage Low-Power DC-DC buck regulator for automotive applications (GP, LF, RS), pp. 937–940.
DATEDATE-2010-PengYTC #fault #process
High-quality pattern selection for screening small-delay defects considering process variations and crosstalk (KP, MY, MT, KC), pp. 1426–1431.
DATEDATE-2010-QaziTDSC #analysis #performance #reduction
Loop flattening & spherical sampling: Highly efficient model reduction techniques for SRAM yield analysis (MQ, MT, LD, DS, AC), pp. 801–806.
DATEDATE-2010-SrivastavaSB #3d #performance
Efficient 3D high-frequency impedance extraction for general interconnects and inductors above a layered substrate (NS, RS, KB), pp. 459–464.
DATEDATE-2010-VillenaS #named #order #reduction
HORUS — high-dimensional Model Order Reduction via low moment-matching upgraded sampling (JFV, LMS), pp. 465–470.
DATEDATE-2010-ZebeleinFHTD #modelling #network #performance
Efficient High-Level modeling in the networking domain (CZ, JF, CH, JT, RD), pp. 1189–1194.
SIGMODSIGMOD-2010-AgarwalCLSV
Forecasting high-dimensional data (DA, DC, LjL, JS, EV), pp. 1003–1012.
VLDBVLDB-2010-ChandramouliGM #pattern matching
High-Performance Dynamic Pattern Matching over Disordered Streams (BC, JG, DM), pp. 220–231.
VLDBVLDB-2010-Chen #named #performance #pipes and filters
Cheetah: A High Performance, Custom Data Warehouse on Top of MapReduce (SC), pp. 1459–1468.
VLDBVLDB-2010-DebnathSL #named #persistent #throughput
FlashStore: High Throughput Persistent Key-Value Store (BKD, SS, JL), pp. 1414–1425.
VLDBVLDB-2010-Matsudaira #3d #biology #dataset #scalability
High-End Biological Imaging Generates Very Large 3D+ and Dynamic Datasets (PM), p. 3.
VLDBVLDB-2010-NeumannW #consistency #database #named #performance #query #rdf
x-RDF-3X: Fast Querying, High Update Rates, and Consistency for RDF Databases (TN, GW), pp. 256–263.
VLDBVLDB-2010-WeiRM #quality #xml
Achieving High Output Quality under Limited Resources through Structure-based Spilling in XML Streams (MW, EAR, MM), pp. 1267–1278.
ITiCSEITiCSE-2010-GurselG #student #what
What do promising high school students think about studying computing (DAG, BG), p. 325.
ITiCSEITiCSE-2010-RosslingM #standard
An unusual CS 1 with high standards and confirming results (GR, MM), pp. 169–173.
WCREWCRE-2010-BiegelD #clone detection #configuration management #detection
Highly Configurable and Extensible Code Clone Detection (BB, SD), pp. 237–241.
WCREWCRE-2010-ChenWZZHNQCG #c #decompiler #readability
A Refined Decompiler to Generate C Code with High Readability (GC, ZW, RZ, KZ, SH, KN, ZQ, KC, HG), pp. 150–154.
STOCSTOC-2010-BhaskaraCCFV #approximate #detection
Detecting high log-densities: an O(n1/4) approximation for densest k-subgraph (AB, MC, EC, UF, AV), pp. 201–210.
STOCSTOC-2010-KoppartyS #fault #linear #random #testing
Local list-decoding and testing of random linear codes from high error (SK, SS), pp. 417–426.
CHICHI-2010-AndrewsEN #scalability
Space to think: large high-resolution displays for sensemaking (CA, AE, CN), pp. 55–64.
CHICHI-2010-AppertCP #lens
High-precision magnification lenses (CA, OC, EP), pp. 273–282.
CHICHI-2010-BeatonHT #case study #collaboration #coordination
Digital drumming: a study of co-located, highly coordinated, dyadic collaboration (BB, SH, DGT), pp. 1417–1426.
CHICHI-2010-HarrisonH #interactive #low cost #mobile #multi #named
Minput: enabling interaction on small mobile devices with high-precision, low-cost, multipoint optical tracking (CH, SEH), pp. 1661–1664.
CHICHI-2010-NakatsumaS #2d #communication #detection #network
High accuracy position and orientation detection in two-dimensional communication network (KN, HS), pp. 2297–2306.
CHICHI-2010-SchwarzHHM #mobile #multi
Cord input: an intuitive, high-accuracy, multi-degree-of-freedom input method for mobile devices (JS, CH, SEH, JM), pp. 1657–1660.
AdaEuropeAdaEurope-2010-MezzettiBRV #development
Cache-Aware Development of High-Integrity Systems (EM, AB, JR, TV), pp. 139–152.
AdaSIGAda-2010-Rosen #object-oriented #reliability
Use of object oriented technologies in high reliability system (JPR), pp. 3–4.
ICEISICEIS-J-2010-WaltherHSS10a #retrieval #specification
Directed Retrieval and Extraction of High-Quality Product Specifications (MW, LH, DS, AS), pp. 436–450.
CIKMCIKM-2010-AlmeidaTL #metric #named #performance #similarity
BP-tree: an efficient index for similarity search in high-dimensional metric spaces (JA, RdST, NJL), pp. 1365–1368.
ECIRECIR-2010-AnderkaSP #bound #similarity #why
Cross-Language High Similarity Search: Why No Sub-linear Time Bound Can Be Expected (MA, BS, MP), pp. 640–644.
ICMLICML-2010-DruckM #generative #learning #modelling #using
High-Performance Semi-Supervised Learning using Discriminatively Constrained Generative Models (GD, AM), pp. 319–326.
ICMLICML-2010-GavishNC #graph #learning #multi #theory and practice
Multiscale Wavelets on Trees, Graphs and High Dimensional Data: Theory and Applications to Semi Supervised Learning (MG, BN, RRC), pp. 367–374.
ICMLICML-2010-JanzingHS
Telling cause from effect based on high-dimensional observations (DJ, POH, BS), pp. 479–486.
ICMLICML-2010-NegahbanW #estimation #matrix #rank #scalability
Estimation of (near) low-rank matrices with noise and high-dimensional scaling (SN, MJW), pp. 823–830.
ICMLICML-2010-TanWT #dataset #feature model #learning
Learning Sparse SVM for Feature Selection on Very High Dimensional Datasets (MT, LW, IWT), pp. 1047–1054.
ICPRICPR-2010-AlvarezSVO #dataset
Perceptual Color Texture Codebooks for Retrieving in Highly Diverse Texture Datasets (, AS, MV, XO), pp. 866–869.
ICPRICPR-2010-Artigas-FuentesGB #approximate #mining #similarity
A High-Dimensional Access Method for Approximated Similarity Search in Text Mining (FJAF, RGG, JMBC), pp. 3155–3158.
ICPRICPR-2010-CetinH #adaptation #algorithm #estimation #video
An Adaptive True Motion Estimation Algorithm for Frame Rate Conversion of High Definition Video (MC, IH), pp. 4109–4112.
ICPRICPR-2010-GuoZ #authentication #capacity #image
High Capacity Data Hiding for Binary Image Authentication (MG, HZ), pp. 1441–1444.
ICPRICPR-2010-InoueSSF #feature model #modelling #using
High-Level Feature Extraction Using SIFT GMMs and Audio Models (NI, TS, KS, SF), pp. 3220–3223.
ICPRICPR-2010-KunduD #image #security
Lossless ROI Medical Image Watermarking Technique with Enhanced Security and High Payload Embedding (MKK, SD), pp. 1457–1460.
ICPRICPR-2010-Lin_ #image
Noise-Insensitive Contrast Enhancement for Rendering High-Dynamic-Range Images (HYSL), pp. 2676–2679.
ICPRICPR-2010-TasdizenH #algorithm #estimation #recursion #video
Recursive Dynamically Variable Step Search Motion Estimation Algorithm for High Definition Video (OT, IH), pp. 2354–2357.
ICPRICPR-2010-TsuchidaYT #development #image #multi
Development of a High-Definition and Multispectral Image Capturing System for Digital Archiving of Early Modern Tapestries of Kyoto Gion Festival (MT, KY, HTT), pp. 2828–2831.
ICPRICPR-2010-WangJHT #higher-order #kernel #learning #multi
Multiple Kernel Learning with High Order Kernels (SW, SJ, QH, QT), pp. 2138–2141.
ICPRICPR-2010-ZhaoGC #higher-order #image #recognition #representation
High-Order Circular Derivative Pattern for Image Representation and Recognition (SZ, YG, TC), pp. 2246–2249.
ICPRICPR-2010-ZouY #image #kernel #learning
Learning the Relationship Between High and Low Resolution Images in Kernel Space for Face Super Resolution (WWWZ, PCY), pp. 1152–1155.
KDDKDD-2010-SomaiyaJR #learning #modelling
Mixture models for learning low-dimensional roles in high-dimensional data (MS, CMJ, SR), pp. 909–918.
KDDKDD-2010-TsengWSY #algorithm #mining #named #performance
UP-Growth: an efficient algorithm for high utility itemset mining (VST, CWW, BES, PSY), pp. 253–262.
SIGIRSIGIR-2010-LeeLSR #precise #retrieval #sentiment #using
High precision opinion retrieval using sentiment-relevance flows (SWL, JTL, YIS, HCR), pp. 817–818.
SIGIRSIGIR-2010-NanasVR #information management
A network-based model for high-dimensional information filtering (NN, MV, ANDR), pp. 202–209.
SIGIRSIGIR-2010-WooLLSR #ranking #retrieval #using
Achieving high accuracy retrieval using intra-document term ranking (HWW, JTL, SWL, YIS, HCR), pp. 885–886.
SIGIRSIGIR-2010-YangMSM #low cost #quality
Collecting high quality overlapping labels at low cost (HY, AM, KMS, SM), pp. 459–466.
SACSAC-2010-AstrovaKK #comparison #enterprise
Comparison of enterprise service buses based on their support of high availability (IA, AK, TK), pp. 2495–2496.
SACSAC-2010-MisraNLC #generative #named #sequence
FANGS: high speed sequence mapping for next generation sequencers (SM, RN, SL, ANC), pp. 1539–1546.
SACSAC-2010-SchiperP #algorithm #flexibility #multi #performance
Fast, flexible, and highly resilient genuine fifo and causal multicast algorithms (NS, FP), pp. 418–422.
ICSEICSE-2010-BangPEMKRP #collaboration #framework #modelling #named
CoDesign: a highly extensible collaborative software modeling framework (JYB, DP, GE, NM, NNK, GMR, SP), pp. 243–246.
ICSEICSE-2010-GrechanikFXMPC
A search engine for finding highly relevant applications (MG, CF, QX, CM, DP, CMC), pp. 475–484.
ICSEICSE-2010-May #quality
Dynamic service quality and resource negotiation for high-availability service-oriented systems (NRM), pp. 327–328.
ASPLOSASPLOS-2010-EbrahimiLMP #configuration management #manycore #memory management
Fairness via source throttling: a configurable and high-performance fairness substrate for multi-core memory systems (EE, CJL, OM, YNP), pp. 335–346.
HPCAHPCA-2010-AbellaCVCG
High-Performance low-vcc in-order core (JA, PC, XV, JC, AG), pp. 1–11.
HPCAHPCA-2010-DongYLLTG #network #performance
High performance network virtualization with SR-IOV (YD, XY, XL, JL, KT, HG), pp. 1–10.
HPCAHPCA-2010-KimHMH #algorithm #memory management #multi #named #scalability #scheduling
ATLAS: A scalable and high-performance scheduling algorithm for multiple memory controllers (YK, DH, OM, MHB), pp. 1–12.
HPCAHPCA-2010-WooSLL #3d #architecture #memory management
An optimized 3D-stacked memory architecture by exploiting excessive, high-density TSV bandwidth (DHW, NHS, DLL, HHSL), pp. 1–12.
HPCAHPCA-2010-XuZZY #throughput
Simple virtual channel allocation for high throughput and high frequency on-chip routers (YX, BZ, YZ, JY), pp. 1–11.
HPDCHPDC-2010-AbramsonDKMD #debugging #parallel
Data centric highly parallel debugging (DA, MND, DK, BM, LDR), pp. 119–129.
HPDCHPDC-2010-BaeCQF #reduction #scalability #visualisation
Dimension reduction and visualization of large high-dimensional data via interpolation (SHB, JYC, JQ, GF), pp. 203–214.
HPDCHPDC-2010-ChardBK #case study #grid #resource management
High occupancy resource allocation for grid and cloud systems, a study with DRIVE (KC, KB, PK), pp. 73–84.
HPDCHPDC-2010-DuGR #component #multitenancy #scalability
Highly available component sharing in large-scale multi-tenant cloud systems (JD, XG, DSR), pp. 85–94.
HPDCHPDC-2010-PhamJJS #composition #framework #performance
A service composition framework for market-oriented high performance computing cloud (TVP, HJ, KEJ, ZYS), pp. 284–287.
HPDCHPDC-2010-RoodGLS #performance #towards
Toward high performance computing in unconventional computing environments (BR, NG, MJL, NS), pp. 627–635.
HPDCHPDC-2010-XuF #data transformation #file system #framework #named
GatorShare: a file system framework for high-throughput data management (JX, RJOF), pp. 776–786.
ISMMISMM-2010-BarabashP #garbage collection #parallel
Tracing garbage collection on highly parallel platforms (KB, EP), pp. 1–10.
CAVCAV-2010-ChatterjeeK #architecture #automation #communication #generative #induction #invariant #modelling
Automatic Generation of Inductive Invariants from High-Level Microarchitectural Models of Communication Fabrics (SC, MK), pp. 321–338.
CAVCAV-2010-ConwayB #data type #implementation #low level #verification
Verifying Low-Level Implementations of High-Level Datatypes (CLC, CB), pp. 306–320.
ICTSSICTSS-2010-ShinboTAHS #network #performance #testing
Practical End-to-End Performance Testing Tool for High Speed 3G-Based Networks (HS, AT, SA, TH, KS), pp. 205–220.
LICSLICS-2010-Otto
Highly Acyclic Groups, Hypergraph Covers and the Guarded Fragment (MO), pp. 11–20.
TAPTAP-2010-GoldbergM #encoding #generative #proving #testing
Generating High-Quality Tests for Boolean Circuits by Treating Tests as Proof Encoding (EG, PM), pp. 101–116.
TAPTAP-2010-ThummalapentaHTW #automation #generative #mining #named #testing
DyGen: Automatic Generation of High-Coverage Tests via Mining Gigabytes of Dynamic Traces (ST, JdH, NT, SW), pp. 77–93.
CASECASE-2009-YuanZ #using
Micro-mixing of highly viscous bio-samples using orbital shaking (LY, YFZ), pp. 579–584.
DACDAC-2009-ChakrabortyKP #framework #named #open source #quality
RegPlace: a high quality open-source placement framework for structured ASICs (AC, AK, DZP), pp. 442–447.
DACDAC-2009-ChouCK #synthesis
Handling don’t-care conditions in high-level synthesis and application for reducing initialized registers (HZC, KHC, SYK), pp. 412–415.
DACDAC-2009-CochranR
Spectral techniques for high-resolution thermal characterization with limited sensor data (RC, SR), pp. 478–483.
DACDAC-2009-CromarLC #algorithm #reduction
FPGA-targeted high-level binding algorithm for power and area reduction with glitch-estimation (SC, JL, DC), pp. 838–843.
DACDAC-2009-FujitaKG #debugging
Debugging from high level down to gate level (MF, YK, AMG), pp. 627–630.
DACDAC-2009-JungKS #architecture #performance
Hierarchical architecture of flash-based storage systems for high performance and durability (SJ, JHK, YHS), pp. 907–910.
DACDAC-2009-ShinPS #synthesis #using
Register allocation for high-level synthesis using dual supply voltages (IS, SP, YS), pp. 937–942.
DACDAC-2009-WalravensVD #analysis #modelling #named #performance
ActivaSC: a highly efficient and non-intrusive extension for activity-based analysis of SystemC models (CW, YV, WD), pp. 172–177.
DATEDATE-2009-BraunesS #generative
Generating the trace qualification configuration for MCDS from a high level language (JB, RGS), pp. 1560–1563.
DATEDATE-2009-ChatterjeeDB #named #simulation
GCS: High-performance gate-level simulation with GPGPUs (DC, AD, VB), pp. 1332–1337.
DATEDATE-2009-ChiangOY
Register placement for high-performance circuits (MFC, TO, TY), pp. 1470–1475.
DATEDATE-2009-FickDCBSB #algorithm #fault tolerance
A highly resilient routing algorithm for fault-tolerant NoCs (DF, AD, GKC, VB, DS, DB), pp. 21–26.
DATEDATE-2009-GaoKMAMK #energy #physics
DPR in high energy physics (WG, AK, RM, NA, NM, UK), pp. 39–44.
DATEDATE-2009-GoossensVN #debugging
A high-level debug environment for communication-centric debug (KG, BV, ABN), pp. 202–207.
DATEDATE-2009-GuanLF #design #scalability #set
Design of an application-specific instruction set processor for high-throughput and scalable FFT (XG, HL, YF), pp. 1302–1307.
DATEDATE-2009-KasperskiPDS #architecture #configuration management #development #flexibility
High data rate fully flexible SDR modem advanced configurable architecture & development methodology (FK, OP, FD, MS), pp. 1040–1044.
DATEDATE-2009-KavousianosC #fault #generative #testing
Generation of compact test sets with high defect coverage (XK, KC), pp. 1130–1135.
DATEDATE-2009-MazziniPV #development #realtime
An MDE methodology for the development of high-integrity real-time systems (SM, SP, TV), pp. 1154–1159.
DATEDATE-2009-PaikSS #named #performance #synthesis
HLS-l: High-level synthesis of high performance latch-based circuits (SP, IS, YS), pp. 1112–1117.
DATEDATE-2009-Perry #design #modelling #quality #synthesis
Model Based Design needs high level synthesis — A collection of high level synthesis techniques to improve productivity and quality of results for model based electronic design (SP), pp. 1202–1207.
DATEDATE-2009-ShafiqueBH #approach #design #hardware #parallel #performance #predict #video
A parallel approach for high performance hardware design of intra prediction in H.264/AVC Video Codec (MS, LB, JH), pp. 1434–1439.
DATEDATE-2009-TasdizenKAH #architecture #configuration management #estimation #hardware #performance
A high performance reconfigurable Motion Estimation hardware architecture (OT, HK, AA, IH), pp. 882–885.
DATEDATE-2009-ZridaJAA #implementation #parallel #video
High level H.264/AVC video encoder parallelization for multiprocessor implementation (HKZ, AJ, ACA, MA), pp. 940–945.
DocEngDocEng-2009-NunesGKNCF #performance #profiling
Job profiling in high performance printing (TN, FG, MLK, RN, AC, LGF), pp. 109–118.
ICDARICDAR-2009-MoghaddamRC #approach #classification #independence #multi #segmentation #set #using
Restoration and Segmentation of Highly Degraded Characters Using a Shape-Independent Level Set Approach and Multi-level Classifiers (RFM, DRH, MC), pp. 828–832.
ICDARICDAR-2009-WangJW #identification #performance
High Performance Chinese/English Mixed OCR with Character Level Language Identification (KW, JJ, QW), pp. 406–410.
SIGMODSIGMOD-2009-BrodskyBCEW #abstraction #database #programming #query
A decisions query language (DQL): high-level abstraction for mathematical programming over databases (AB, MMB, MC, NEE, XSW), pp. 1059–1062.
SIGMODSIGMOD-2009-IsardY #distributed #programming language #using
Distributed data-parallel computing using a high-level programming language (MI, YY), pp. 987–994.
SIGMODSIGMOD-2009-JinXRF #named #query #reachability
3-HOP: a high-compression indexing scheme for reachability query (RJ, YX, NR, DF), pp. 813–826.
SIGMODSIGMOD-2009-SharafCLA #interactive #optimisation #transaction
Optimizing i/o-intensive transactions in highly interactive applications (MAS, PKC, AL, CA), pp. 785–798.
SIGMODSIGMOD-2009-TaoYSK #nearest neighbour #performance #quality
Quality and efficiency in high dimensional nearest neighbor search (YT, KY, CS, PK), pp. 563–576.
VLDBVLDB-2009-AhmadK #compilation #database #in memory #named #sql
DBToaster: A SQL Compiler for High-Performance Delta Processing in Main-Memory Databases (YA, CK), pp. 1566–1569.
VLDBVLDB-2009-CandeaPV #concurrent #predict #scalability
A Scalable, Predictable Join Operator for Highly Concurrent Data Warehouses (GC, NP, RV), pp. 277–288.
VLDBVLDB-2009-GatesNCKNORSS #data flow #experience #pipes and filters
Building a HighLevel Dataflow System on top of MapReduce: The Pig Experience (AG, ON, SC, PK, SN, CO, BR, SS, US), pp. 1414–1425.
VLDBVLDB-2009-MullerGAS #clustering
Evaluating Clustering in Subspace Projections of High Dimensional Data (EM, SG, IA, TS), pp. 1270–1281.
VLDBVLDB-2009-Rajaraman #named #topic #using #web
Kosmix: High-Performance Topic Exploration using the Deep Web (AR), pp. 1524–1529.
ITiCSEITiCSE-2009-Al-BowAEFFLLM #education #game studies #programming #student #using
Using game creation for teaching computer programming to high school students and teachers (MAB, DA, JE, RF, JF, CL, STL, SM), pp. 104–108.
ITiCSEITiCSE-2009-Cassapu #education #experience #interactive
Introducing the interactive whiteboard in computer science teaching: a high school experience (AC), p. 364.
ITiCSEITiCSE-2009-Gal-EzerSZ #gender
Computer science issues in high school: gender and more... (JGE, DS, EZ), pp. 278–282.
ITiCSEITiCSE-2009-StarrBZ #development #education #framework #implementation
The development and implementation of a context-based curricular framework for computer science education in high schools (CWS, DB, PZ), pp. 283–287.
ICSMEICSM-2009-Li #analysis #dependence #identification #using
Identifying high-level dependence structures using slice-based dependence analysis (ZL), pp. 457–460.
SCAMSCAM-2009-AlikacemS #framework #metric
A Metric Extraction Framework Based on a High-Level Description Language (EHA, HAS), pp. 159–167.
PLDIPLDI-2009-NagarakatteZMZ #bound #c #memory management #named #safety
SoftBound: highly compatible and complete spatial memory safety for c (SN, JZ, MMKM, SZ), pp. 245–258.
ICALPICALP-v1-2009-DurandRS #complexity #fault
High Complexity Tilings with Sparse Errors (BD, AER, AS), pp. 403–414.
ICALPICALP-v2-2009-ClementiPS #named
MANETS: High Mobility Can Make Up for Low Transmission Power (AEFC, FP, RS), pp. 387–398.
ICFPICFP-2009-KleinDE #case study #experience #kernel #verification
Experience report: seL4: formally verifying a high-performance microkernel (GK, PD, KE), pp. 91–96.
CHICHI-2009-BiB #scalability
Comparing usage of a large high-resolution display to single or dual desktop displays for daily work (XB, RB), pp. 1005–1014.
HCIDHM-2009-NiedermaierDEK #process #usability
The New BMW iDrive — Applied Processes and Methods to Assure High Usability (BN, SD, LE, AK), pp. 443–452.
HCIHCI-AUII-2009-TokosumiM #component #higher-order
Extracting High-Order Aesthetic and Affective Components from Composer’s Writings (AT, HM), pp. 679–682.
HCIHCI-NT-2009-PalanqueLNB #interactive #prototype
High-Fidelity Prototyping of Interactive Systems Can Be Formal Too (PAP, JFL, DN, EB), pp. 667–676.
AdaEuropeAdaEurope-2009-LasnierZPH #analysis #automation #code generation #modelling
Ocarina : An Environment for AADL Models Analysis and Automatic Code Generation for High Integrity Applications (GL, BZ, LP, JH), pp. 237–250.
AdaEuropeAdaEurope-2009-UruenaZP #distributed #middleware #realtime #strict
A Restricted Middleware Profile for High-Integrity Distributed Real-Time Systems (SU, JZ, JAdlP), pp. 16–29.
AdaEuropeAdaEurope-2009-ZoviV #programming language #requirements
Requirements on the Target Programming Language for High-Integrity MDE (AZ, TV), pp. 1–15.
AdaSIGAda-2009-DinhB #design pattern #distributed #fault tolerance
Distributed container: a design pattern for fault tolerance and high speed data exchange (TD, SB), pp. 115–118.
AdaSIGAda-2009-Jennings #named #re-engineering #tool support
SPARK: the libre language and toolset for high-assurance software engineering (TJ), pp. 9–10.
ICEISICEIS-ISAS-2009-ChampclauxDM #information retrieval #precise #similarity
Enhancing High Precision by Combining Okapi BM25 with Structural Similarity in an Information Retrieval System (YC, TD, JM), pp. 279–285.
ICEISICEIS-ISAS-2009-EdgeSPC #compilation #policy #specification
Specifying and Compiling High Level Financial Fraud Policies into StreamSQL (MEE, PRFS, OP, MC), pp. 194–199.
ICEISICEIS-SAIC-2009-MaamarSTS #implementation #web #web service
A P2P Implementation for the High Availability of Web Services (ZM, MS, ST, QZS), pp. 19–24.
CIKMCIKM-2009-GedikAW #approach #code generation #data type #distributed #optimisation
A code generation approach to optimizing high-performance distributed data stream processing (BG, HA, KLW), pp. 847–856.
CIKMCIKM-2009-GunnemannMFS #concept #detection #orthogonal
Detection of orthogonal concepts in subspaces of high dimensional data (SG, EM, IF, TS), pp. 1317–1326.
CIKMCIKM-2009-Moussa #database #distributed #implementation #middleware
HDDBrs middleware for implementing highly available distributed databases (RM), pp. 2075–2076.
ICMLICML-2009-QiTZCZ #learning #metric #performance
An efficient sparse metric learning in high-dimensional space via l1-penalized log-determinant regularization (GJQ, JT, ZJZ, TSC, HJZ), pp. 841–848.
ICMLICML-2009-RadovanovicNI #nearest neighbour
Nearest neighbors in high-dimensional data: the emergence and influence of hubs (MR, AN, MI), pp. 865–872.
KEODKEOD-2009-GarridoR #assessment #information management #representation #requirements #validation
Knowledge Representation in Environmental Impact Assessment — A Case of Study with High Level Requirements in Validation (JG, IR), pp. 412–415.
MLDMMLDM-2009-KobayashiS #classification #distributed #linear #representation #using
A Linear Classification Method in a Very High Dimensional Space Using Distributed Representation (TK, IS), pp. 137–147.
RecSysRecSys-2009-NanopoulosRI #collaboration #how #question
How does high dimensionality affect collaborative filtering? (AN, MR, MI), pp. 293–296.
SIGIRSIGIR-2009-SeoJ #graph #precise #retrieval #using
High precision retrieval using relevance-flow graph (JS, JJ), pp. 694–695.
ICMTICMT-2009-CuadradoJMB #navigation
Experiments with a High-Level Navigation Language (JSC, FJ, JGM, JB), pp. 229–238.
MODELSMoDELS-2009-WolfeGP #algorithm #consistency #incremental #runtime
An Incremental Algorithm for High-Performance Runtime Model Consistency (CW, TCNG, WGP), pp. 357–371.
MODELSMoDELS-2009-WolfeGP #algorithm #consistency #incremental #runtime
An Incremental Algorithm for High-Performance Runtime Model Consistency (CW, TCNG, WGP), pp. 357–371.
TOOLSTOOLS-EUROPE-2009-BruniV #generative #named #prototype #using #virtual machine
PyGirl: Generating Whole-System VMs from High-Level Prototypes Using PyPy (CB, TV), pp. 328–347.
PADLPADL-2009-MouraRM #thread
High Level Thread-Based Competitive Or-Parallelism in Logtalk (PM, RR, SCM), pp. 107–121.
PADLPADL-2009-Porto #database #interactive #logic programming #relational
High-Level Interaction with Relational Databases in Logic Programming (AP), pp. 152–167.
SACSAC-2009-AragonR #workflow
Workflow management for high volume supernova search (CRA, KJR), pp. 949–955.
SACSAC-2009-BatistaR #ad hoc #framework #middleware #mobile #network #specification
High-level specification of a middleware framework for mobile ad hoc networks: spontaneousware case (VdFB, NSR), pp. 221–222.
SACSAC-2009-CamargoFK #distributed #maintenance #performance
Efficient maintenance of distributed data in highly dynamic opportunistic grids (RYdC, FCF, FK), pp. 1067–1071.
SACSAC-2009-CervelleFLR #framework #implementation #java #named
Banzai: a Java framework for the implementation of high-performance servers (JC, RF, GL, GR), pp. 1903–1909.
SACSAC-2009-HassaineMF
Composing a high fidelity HLA federation for littoral operations (FH, RM, CF), pp. 2087–2092.
ICSEICSE-2009-RamasubbuB #analysis #empirical #maturity #process
The impact of process choice in high maturity environments: An empirical analysis (NR, RKB), pp. 529–539.
HPCAHPCA-2009-Qureshi #adaptation #robust
Adaptive Spill-Receive for robust high-performance caching in CMPs (MKQ), pp. 45–54.
HPDCHPDC-2009-HironakaST #concurrent #performance #using
High performance wide-area overlay using deadlock-free routing (KH, HS, KT), pp. 81–90.
HPDCHPDC-2009-QinFP #approach #automation #composition #graph #grid #novel #quality #workflow
A novel graph based approach for automatic composition of high quality grid workflows (JQ, TF, RP), pp. 167–176.
HPDCHPDC-2009-ZimaHCC
Model-guided autotuning of high-productivity languages for petascale computing (HPZ, MWH, CC, JC), pp. 151–166.
PPoPPPPoPP-2009-ScottEVNTOLNNPMWNV #approach
A tunable holistic resiliency approach for high-performance computing systems (SLS, CE, GV, TN, AT, GO, CL, NN, RN, MP, FM, CW, ABN, JV), pp. 305–306.
CAVCAV-2009-Kim #performance #verification
Mixed-Signal System Verification: A High-Speed Link Example (JK), p. 16.
TAPTAP-2009-Chetali #certification #formal method #security #smarttech #testing
Security Testing and Formal Methods for High Levels Certification of Smart Cards (BC), pp. 1–5.
WICSAWICSA-2008-GortonWAC #data type #framework #integration #performance #streaming
The MeDICi Integration Framework: A Platform for High Performance Data Streaming Applications (IG, AW, JA, JC), pp. 95–104.
ASEASE-2008-BordinPP #agile #modelling #prototype #realtime #verification
Rapid Model-Driven Prototyping and Verification of High-Integrity Real-Time Systems (MB, MP, SP), pp. 491–492.
CASECASE-2008-NieIYTOM #analysis #behaviour #performance #video
High-speed video analysis of laboratory rats behaviors in forced swim test (YN, II, KY, TT, KO, HM), pp. 206–211.
CASECASE-2008-UnverM #automation #composition #design pattern #throughput #using
Using architectural software patterns in support of controlling modular high throughput screening automation systems (HÖÜ, JM), pp. 912–917.
CASECASE-2008-WasonGWMHM #modelling #process
Model-based control of a high-temperature crystal growth process (JDW, WG, JTW, KM, JH, SM), pp. 486–491.
CASECASE-2008-YuanZC #automation
Automatic mixing of highly viscous bio-samples (LY, YFZ, WC), pp. 900–905.
DACDAC-2008-BalkanQV #hybrid #network #parallel
An area-efficient high-throughput hybrid interconnection network for single-chip parallel processing (AOB, GQ, UV), pp. 435–440.
DACDAC-2008-KshirsagarEB #analysis #performance
Analysis and implications of parasitic and screening effects on the high-frequency/RF performance of tunneling-carbon nanotube FETs (CK, MNEZ, KB), pp. 250–255.
DACDAC-2008-LiL #modelling #performance #statistics
Statistical regression for efficient high-dimensional modeling of analog and mixed-signal performance variations (XL, HL), pp. 38–43.
DACDAC-2008-LinLLKWTCC
A 242mW, 10mm21080p H.264/AVC high profile encoder chip (YKL, DWL, CCL, TYK, SJW, WCT, WCC, TSC), pp. 78–83.
DACDAC-2008-PiyachonL #automaton #design #finite #pattern matching #performance
Design of high performance pattern matching engine through compact deterministic finite automata (PP, YL), pp. 852–857.
DACDAC-2008-SchnerrBVR #embedded #simulation
High-performance timing simulation of embedded software (JS, OB, AV, WR), pp. 290–295.
DATEDATE-2008-BrinksmaH #approach #dependence
Dependability for high-tech systems: an industry-as-laboratory approach (EB, JH), pp. 1226–1231.
DATEDATE-2008-CertnerLPTAD #approach #parallel #performance #predict #source code
A Practical Approach for Reconciling High and Predictable Performance in Non-Regular Parallel Programs (OC, ZL, PP, OT, FA, ND), pp. 740–745.
DATEDATE-2008-ChattopadhyayCILAM #architecture #configuration management #modelling
High-level Modelling and Exploration of Coarse-grained Re-configurable Architectures (AC, XC, HI, RL, GA, HM), pp. 1334–1339.
DATEDATE-2008-DuanK #energy #performance
Energy Efficient and High Speed On-Chip Ternary Bus (CD, SPK), pp. 515–518.
DATEDATE-2008-FreuerJGN #constraints #design #higher-order #on the #verification
On the Verification of High-Order Constraint Compliance in IC Design (JBF, GJ, JG, WN), pp. 26–31.
DATEDATE-2008-MeisterLT #algorithm #component #novel
Novel Pin Assignment Algorithms for Components with Very High Pin Counts (TM, JL, GT), pp. 837–842.
DATEDATE-2008-MostermanOSJKRCM #automation #embedded #functional #modelling
Automatically Realising Embedded Systems from High-Level Functional Models (PJM, DO, JS, AAJ, WK, VR, CGC, GM).
DATEDATE-2008-MuraliMAGBBM #manycore #optimisation #using
Temperature Control of High-Performance Multi-core Platforms Using Convex Optimization (SM, AM, DA, RG, SPB, LB, GDM), pp. 110–115.
DATEDATE-2008-Rimolo-DonadioSGKR #analysis #metric #optimisation
Analysis and Optimization of the Recessed Probe Launch for High Frequency Measurements of PCB Interconnects (RRD, CS, XG, YHK, MBR), pp. 252–255.
DATEDATE-2008-SrivastavaSB #multi
High-Frequency Mutual Impedance Extraction of VLSI Interconnects In the Presence of a Multi-layer Conducting Substrate (NS, RS, KB), pp. 426–431.
DATEDATE-2008-TcheghoMS
Optimal High-Resolution Spectral Analyzer (AT, HM, SS), pp. 62–67.
DATEDATE-2008-VenutoR #generative
PWM-Based Test Stimuli Generation for BIST of High Resolution ADCs (DDV, LR), pp. 284–287.
DATEDATE-2008-VersenSSD #analysis #locality
Test Instrumentation for a Laser Scanning Localization Technique for Analysis of High Speed DRAM devices (MV, AS, JS, DD), pp. 776–779.
DATEDATE-2008-WangSX #framework #synthesis
A Variation Aware High Level Synthesis Framework (FW, GS, YX), pp. 1063–1068.
DocEngDocEng-2008-KadeH #documentation #xml
Matching XML documents in highly dynamic applications (AMK, CAH), pp. 191–198.
DRRDRR-2008-XiangTLW #recognition #reliability #using
Achieving high recognition reliability using decision trees and AdaBoost (JX, XT, YL, PSPW), p. 68150.
SIGMODSIGMOD-2008-VlachouDNV #distributed #on the #performance #query
On efficient top-k query processing in highly distributed environments (AV, CD, KN, MV), pp. 753–764.
VLDBVLDB-2008-BlottW #question #similarity #what
What’s wrong with high-dimensional similarity search? (SB, RW), p. 3.
VLDBVLDB-2008-KallmanKNPRZJMSZHA #distributed #in memory #memory management #named #transaction
H-store: a high-performance, distributed main memory transaction processing system (RK, HK, JN, AP, AR, SBZ, EPCJ, SM, MS, YZ, JH, DJA), pp. 1496–1499.
VLDBVLDB-2008-KriegelKZ #clustering #correlation #detection
Detecting clusters in moderate-to-high dimensional data: subspace clustering, pattern-based clustering, and correlation clustering (HPK, PK, AZ), pp. 1528–1529.
VLDBVLDB-2008-LiTSPJM #architecture
Out-of-order processing: a new architecture for high-performance stream systems (JL, KT, VS, VP, TJ, DM), pp. 274–288.
VLDBVLDB-2008-ZhangPWN #correlation #higher-order #mining
Mining non-redundant high order correlations in binary data (XZ, FP, WW, ABN), pp. 1178–1188.
ITiCSEITiCSE-2008-Kolling #ide #learning #named #object-oriented #programming #visual notation
Greenfoot: a highly graphical ide for learning object-oriented programming (MK), p. 327.
ITiCSEITiCSE-2008-PullenC #distance #distributed #education #quality
Distributed application launching for high quality graphics in synchronous distance education (JMP, JXC), pp. 204–208.
PEPMPEPM-2008-GillenwaterMSZTGO #hardware #static typing #using
Synthesizable high level hardware descriptions: using statically typed two-level languages to guarantee verilog synthesizability (JG, GM, CS, AYZ, WT, JG, JO), pp. 41–50.
ICALPICALP-A-2008-ChierichettiV #graph
The Local Nature of List Colorings for Graphs of High Girth (FC, AV), pp. 320–332.
FMFM-2008-ChetaliN #evaluation #formal method #industrial #security
Industrial Use of Formal Methods for a High-Level Security Evaluation (BC, QHN), pp. 198–213.
GT-VCGT-VC-2007-Pennemann08 #algorithm #approximate #problem #satisfiability
An Algorithm for Approximating the Satisfiability Problem of High-level Conditions (KHP), pp. 75–94.
ICGTICGT-2008-AzabH #source code
High-Level Programs and Program Conditions (KA, AH), pp. 211–225.
ICGTICGT-2008-Pennemann #proving #theorem proving
Resolution-Like Theorem Proving for High-Level Conditions (KHP), pp. 289–304.
CSCWCSCW-2008-BayerlL #coordination #distributed #symmetry
Coordinating high-interdependency tasks in asymmetric distributed teams (PSB, KL), pp. 417–426.
AdaEuropeAdaEurope-2008-BordinTP #experience #integration #modelling
Experience in the Integration of Heterogeneous Models in the Model-driven Engineering of High-Integrity Systems (MB, TT, MP), pp. 171–184.
CIKMCIKM-2008-HuangWL #detection #precise
Achieving both high precision and high recall in near-duplicate detection (LH, LW, XL), pp. 63–72.
CIKMCIKM-2008-ValleCP #database #multi #scalability
High-dimensional descriptor indexing for large multimedia databases (EV, MC, SPF), pp. 739–748.
CIKMCIKM-2008-ZhangPW #named
REDUS: finding reducible subspaces in high dimensional data (XZ, FP, WW), pp. 961–970.
ECIRECIR-2008-MacdonaldHO #quality
High Quality Expertise Evidence for Expert Search (CM, DH, IO), pp. 283–295.
ICMLICML-2008-CaruanaKY #empirical #evaluation #learning
An empirical evaluation of supervised learning in high dimensions (RC, NK, AY), pp. 96–103.
ICMLICML-2008-CevikalpTP #classification
Nearest hyperdisk methods for high-dimensional classification (HC, BT, RP), pp. 120–127.
ICMLICML-2008-DuchiSSC #learning #performance
Efficient projections onto the l1-ball for learning in high dimensions (JCD, SSS, YS, TC), pp. 272–279.
ICPRICPR-2008-Adkins-HillFZS #comparison #empirical #video
An empirical comparison of high definition video and regular video in optical flow computation (JPAH, JMF, YZ, JRS), pp. 1–4.
ICPRICPR-2008-ChoeRLH #image #video
Image transformation for object tracking in high-resolution video (TEC, KR, MWL, NH), pp. 1–4.
ICPRICPR-2008-LiZ #algorithm #fixpoint #matrix #named #performance
FastNMF: A fast monotonic fixed-point non-negative Matrix Factorization algorithm with high ease of use (LL, YJZ), pp. 1–4.
ICPRICPR-2008-Sakai #approach #classification #incremental #monte carlo
Monte Carlo subspace method: An incremental approach to high-dimensional data classification (TS), pp. 1–4.
ICPRICPR-2008-TsaiWY #named
CDIKP: A highly-compact local feature descriptor (YTT, QW, SY), pp. 1–4.
ICPRICPR-2008-UedaT #locality #on the #scalability #using
On the scalability of robot localization using high-dimensional features (TU, KT), pp. 1–4.
ICPRICPR-2008-WatanabeKI #3d #image #integration #re-engineering
Integration of time-sequential range images for reconstruction of a high-resolution 3D shape (YW, TK, MI), pp. 1–4.
KDDKDD-2008-DavisD #learning #metric #problem
Structured metric learning for high dimensional problems (JVD, ISD), pp. 195–203.
KDDKDD-2008-GrossmanG #data mining #mining #performance #using
Data mining using high performance data clouds: experimental studies using sector and sphere (RLG, YG), pp. 920–927.
KDDKDD-2008-HuangDLL #clustering #equivalence #higher-order
Simultaneous tensor subspace selection and clustering: the equivalence of high order svd and k-means clustering (HH, CHQD, DL, TL), pp. 327–335.
KDDKDD-2008-KriegelSZ #detection
Angle-based outlier detection in high-dimensional data (HPK, MS, AZ), pp. 444–452.
KDDKDD-2008-MoiseS #approach #clustering #novel #statistics
Finding non-redundant, statistically significant regions in high dimensional data: a novel approach to projected and subspace clustering (GM, JS), pp. 533–541.
SIGIRSIGIR-2008-DongCL #distance #estimation #similarity #sketching #symmetry
Asymmetric distance estimation with sketches for similarity search in high-dimensional spaces (WD, MC, KL), pp. 123–130.
SIGIRSIGIR-2008-SkobeltsynJPB #named #web
ResIn: a combination of results caching and index pruning for high-performance web search engines (GS, FJ, VP, RABY), pp. 131–138.
PADLPADL-2008-BrasselHM #database #programming
High-Level Database Programming in Curry (BB, MH, MM), pp. 316–332.
PADLPADL-2008-CasasCH #execution #implementation #independence #strict #towards
Towards a High-Level Implementation of Execution Primitives for Unrestricted, Independent And-Parallelism (AC, MC, MVH), pp. 230–247.
PADLPADL-2008-KellerCCSB #generative #monte carlo
Specialising Simulator Generators for High-Performance Monte-Carlo Methods (GK, HCM, MMTC, DS, CBK), pp. 116–132.
PADLPADL-2008-MouraCN #multi #programming #thread
High-Level Multi-threading Programming in Logtalk (PM, PC, PN), pp. 265–281.
POPLPOPL-2008-MooreG #semantics #transaction
High-level small-step operational semantics for transactions (KFM, DG), pp. 51–62.
SACSAC-2008-CostaMO #approach #clustering #modelling
A hierarchical model-based approach to co-clustering high-dimensional data (GC, GM, RO), pp. 886–890.
SACSAC-2008-KeyvanpourA #approach #image #interactive #retrieval #semantics #using
A new approach for interactive semantic image retrieval using the high level semantics (MK, SA), pp. 1175–1179.
SACSAC-2008-SeifertWK #algorithm #detection #divide and conquer #interactive #paradigm
Application of divide-and-conquer algorithm paradigm to improve the detection speed of high interaction client honeypots (CS, IW, PK), pp. 1426–1432.
ASPLOSASPLOS-2008-SulemanQP #concurrent #execution #multi #power management #thread
Feedback-driven threading: power-efficient and high-performance execution of multi-threaded workloads on CMPs (MAS, MKQ, YNP), pp. 277–286.
CGOCGO-2008-FanPKM #hardware #reuse #scheduling
Modulo scheduling for highly customized datapaths to increase hardware reusability (KF, HP, MK, SAM), pp. 124–133.
HPCAHPCA-2008-LarsonSDDYGSKS #interactive #simulation
High-throughput pairwise point interactions in Anton, a specialized machine for molecular dynamics simulation (RHL, JKS, ROD, MMD, CY, JPG, YS, JLK, DES), pp. 331–342.
HPCAHPCA-2008-RashidH #concurrent #parallel #source code #thread
Supporting highly-decoupled thread-level redundancy for parallel programs (MWR, MCH), pp. 393–404.
HPDCHPDC-2008-DocanPK #named
DART: a substrate for high speed asynchronous data IO (CD, MP, SK), pp. 219–220.
HPDCHPDC-2008-NathUS #data-driven
Evaluating the usefulness of content addressable storage for high-performance data intensive applications (PN, BU, AS), pp. 35–44.
HPDCHPDC-2008-WangWG #named #network #performance
XenLoop: a transparent high performance inter-vm network loopback (JW, KLW, KG), pp. 109–118.
OSDIOSDI-2008-BhatiaKFP #lightweight #monitoring
Lightweight, High-Resolution Monitoring for Troubleshooting Production Systems (SB, AK, MEF, LLP), pp. 103–116.
OSDIOSDI-2008-CadarDE #automation #generative #named #source code #testing
KLEE: Unassisted and Automatic Generation of High-Coverage Tests for Complex Systems Programs (CC, DD, DRE), pp. 209–224.
OSDIOSDI-2008-YuIFBEGC #distributed #named #using
DryadLINQ: A System for General-Purpose Distributed Data-Parallel Computing Using a High-Level Language (YY, MI, DF, MB, ÚE, PKG, JC), pp. 1–14.
PPoPPPPoPP-2008-DiamondRKGGB #algebra #distributed #linear #performance
High performance dense linear algebra on a spatially distributed processor (JRD, BR, SWK, RAvdG, KG, DB), pp. 63–72.
PPoPPPPoPP-2008-GregorL #c# #design #framework #implementation
Design and implementation of a high-performance MPI for C# and the common language infrastructure (DG, AL), pp. 133–142.
PPoPPPPoPP-2008-HerlihyK #transaction
Transactional boosting: a methodology for highly-concurrent transactional objects (MH, EK), pp. 207–216.
PPoPPPPoPP-2008-MaratheM #memory management #performance #towards #transaction
Toward high performance nonblocking software transactional memory (VJM, MM), pp. 227–236.
CAVCAV-2008-KunduLG #synthesis #validation
Validating High-Level Synthesis (SK, SL, RG), pp. 459–472.
ICLPICLP-2008-CasasCH #implementation #independence #nondeterminism #strict
A High-Level Implementation of Non-deterministic, Unrestricted, Independent And-Parallelism (AC, MC, MVH), pp. 651–666.
IJCARIJCAR-2008-BeierleKK #automation #implementation #reasoning
A High-Level Implementation of a System for Automated Reasoning with Default Rules (System Description) (CB, GKI, NK), pp. 147–153.
IJCARIJCAR-2008-Otten #agile #logic #performance #proving #theorem proving
leanCoP 2.0and ileanCoP 1.2: High Performance Lean Theorem Proving in Classical and Intuitionistic Logic (System Descriptions) (JO), pp. 283–291.
ECSAECSA-2007-SantosAB #lightweight #web #web service
Lightweight Web Services for High Performace Computing (AS, FA, VBP), pp. 225–236.
CASECASE-2007-AllwardtWHST #integration #throughput
Enhancing the Throughput of Catalyst Screening Labs by Integration of a High Pressure Microplate Reactor (AA, CW, SHL, NS, KT), pp. 381–385.
CASECASE-2007-KimLSSZ #approach #biology #metric #performance #using
Iterative Control Approach to High-Speed Force-Distance Curve Measurement Using AFM for Biological Applications (KSK, ZL, PS, SS, QZ), pp. 219–224.
CASECASE-2007-KimLSSZ07a #approach #biology #metric #performance #using
Iterative Control Approach to High-Speed Force-Distance Curve Measurement Using AFM for Biological Applications (KSK, ZL, PS, SS, QZ), p. 91–?.
CASECASE-2007-PopaLMDS #assembly #automation
High Yield Automated MEMS Assembly (DOP, WHL, RM, AND, HES), pp. 1099–1104.
CASECASE-2007-PuSZD #operating system #precise #realtime
An Application of Real-time Operating System in High Speed and High Precision Motion Control Systems (DP, XS, WZ, HD), pp. 997–1001.
CASECASE-2007-SalernoZBLDGSPYBN #automation #design
Design Considerations for a Minimally Invasive High-Throughput Automation System for Radiation Biodosimetry (AS, JZ, AB, OVL, AD, GG, NS, GRP, YLY, DJB, JN), pp. 846–852.
CASECASE-2007-VanijjirattikhanKCS #biology #modelling #petri net #process #simulation
Timed Petri Net Modeling and Simulation of a High-Throughput Biological Screening Process (RV, DK, MYC, NS), pp. 442–447.
CASECASE-2007-YamamotoFI #3d #metric #multi #performance #using
A High-Speed 3D Shape Measurement System Using a Multi-Sided Mirror (KY, HF, II), pp. 823–828.
CASECASE-2007-YangXL
Two-Degree-of-Freedom Based Cross-Coupled Control for High-Accuracy Tracking Systems (JY, JX, ZL), pp. 950–955.
CASECASE-2007-YangZL #identification #modelling #performance
Modeling and Identification for High-Speed Milling Machines (JY, DZ, ZL), pp. 346–351.
CASECASE-2007-ZimmermannS #modelling #precise #simulation
Modeling for Simulation and Control of a X-Y High Precision Positioning Table (JZ, OS), pp. 1093–1098.
DACDAC-2007-BobrekPT #modelling #resource management
Shared Resource Access Attributes for High-Level Contention Models (AB, JMP, DET), pp. 720–725.
DACDAC-2007-LiKBR #flexibility #performance #power management
High Performance and Low Power Electronics on Flexible Substrate (JL, KK, AB, KR), pp. 274–275.
DACDAC-2007-LucasHE #library #named #realtime
FlexWAFE — A High-end Real-Time Stream Processing Library for FPGAs (AdCL, SH, RE), pp. 916–921.
DACDAC-2007-PiyachonL #pattern matching #performance #state machine
Compact State Machines for High Performance Pattern Matching (PP, YL), pp. 493–496.
DACDAC-2007-PuttaswamyL #3d #scalability
Scalability of 3D-Integrated Arithmetic Units in High-Performance Microprocessors (KP, GHL), pp. 622–625.
DACDAC-2007-SingheeR #performance #statistics
Beyond Low-Order Statistical Response Surfaces: Latent Variable Regression for Efficient, Highly Nonlinear Fitting (AS, RAR), pp. 256–261.
DATEDATE-2007-AminzadehDL #design #pipes and filters
Design of high-resolution MOSFET-only pipelined ADCs with digital calibration (HA, MD, RL), pp. 427–432.
DATEDATE-2007-BaguenaLBDOBH #development #flexibility #generative
Development of on board, highly flexible, Galileo signal generator ASIC (LB, EL, AB, JMD, CO, PB, VH), pp. 679–683.
DATEDATE-2007-KurraSP #synthesis
The impact of loop unrolling on controller delay in high level synthesis (SK, NKS, PRP), pp. 391–396.
DATEDATE-2007-LeGB #pervasive #verification
Formal verification of a pervasive interconnect bus system in a high-performance microprocessor (TL, TG, JB), pp. 219–224.
DATEDATE-2007-Lysecky #embedded #performance #power management
Low-power warp processor for power efficient high-performance embedded systems (RLL), pp. 141–146.
DATEDATE-2007-MatarreseMCDB #algorithm #performance #validation
Experimental validation of a tuning algorithm for high-speed filters (GM, CM, FC, SD, AB), pp. 421–426.
DATEDATE-2007-MolinaRMH #multi #optimisation #synthesis
Area optimization of multi-cycle operators in high-level synthesis (MCM, RRS, JMM, RH), pp. 449–454.
DATEDATE-2007-PapadonikolakisPK #implementation #performance
Efficient high-performance ASIC implementation of JPEG-LS encoder (MEP, VP, AK), pp. 159–164.
DATEDATE-2007-SaponaraPTCF #network #reliability
FPGA-based networking systems for high data-rate and reliable in-vehicle communications (SS, EP, MT, IDC, LF), pp. 480–485.
DATEDATE-2007-SavojAAGH #performance
A new technique for characterization of digital-to-analog converters in high-speed systems (JS, AAA, AA, BWG, MAH), pp. 433–438.
DATEDATE-2007-SchneiderSKW #interactive #simulation #statistics
Interactive presentation: Statistical simulation of high-frequency bipolar circuits (WS, MS, WK, HW), pp. 1397–1402.
DATEDATE-2007-WangWC
Unknown blocking scheme for low control data volume and high observability (SW, WW, STC), pp. 33–38.
DATEDATE-2007-WangY #fault #synthesis #testing
High-level test synthesis for delay fault testability (SJW, THY), pp. 45–50.
DATEDATE-2007-WielageMAW #design #embedded #performance
Design and DfT of a high-speed area-efficient embedded asynchronous FIFO (PW, EJM, MA, CW), pp. 853–858.
DATEDATE-2007-XuC #array
A cross-referencing-based droplet manipulation method for high-throughput and pin-constrained digital microfluidic arrays (TX, KC), pp. 552–557.
ICDARICDAR-2007-HuangSHFN #approach #difference #recognition #using
An SVM-Based High-accurate Recognition Approach for Handwritten Numerals by Using Difference Features (KH, JS, YH, KF, SN), pp. 589–593.
ICDARICDAR-2007-WangW #performance
A High Performance European OCR System (KW, QW), pp. 232–236.
SIGMODSIGMOD-2007-BrennaDGHOPRTW #named
Cayuga: a high-performance event processing engine (LB, AJD, JG, MH, JO, BP, MR, MT, WMW), pp. 1100–1102.
SIGMODSIGMOD-2007-FernandezJMOS #distributed #xquery
Highly distributed XQuery with DXQ (MFF, TJ, KM, NO, JS), pp. 1159–1161.
VLDBVLDB-2007-BansalK #analysis #named #online
BlogScope: A System for Online Analysis of High Volume Text Streams (NB, NK), pp. 1410–1413.
VLDBVLDB-2007-ChoS #crawling #rank
RankMass Crawler: A Crawler with High PageRank Coverage Guarantee (JC, US), pp. 375–386.
VLDBVLDB-2007-GedikBY #named #performance #sorting
CellSort: High Performance Sorting on the Cell Processor (BG, RB, PSY), pp. 1286–1207.
VLDBVLDB-2007-LvJWCL #multi #performance #similarity
Multi-Probe LSH: Efficient Indexing for High-Dimensional Similarity Search (QL, WJ, ZW, MC, KL), pp. 950–961.
CSEETCSEET-2007-RoutS #maintenance #process #student
Maintaining High Process Capability in a Student Project Course (TPR, JS), pp. 37–44.
ITiCSEITiCSE-2007-BuechleyEE #education #towards
Towards a curriculum for electronic textiles in the high school classroom (LB, ME, NE), pp. 28–32.
ITiCSEITiCSE-2007-HalstensenH #interactive #online
Highly interactive online study skills course: “unstuck” (MH, FH), p. 332.
ITiCSEITiCSE-2007-RavehHY #student
Transforming a high school student project in computer science into a significant scientific achievement (BR, BH, CY), p. 331.
CSMRCSMR-2007-BourqunK #architecture #refactoring
High-impact Refactoring Based on Architecture Violations (FB, RKK), pp. 149–158.
SCAMSCAM-2007-RodenVEM #agile #empirical #metric #modelling #process #quality #using
An Empirical Study of the Relationship of Stability Metrics and the QMOOD Quality Models Over Software Developed Using Highly Iterative or Agile Software Processes (PLR, SV, LHE, SLM), pp. 171–179.
PLDIPLDI-2007-NovarkBZ #automation #fault #memory management #named #probability
Exterminator: automatically correcting memory errors with high probability (GN, EDB, BGZ), pp. 1–11.
CIAACIAA-J-2006-BastienCFR07 #exponential
Reducing Simple Grammars: Exponential against Highly-Polynomial Time in Practice (CB, JC, WF, WR), pp. 715–725.
ICFPICFP-2007-NystromTK #distributed
Evaluating high-level distributed language constructs (JHN, PWT, DJK), pp. 203–212.
CHICHI-2007-BrumbyHS #constraints #trade-off
A cognitive constraint model of dual-task trade-offs in a highly dynamic driving task (DPB, AH, DDS), pp. 233–242.
HCIDHM-2007-RapalaN #reliability #safety
Clinical Patient Safety — Achieving High Reliability in a Complex System (KR, JCN), pp. 710–716.
HCIDHM-2007-VolzBHK #automation #generative #linear #metric #using
Automatic, Body Measurements Based Generation of Individual Avatars Using Highly Adjustable Linear Transformation (AV, RB, SH, KK), pp. 453–459.
HCIHCI-IDU-2007-EschenbrennerN #identification #information management #what
What Makes Them So Special?: Identifying Attributes of Highly Competent Information System Users (BE, FFHN), pp. 736–745.
HCIHCI-IDU-2007-KantolaJ #case study #requirements #usability
Determining High Level Quantitative Usability Requirements: A Case Study (NK, TJ), pp. 536–543.
HCIHCI-IDU-2007-LewandowskiLB #component #composition #modelling
Tasks Models Merging for High-Level Component Composition (AL, SL, GB), pp. 1129–1138.
AdaEuropeAdaEurope-2007-BordinV #approach #correctness #metamodelling #realtime
Correctness by Construction for High-Integrity Real-Time Systems: A Metamodel-Driven Approach (MB, TV), pp. 114–127.
AdaEuropeAdaEurope-2007-ZalilaHHP #architecture #distributed #generative
Generating Distributed High Integrity Applications from Their Architectural Description (BZ, IH, JH, LP), pp. 155–167.
ICEISICEIS-AIDSS-2007-Cebulla #coordination #reasoning #semantics #specification #towards
Reactive Commonsense Reasoning — Towards Semantic Coordination with High-Level Specifications (MC), pp. 113–118.
ICEISICEIS-DISI-2007-DangelmaierRHBKDT #information management #named #reliability
OOPUS — a production planning information system to assure high delivery reliability under short-term demand changes and production disturbances (WD, TR, TH, DB, DK, AD, TT), pp. 423–432.
ICEISICEIS-EIS-2007-EnstromWH #assurance #enterprise #security
A Reference Model for Enterprise Security — High Assurance Enterprise Security (DWE, DW, SH), pp. 355–364.
ICEISICEIS-HCI-2007-IshikawaYY #multi #novel #using
Novel View Telepresence with High-Scalability Using Multi-Casted Omni-Directional Videos (TI, KY, NY), pp. 148–156.
ICEISICEIS-SAIC-2007-BoukadiGMB #petri net #specification #using #verification #web #web service
Specification and Verification of Views over Composite Web Services Using High Level Petri-Nets (KB, CG, ZM, DB), pp. 107–112.
CIKMCIKM-2007-BhowmickLS #evaluation #performance #xml
Efficient evaluation of high-selective xml twig patterns with parent child edges in tree-unaware rdbms (SSB, EL, HS), pp. 673–682.
CIKMCIKM-2007-MarinC #distributed
High-performance distributed inverted files (MM, VGC), pp. 935–938.
CIKMCIKM-2007-Martinez-BazanMGNSL #graph #information retrieval #named #scalability
Dex: high-performance exploration on large graphs for information retrieval (NMB, VMM, SGV, JN, MASM, JLLP), pp. 573–582.
KDDKDD-2007-KolczY #classification
Raising the baseline for high-precision text classifiers (AK, WtY), pp. 400–409.
KDDKDD-2007-PerlichRLZ #estimation #modelling
High-quantile modeling for customer wallet estimation and other applications (CP, SR, RDL, BZ), pp. 977–985.
SEKESEKE-2007-KuoSSTZ #random testing #source code #testing
Enhanced Random Testing for Programs with High Dimensional Input Domains (FCK, KYS, CaS, SFT, ZZ), pp. 135–140.
SEKESEKE-2007-SunZZJM #adaptation #component #distributed #self #towards
Towards Constructing High-available Decentralized Systems via Self-adaptive Components (XS, LZ, LZ, WJ, HM), pp. 296–301.
SIGIRSIGIR-2007-Haubold #ranking #retrieval #video
Selection and ranking of text from highly imperfect transcripts for retrieval of video content (AH), pp. 791–792.
SIGIRSIGIR-2007-MagalhaesR #image #retrieval #visual notation
High-dimensional visual vocabularies for image retrieval (JM, SMR), pp. 815–816.
SIGIRSIGIR-2007-MasegosaJJ #documentation #predict
Effects of highly agreed documents in relevancy prediction (ARM, HJ, JMJ), pp. 883–884.
SIGIRSIGIR-2007-Potthast #detection #similarity #wiki
Wikipedia in the pocket: indexing technology for near-duplicate detection and high similarity search (MP), p. 909.
OOPSLAOOPSLA-2007-SpringPGV #java #named #programming
Streamflex: high-throughput stream programming in java (JHS, JP, RG, JV), pp. 211–228.
SACSAC-2007-ArnautovicKFPS #communication #specification #towards
Gradual transition towards autonomic software systems based on high-level communication specification (EA, HK, JF, RP, AS), pp. 84–89.
SACSAC-2007-KuoCLC #adaptation #random testing #testing
Enhancing adaptive random testing in high dimensional input domains (FCK, TYC, HL, WKC), pp. 1467–1472.
SACSAC-2007-LeeHPLJK #performance #regular expression #using
A high performance NIDS using FPGA-based regular expression matching (JL, SHH, NP, SWL, SJ, YSK), pp. 1187–1191.
SACSAC-2007-YiKOJKD #detection #hardware #performance
Memory-efficient content filtering hardware for high-speed intrusion detection systems (SY, BKK, JO, JJ, GK, CRD), pp. 264–269.
ICSEICSE-2007-TurhanB #re-engineering
A Template for Real World Team Projects for Highly Populated Software Engineering Classes (BT, ABB), pp. 748–753.
LDTALDTA-J-2005-WaddingtonY #c #c++ #program transformation
High-fidelity C/C++ code transformation (DGW, BY), pp. 64–78.
HPCAHPCA-2007-PuttaswamyL #3d #architecture
Thermal Herding: Microarchitecture Techniques for Controlling Hotspots in High-Performance 3D-Integrated Processors (KP, GHL), pp. 193–204.
HPDCHPDC-2007-BurgerK #grid #multi #named
MOB: zero-configuration high-throughput multicasting for grid applications (MdB, TK), pp. 159–168.
HPDCHPDC-2007-RajS #performance #scalability #self
High performance and scalable I/O virtualization via self-virtualized devices (HR, KS), pp. 179–188.
HPDCHPDC-2007-WeigleC #network #performance
Partial content distribution on high performance networks (EW, AAC), pp. 137–146.
PPoPPPPoPP-2007-IancuS #communication #network #optimisation #performance
Optimizing communication overlap for high-speed networks (CI, ES), pp. 35–45.
PPoPPPPoPP-2007-MohrorK #case study #clustering #linux
A study of tracing overhead on a high-performance linux cluster (KM, KLK), pp. 158–159.
SOSPSOSP-2007-DeCandiaHJKLPSVV #named
Dynamo: amazon’s highly available key-value store (GD, DH, MJ, GK, AL, AP, SS, PV, WV), pp. 205–220.
ISSTAISSTA-2007-CohenDS #configuration management #constraints #interactive #testing
Interaction testing of highly-configurable systems in the presence of constraints (MBC, MBD, JS), pp. 129–139.
LICSLICS-2007-RabinST #correctness #performance #proving
Highly Efficient Secrecy-Preserving Proofs of Correctness of Computations and Applications (MOR, RAS, CT), pp. 63–76.
ASEASE-2006-GrundyHZL #editing #generative #specification #visual notation
Generating Domain-Specific Visual Language Editors from High-level Tool Specifications (JCG, JGH, NZ, NL), pp. 25–36.
ASEASE-2006-Mattmann #data-driven #distributed
Software Connectors for Highly Distributed and Voluminous Data Intensive Systems (CM), pp. 331–334.
CASECASE-2006-SaiK #automation #reliability
Highly Reliable and Accurate Level Radar for Automated Legal Custody Transfer and Inventory Management (BS, BK), pp. 346–350.
DACDAC-2006-AroraRRSJC #architecture #mobile #multi #security
Software architecture exploration for high-performance security processing on a multiprocessor mobile SoC (DA, AR, SR, MS, NKJ, STC), pp. 496–501.
DACDAC-2006-BudnikRBR
A high density, carbon nanotube capacitor for decoupling applications (MMB, AR, AB, KR), pp. 935–938.
DACDAC-2006-ChoCCV #cost analysis #embedded #energy #power management
High-level power management of embedded systems with application-specific energy cost functions (YC, NC, CC, SBKV), pp. 568–573.
DACDAC-2006-FengH #equivalence #verification
Early cutpoint insertion for high-level software vs. RTL formal combinational equivalence verification (XF, AJH), pp. 1063–1068.
DACDAC-2006-GuptaGP #agile #estimation #specification
Rapid estimation of control delay from high-level specifications (GRG, MG, PRP), pp. 455–458.
DACDAC-2006-HatamkhaniLSY #design #performance
Power-centric design of high-speed I/Os (HH, FL, VS, CKKY), pp. 867–872.
DACDAC-2006-LuoNP #design #incremental #performance
A new LP based incremental timing driven placement for high performance designs (TL, DN, DZP), pp. 1115–1120.
DACDAC-2006-MokhoffZ #trade-off
Tradeoffs and choices for emerging SoCs in high-end applications (NM, YZ), p. 273.
DACDAC-2006-NakamuraTOTY #design #scalability
Budgeting-free hierarchical design method for large scale and high-performance LSIs (YN, MT, TO, ST, KY), pp. 955–958.
DACDAC-2006-ShiC #array #performance
Efficient escape routing for hexagonal array of high density I/Os (RS, CKC), pp. 1003–1008.
DACDAC-2006-SovianiHE #pipes and filters #synthesis
Synthesis of high-performance packet processing pipelines (CS, IH, SAE), pp. 679–682.
DACDAC-2006-WongB #multi #performance #polynomial
Multi-shift quadratic alternating direction implicit iteration for high-speed positive-real balanced truncation (NW, VB), pp. 257–260.
DACDAC-2006-YangLD #memory management #operating system
High-performance operating system controlled memory compression (LY, HL, RPD), pp. 701–704.
DATEDATE-2006-AbbasIA #detection
On-chip 8GHz non-periodic high-swing noise detector (MA, MI, KA), pp. 670–671.
DATEDATE-2006-AhmedM #design #performance #uml #using
Faster exploration of high level design alternatives using UML for better partitions (WA, DM), pp. 579–580.
DATEDATE-2006-EyermanEB #design #embedded #performance
Efficient design space exploration of high performance embedded out-of-order processors (SE, LE, KDB), pp. 351–356.
DATEDATE-2006-HosangadiFK #optimisation #using
Optimizing high speed arithmetic circuits using three-term extraction (AH, FF, RK), pp. 1294–1299.
DATEDATE-2006-KastnerGHBKBS #communication #layout #optimisation #synthesis
Layout driven data communication optimization for high level synthesis (RK, WG, XH, FB, AK, PB, MS), pp. 1185–1190.
DATEDATE-2006-LucasHRERWGFHES #configuration management #framework #realtime
A reconfigurable HW/SW platform for computation intensive high-resolution real-time digital film applications (AdCL, SH, PR, RE, HR, GW, KG, RF, WH, SE, GS), pp. 194–199.
DATEDATE-2006-MajidzadehS #design #higher-order #novel
Arbitrary design of high order noise transfer function for a novel class of reduced-sample-rate sigma-delta-pipeline ADCs (VM, OS), pp. 138–143.
DATEDATE-2006-OmanaCRM #detection #fault #low cost #reliability
Low-cost and highly reliable detector for transient and crosstalk faults affecting FPGA interconnects (MO, JMC, DR, CM), pp. 170–175.
DATEDATE-2006-PanditKMP #hardware #higher-order #synthesis
High level synthesis of higher order continuous time state variable filters with minimum sensitivity and hardware count (SP, SK, CAM, AP), pp. 1203–1204.
DATEDATE-DF-2006-BarontiDKMRSSSV
FlexRay transceiver in a 0.35 µm CMOS high-voltage technology (FB, PD, MK, RM, RR, RS, MS, RS, VV), pp. 201–205.
DATEDATE-DF-2006-PapaefstathiouP #classification
A hardware-engine for layer-2 classification in low-storage, ultra-high bandwidth environments (VP, IP), pp. 112–117.
DATEDATE-DF-2006-QuaglioVCTM #flexibility #framework
Interconnection framework for high-throughput, flexible LDPC decoders (FQ, FV, CC, AT, GM), pp. 124–129.
DATEDATE-DF-2006-SteenhofDNGL #architecture #network
Networks on chips for high-end consumer-electronics TV system architectures (FS, HD, BN, KG, RPL), pp. 148–153.
DocEngDocEng-2006-GormishS #documentation #editing #image #standard #using
Standards based high resolution Document editing using low resolution proxy images (MJG, ELS), p. 33.
SIGMODSIGMOD-2006-ChanJTTZ
Finding k-dominant skylines in high dimensional space (CYC, HVJ, KLT, AKHT, ZZ), pp. 503–514.
SIGMODSIGMOD-2006-GovindarajuGKM #database #named #performance #scalability #sorting
GPUTeraSort: high performance graphics co-processor sorting for large database management (NKG, JG, RK, DM), pp. 325–336.
SIGMODSIGMOD-2006-Matias #performance #roadmap
Trends in high performance analytics (YM), p. 720.
SIGMODSIGMOD-2006-WuDR
High-performance complex event processing over streams (EW, YD, SR), pp. 407–418.
VLDBVLDB-2006-LauM #approach #distributed
An Integrated Approach to Recovery and High Availability in an Updatable, Distributed Data Warehouse (EL, SM), pp. 703–714.
VLDBVLDB-2006-ZhangZWSP #feedback #image #interactive #using
Using High Dimensional Indexes to Support Relevance Feedback Based Interactive Images Retrival (JZ, XZ, WW, BS, JP), pp. 1211–1214.
CSEETCSEET-2006-Northrop #architecture #education #quality
Let’s Teach Architecting High Quality Software (LMN), p. 5.
ITiCSEITiCSE-2006-BennettBC #case study
High school computing clubs: a pilot study (AB, JB, MC), pp. 38–42.
ITiCSEITiCSE-2006-CostaTBS #metric #quality #sequence
Reconstructed high frame rate sequences quality measurement tool (MIC, CT, JB, SFSPS), p. 333.
ITiCSEITiCSE-2006-YoungM #experience
Aluminum foil satellite dishes and a millennium of experience: sustainability in the high Andes (AY, LM), p. 2.
ICPCICPC-2006-RooverMGGD #approach #behaviour #documentation #lightweight #verification
An Approach to High-Level Behavioral Program Documentation Allowing Lightweight Verification (CDR, IM, KG, KG, TD), pp. 202–211.
PLDIPLDI-2006-SpoonhowerABCG #named #programming #realtime
Eventrons: a safe programming construct for high-frequency hard real-time applications (DS, JSA, DFB, PC, DG), pp. 283–294.
FLOPSFLOPS-2006-Tozawa #transducer #type checking #using #xml
XML Type Checking Using High-Level Tree Transducer (AT), pp. 81–96.
CIAACIAA-2006-BastienCFR #exponential
Reducing Simple Grammars: Exponential Against Highly-Polynomial Time in Practice (CB, JC, WF, WR), pp. 90–101.
ICGTICGT-2006-HabelP #satisfiability
Satisfiability of High-Level Conditions (AH, KHP), pp. 430–444.
ICGTICGT-2006-HabelPR #source code
Weakest Preconditions for High-Level Programs (AH, KHP, AR), pp. 445–460.
CSCWCSCW-2006-Danis #collaboration #learning #performance
Forms of collaboration in high performance computing: exploring implications for learning (CD), pp. 501–504.
AdaEuropeAdaEurope-2006-UruenaZBPP #communication #distributed #protocol #realtime
The Arbitrated Real-Time Protocol (AR-TP): A Ravenscar Compliant Communication Protocol for High-Integrity Distributed Systems (SU, JZ, DB, JAP, JAdlP), pp. 215–226.
AdaSIGAda-2006-Dewar #ada
Ada 2005 & high integrity systems (RD), p. 43.
EDOCEDOC-2006-BendraouGB #abstraction #execution #modelling #named #process #uml
UML4SPM: An Executable Software Process Modeling Language Providing High-Level Abstractions (RB, MPG, XB), pp. 297–306.
EDOCEDOC-2006-DongYZ #composition #petri net #testing #using #web #web service
Testing BPEL-based Web Service Composition Using High-level Petri Nets (WLD, HY, YBZ), pp. 441–444.
ICEISICEIS-DISI-2006-AntolliniCB #enterprise #implementation #information management
Implementing a High Level PUB/SUB Layer for Enterprise Information Systems (MA, MC, APB), pp. 54–62.
CIKMCIKM-2006-ZhangF #correlation
Finding highly correlated pairs efficiently with powerful pruning (JZ, JF), pp. 152–161.
ICMLICML-2006-McAuleyCSF #higher-order #image #learning
Learning high-order MRF priors of color images (JJM, TSC, AJS, MOF), pp. 617–624.
ICMLICML-2006-TingDS
Bayesian regression with input noise for high dimensional data (JAT, AD, SS), pp. 937–944.
ICPRICPR-v1-2006-AnC #dataset
Finding Rule Groups to Classify High Dimensional Gene Expression Datasets (JA, YPPC), pp. 1196–1199.
ICPRICPR-v1-2006-BauerBSP #sequence #video
Finding Highly Frequented Paths in Video Sequences (DB, NB, SS, RPP), pp. 387–391.
ICPRICPR-v1-2006-HuYN #automation #generative
Automatic Pose Recovery for High-Quality Textures Generation (JH, SY, UN), pp. 561–565.
ICPRICPR-v2-2006-ChenY #modelling #using #video
Exploiting High Dimensional Video Features Using Layered Gaussian Mixture Models (DC, JY), pp. 1078–1081.
ICPRICPR-v2-2006-Liang #analysis #image
High-dimensional discriminant analysis and its application to color face images (ZL), pp. 917–920.
ICPRICPR-v2-2006-Liu #classification #feature model #polynomial #recognition #using
High Accuracy Handwritten Chinese Character Recognition Using Quadratic Classifiers with Discriminative Feature Extraction (CLL), pp. 942–945.
ICPRICPR-v4-2006-NagaharaMIYS #generative #using #video
High-resolution Video Generation Using Morphing (HN, TM, YI, MY, TS), pp. 338–341.
ICPRICPR-v4-2006-WangK #approach #automation #estimation #using
Automatic Alignment of High-Resolution NMR Spectra Using a Bayesian Estimation Approach (ZW, SBK), pp. 667–670.
ICPRICPR-v4-2006-XieZH #security
NDFT-based Audio Watermarking Scheme with High Security (LX, JZ, HH), pp. 270–273.
ICPRICPR-v4-2006-ZhangY06a #recognition
Insulators Recognition for 220kv/330kv High-voltage Live-line Cleaning Robot (JZ, RY), pp. 630–633.
KDDKDD-2006-LoekitoB #diagrams #mining #performance #using
Fast mining of high dimensional expressive contrast patterns using zero-suppressed binary decision diagrams (EL, JB), pp. 307–316.
KDDKDD-2006-YeW #analysis
Regularized discriminant analysis for high dimensional, low sample size data (JY, TW), pp. 454–463.
SEKESEKE-2006-MichielsRBBD #modelling #testing #using
Program Testing Using High-Level Property-Driven Models (IM, CDR, JB, EGB, TD), pp. 489–494.
SIGIRSIGIR-2006-Chu-CarrollPCFD #approach #information retrieval #semantics #xml
Semantic search via XML fragments: a high-precision approach to IR (JCC, JMP, KC, DAF, PAD), pp. 445–452.
SIGIRSIGIR-2006-MatveevaBBLW #multi #retrieval
High accuracy retrieval with multiple nested ranker (IM, CB, TB, AL, LW), pp. 437–444.
SIGIRSIGIR-2006-Sakai06a #documentation
Give me just one highly relevant document: P-measure (TS), pp. 695–696.
MODELSMoDELS-2006-BerghC #interactive #modelling
CUP 2.0: High-Level Modeling of Context-Sensitive Interactive Applications (JVdB, KC), pp. 140–154.
MODELSMoDELS-2006-CibranD #aspect-oriented #slicing
A Slice of MDE with AOP: Transforming High-Level Business Rules to Aspects (MAC, MD), pp. 170–184.
MODELSMoDELS-2006-GoldsbyCKK #analysis #assurance #formal method #framework #modelling #visualisation
A Visualization Framework for the Modeling and Formal Analysis of High Assurance Systems (HG, BHCC, SK, SK), pp. 707–721.
MODELSMoDELS-2006-BerghC #interactive #modelling
CUP 2.0: High-Level Modeling of Context-Sensitive Interactive Applications (JVdB, KC), pp. 140–154.
MODELSMoDELS-2006-CibranD #aspect-oriented #slicing
A Slice of MDE with AOP: Transforming High-Level Business Rules to Aspects (MAC, MD), pp. 170–184.
MODELSMoDELS-2006-GoldsbyCKK #analysis #assurance #formal method #framework #modelling #visualisation
A Visualization Framework for the Modeling and Formal Analysis of High Assurance Systems (HG, BHCC, SK, SK), pp. 707–721.
RERE-2006-ReiserW #multi #product line
Managing Highly Complex Product Families with Multi-Level Feature Trees (MOR, MW), pp. 146–155.
SACSAC-2006-GeraciPPS #algorithm #clustering #scalability #web
A scalable algorithm for high-quality clustering of web snippets (FG, MP, PP, FS), pp. 1058–1062.
SACSAC-2006-GiannettiFTNRC #performance
High performance XSL-FO rendering for variable data printing (FG, LGF, RT, TN, MR, MBC), pp. 811–817.
SACSAC-2006-PirkolaTKJ #named #quality #word
FITE-TRT: a high quality translation technique for OOV words (AP, JT, HK, KJ), pp. 1043–1049.
FSEFSE-2006-JhalaM #reasoning
Bit level types for high level reasoning (RJ, RM), pp. 128–140.
ICSEICSE-2006-DongHZQ #modelling #named
HighSpec: a tool for building and checking OZTA models (JSD, PH, XZ, SQ), pp. 775–778.
ICSEICSE-2006-MattmannCMH #architecture #data-driven #distributed #framework
A software architecture-based framework for highly distributed and data intensive scientific applications (CM, DJC, NM, SH), pp. 721–730.
ICSEICSE-2006-WoollardM #architecture #parallel #symmetry
An architectural style for high-performance asymmetrical parallel computations (DW, NM), pp. 857–860.
ASPLOSASPLOS-2006-BinkertSR #interface #network
Integrated network interfaces for high-bandwidth TCP/IP (NLB, AGS, SKR), pp. 315–324.
ASPLOSASPLOS-2006-ReddyRP #comprehension #fault tolerance #predict #thread
Understanding prediction-based partial redundant threading for low-overhead, high- coverage fault tolerance (VKR, ER, SP), pp. 83–94.
HPCAHPCA-2006-KumarA #concurrent #detection #fault #performance
Reducing resource redundancy for concurrent error detection techniques in high performance microprocessors (SK, AA), pp. 212–221.
HPCAHPCA-2006-NakanoMGT #named #performance
ReViveI/O: efficient handling of I/O in highly-available rollback-recovery servers (JN, PM, KG, JT), pp. 200–211.
HPCAHPCA-2006-YuSHACGMPERTLG #performance
High performance file I/O for the Blue Gene/L supercomputer (HY, RKS, CH, GA, JGC, MG, JEM, JJP, TE, RBR, RT, RL, WDG), pp. 187–196.
HPDCHPDC-2006-AamnitchiDG #energy #physics #resource management
Filecules in High-Energy Physics: Characteristics and Impact on Resource Management (AI, SD, GG), pp. 69–80.
HPDCHPDC-2006-BrunetAN #network #optimisation
Short Paper : Dynamic Optimization of Communications over High Speed Networks (EB, OA, RN), pp. 345–346.
HPDCHPDC-2006-CaiKS #data type #named #network #performance #predict
IQ-Paths: Predictably High Performance Data Streams across Dynamic Network Overlays (ZC, VK, KS), pp. 18–29.
HPDCHPDC-2006-GoscinskiA #named #performance #virtual machine
Motor: A Virtual Machine for High Performance Computing (WG, DA), pp. 171–182.
HPDCHPDC-2006-LiuSW #approach #combinator #throughput
A High Throughput Approach to Combinatorial Search on Grids (YL, AMS, SW), pp. 351–352.
HPDCHPDC-2006-SandholmLOO #grid #performance #predict #resource management #using
Market-Based Resource Allocation using Price Prediction in a High Performance Computing Grid for Scientific Applications (TS, KL, JAO, JO), pp. 132–143.
HPDCHPDC-2006-SilbersteinKSSL
Materializing Highly Available Grids (MS, GK, AS, AS, ML), pp. 321–323.
OSDIOSDI-2006-WeilBMLM #distributed #file system #named #scalability
Ceph: A Scalable, High-Performance Distributed File System (SAW, SAB, ELM, DDEL, CM), pp. 307–320.
PPoPPPPoPP-2006-HuTH #algorithm #manycore #network #parallel #thread
High-performance IPv6 forwarding algorithm for multi-core and multithreaded network processor (XH, XT, BH), pp. 168–177.
PPoPPPPoPP-2006-SahaAHMH #manycore #memory management #named #performance #runtime #transaction
McRT-STM: a high performance software transactional memory system for a multi-core runtime (BS, ARAT, RLH, CCM, BH), pp. 187–197.
PPoPPPPoPP-2006-VafeiadisHHS #correctness #proving
Proving correctness of highly-concurrent linearisable objects (VV, MH, CARH, MS), pp. 129–136.
MBTMBT-2006-CallananGRSTZ #approach #monte carlo #runtime #verification
Runtime Verification for High-Confidence Systems: A Monte Carlo Approach (SC, RG, AR, SAS, MRT, EZ), pp. 41–52.
ASEASE-2005-FalbPRJAK #automation #specification #synthesis #user interface #using
Using communicative acts in high-level specifications of user interfaces for their automated synthesis (JF, RP, TR, HJ, EA, HK), pp. 429–430.
CASECASE-2005-MeldrumHFSMRPMCDW #analysis
Sample preparation in glass capillaries for high-throughput biochemical analyses (DRM, MH, CHF, MSS, SKM, TTHR, WHP, SEM, DLC, DAD, PJW), pp. 7–12.
DACDAC-2005-Balderas-ContrerasC #encryption #network #performance
High performance encryption cores for 3G networks (TBC, RC), pp. 240–243.
DACDAC-2005-Chatterjee #design #process #verification
Streamline verification process with formal property verification to meet highly compressed design cycle (PC), pp. 674–677.
DACDAC-2005-DongR #automation #megamodelling #performance
Automated nonlinear Macromodelling of output buffers for high-speed digital applications (ND, JSR), pp. 51–56.
DACDAC-2005-MukherjeeMM #resource management #synthesis
Temperature-aware resource allocation and binding in high-level synthesis (RM, SOM, GM), pp. 196–201.
DACDAC-2005-SekarLRD #architecture #communication #configuration management #named
FLEXBUS: a high-performance system-on-chip communication architecture with a dynamically configurable topology (KS, KL, AR, SD), pp. 571–574.
DACDAC-2005-SuC05a #synthesis
Unified high-level synthesis and module placement for defect-tolerant microfluidic biochips (FS, KC), pp. 825–830.
DACDAC-2005-TangZB #library #optimisation #power management #synthesis
Leakage power optimization with dual-Vth library in high-level synthesis (XT, HZ, PB), pp. 202–207.
DACDAC-2005-ZykovMJVS #architecture #novel #performance #trade-off
High performance computing on fault-prone nanotechnologies: novel microarchitecture techniques exploiting reliability-delay trade-offs (AVZ, EM, MFJ, GdV, AS), pp. 270–273.
DATEDATE-2005-BiswasBDPI #generative #named #set
ISEGEN: Generation of High-Quality Instruction Set Extensions by Iterative Improvement (PB, SB, NDD, LP, PI), pp. 1246–1251.
DATEDATE-2005-LopezCLS #estimation #quality
A High Quality/Low Computational Cost Technique for Block Matching Motion Estimation (SL, GMC, JFL, RS), pp. 2–7.
DATEDATE-2005-ReshadiD #generative #modelling #performance #pipes and filters
Generic Pipelined Processor Modeling and High Performance Cycle-Accurate Simulator Generation (MR, NDD), pp. 786–791.
DATEDATE-2005-Ruiz-SautuaMMH #behaviour #performance #synthesis
Behavioural Transformation to Improve Circuit Performance in High-Level Synthesis (RRS, MCM, JMM, RH), pp. 1252–1257.
DATEDATE-2005-SchianoOLPS #analysis #fault #on the #reliability
On the Analysis of Reed Solomon Coding for Resilience to Transient/Permanent Faults in Highly Reliable Memories (LS, MO, FL, SP, AS), pp. 580–585.
DATEDATE-2005-TosunMAKX #synthesis
Reliability-Centric High-Level Synthesis (ST, NM, EA, MTK, YX), pp. 1258–1263.
DATEDATE-2005-YangVTV #automation #debugging #design #fault #modelling #power management
Extraction Error Modeling and Automated Model Debugging in High-Performance Low Power Custom Designs (YSY, AGV, PJT, SV), pp. 996–1001.
DocEngDocEng-2005-BalinskyP #documentation
Emphasis for highly customized documents (HB, MP), p. 30.
DRRDRR-2005-Nishida #image
Restoring high-resolution text images to improve legibility and OCR accuracy (HN), pp. 136–147.
HTHT-2005-RampBB #adaptation #hypermedia
High-level translation of adaptive hypermedia applications (ER, PDB, PB), pp. 126–128.
SIGMODSIGMOD-2005-GallagherJL #transaction
A high-performance, transactional filestore for application servers (BG, DJ, AL), pp. 868–872.
ITiCSEITiCSE-2005-Christensen05a #architecture #complexity #java #named
TS-05: 150 lines of java with high architectural complexity (HBC), p. 396.
PLDIPLDI-2005-ChenLLLLLJ #named #network #performance #programming
Shangri-La: achieving high performance from compiled network applications while enabling ease of programming (MKC, XFL, RL, JHL, LL, TL, RJ), pp. 224–236.
STOCSTOC-2005-RubinfeldS #testing
Testing monotone high-dimensional distributions (RR, RAS), pp. 147–156.
IFMIFM-2005-GrunskeLYW #analysis #automation #behaviour #design #specification
An Automated Failure Mode and Effect Analysis Based on High-Level Design Specification with Behavior Trees (LG, PAL, NY, KW), pp. 129–149.
ICFPICFP-2005-DiatchkiJL #low level
High-level views on low-level representations (ISD, MPJ, RL), pp. 168–179.
ICFPICFP-2005-SewellLWNAHV #design #distributed #named #programming language
Acute: high-level programming language design for distributed computation (PS, JJL, KW, FZN, MAW, PH, VV), pp. 15–26.
IFLIFL-2005-HuchK #composition #concurrent #haskell #implementation #memory management #transaction
A High-Level Implementation of Composable Memory Transactions in Concurrent Haskell (FH, FK), pp. 124–141.
CIKMCIKM-2005-GatesTC #taxonomy
Taxonomies by the numbers: building high-performance taxonomies (SCG, WT, KSFC), pp. 568–577.
CIKMCIKM-2005-OrlandicLY #clustering #effectiveness #performance #reduction #using
Clustering high-dimensional data using an efficient and effective data space reduction (RO, YL, WGY), pp. 201–208.
CIKMCIKM-2005-ZhangGLTW
Discovering strong skyline points in high dimensional spaces (ZZ, XG, HL, AKHT, NW), pp. 247–248.
ECIRECIR-2005-LehtokangasKJ #documentation
Dictionary-Based CLIR Loses Highly Relevant Documents (RL, HK, KJ), pp. 421–432.
ICMLICML-2005-MichelsSN #learning #using
High speed obstacle avoidance using monocular vision and reinforcement learning (JM, AS, AYN), pp. 593–600.
KDDKDD-2005-Aggarwal #algorithm #classification #towards
Towards exploratory test instance specific algorithms for high dimensional classification (CCA), pp. 526–531.
KDDKDD-2005-GaoLZCM #clustering #consistency #graph #higher-order #semistructured data
Consistent bipartite graph co-partitioning for star-structured high-order heterogeneous data co-clustering (BG, TYL, XZ, QC, WYM), pp. 41–50.
MLDMMLDM-2005-CaoHXW #algorithm #network #recognition
Iris Recognition Algorithm Based on Point Covering of High-Dimensional Space and Neural Network (WC, JH, GX, SW), pp. 305–313.
MLDMMLDM-2005-LaiST #image #recognition
Support Vector Machine Experiments for Road Recognition in High Resolution Images (JYL, AS, JT), pp. 426–436.
ECMFAECMDA-FA-2005-Kleppe #towards
Towards General Purpose, High Level, Software Languages (AK), pp. 220–238.
SACSAC-2005-PaigeCMS #programming
High-integrity extreme programming (RFP, HC, JAM, ZRS), pp. 1518–1523.
SACSAC-2005-YoonYMWD #3d #interactive #network #visualisation
Interactive 3D visualization of highly connected ecological networks on the WWW (IY, SY, NDM, RJW, JAD), pp. 1207–1212.
LDTALDTA-2005-WaddingtonY #c #c++ #program transformation
High-Fidelity C/C++ Code Transformation (DGW, BY), pp. 35–56.
CGOCGO-2005-ArnoldG #graph #virtual machine
Collecting and Exploiting High-Accuracy Call Graph Profiles in Virtual Machines (MA, DG), pp. 51–62.
CGOCGO-2005-BurtscherS #automation #generative
Automatic Generation of High-Performance Trace Compressors (MB, NBS), pp. 229–240.
HPCAHPCA-2005-Weber #roadmap
Trends in High-Performance Processors (FW), p. 3.
HPDCHPDC-2005-BatsakisB #clustering #fault tolerance
Cluster delegation: high-performance, fault-tolerant data sharing in NFS (AB, RCB), pp. 100–109.
HPDCHPDC-2005-KonishiOHI #case study #throughput
A case study of instant workbench for InterProScan by Knoppix high throughput computing edition (FK, SO, YH, MI), pp. 301–302.
HPDCHPDC-2005-LiuP #component #self
Enabling self-management of component-based high-performance scientific applications (HL, MP), pp. 59–68.
HPDCHPDC-2005-ShasharinaVSV #distributed #interactive #named
GRIDL: high-performance and distributed interactive data language (SGS, OV, PS, SV), pp. 291–292.
PPoPPPPoPP-2005-ChenFGLABD #approach #fault tolerance #performance
Fault tolerant high performance computing by a coding approach (ZC, GEF, EG, JL, TA, GB, JD), pp. 213–223.
PPoPPPPoPP-2005-LowGZ #algebra #algorithm #linear #parallel #specification
Extracting SMP parallelism for dense linear algebra algorithms from high-level specifications (TML, RAvdG, FGVZ), pp. 153–163.
PPoPPPPoPP-2005-SuraFWMLP #compilation #consistency #java #performance #source code
Compiler techniques for high performance sequentially consistent java programs (ZS, XF, CLW, SPM, JL, DAP), pp. 2–13.
CBSECBSE-2004-Mikic-RakicM #architecture #distributed
Software Architectural Support for Disconnected Operation in Highly Distributed Environments (MMR, NM), pp. 23–39.
DACDAC-2004-BasuLWMB #optimisation #power management
Simultaneous optimization of supply and threshold voltages for low-power and high-performance circuits in the leakage dominant era (AB, SCL, VW, AM, KB), pp. 884–887.
DACDAC-2004-PieperMPTK #multi #simulation
High level cache simulation for heterogeneous multiprocessors (JJP, AM, JMP, DET, FK), pp. 287–292.
DACDAC-2004-PlasBVDWDGM #simulation
High-level simulation of substrate noise in high-ohmic substrates with interconnect and supply effects (GVdP, MB, GV, PD, PW, SD, GGEG, HDM), pp. 854–859.
DACDAC-2004-YangM #adaptation #higher-order #modelling
An Essentially Non-Oscillatory (ENO) high-order accurate Adaptive table model for device modeling (BY, BM), pp. 864–867.
DATEDATE-DF-2004-BonaZZ #industrial #modelling #simulation
System Level Power Modeling and Simulation of High-End Industrial Network-on-Chip (AB, VZ, RZ), pp. 318–323.
DATEDATE-DF-2004-BruschiB #communication #design #synthesis
A Design Methodology for the Exploitation of High Level Communication Synthesis (FB, MB), pp. 180–185.
DATEDATE-DF-2004-DaddaMO #design
The Design of a High Speed ASIC Unit for the Hash Function SHA-256 (384, 512) (LD, MM, JO), pp. 70–75.
DATEDATE-DF-2004-Dandia #design #performance
Package Design for High Performance ICs (SD), p. 5.
DATEDATE-DF-2004-JuniorC #design #low cost #statistics
Highly Digital, Low-Cost Design of Statistic Signal Acquisition in SoCs (AAdSJ, LC), pp. 10–15.
DATEDATE-DF-2004-PaulinPBLL #framework #multi #performance
Application of a Multi-Processor SoC Platform to High-Speed Packet Forwarding (PGP, CP, EB, ML, DL), pp. 58–63.
DATEDATE-DF-2004-Ruiz-AmayaRMFRPR #matlab #synthesis
MATLAB/SIMULINK-Based High-Level Synthesis of Discrete-Time and Continuous-Time [Sigma, Delta] Modulators (JRA, JLdlR, FM, FVF, RdR, MBPV, ÁRV), pp. 150–155.
DATEDATE-DF-2004-Tissafi-DrissiOG #automation #design #framework #multi #named #performance
RUNE: Platform for Automated Design of Integrated Multi-Domain Systems. Application to High-Speed CMOS Photoreceiver Front-Ends (FTD, IO, FG), pp. 16–21.
DATEDATE-DF-2004-WortmannSM #architecture #performance
A High-Speed Transceiver Architecture Implementable as Synthesizable IP Core (AW, SS, MM), pp. 46–51.
DATEDATE-v1-2004-GuptaDGN #control flow #design #synthesis
Loop Shifting and Compaction for the High-Level Synthesis of Designs with Complex Control Flow (SG, ND, RG, AN), pp. 114–121.
DATEDATE-v1-2004-JangKLCLS #architecture #case study #modelling #network
High-Level System Modeling and Architecture Exploration with SystemC on a Network SoC: S3C2510 Case Study (HOJ, MK, MjL, KC, KL, KS), pp. 538–543.
DATEDATE-v1-2004-RenaudinBPTSG #security
High Security Smartcards (MR, GFB, PP, JPT, LS, FG), pp. 228–233.
DATEDATE-v1-2004-Taherzadeh-SaniLS #design #optimisation #pipes and filters
Systematic Design for Optimization of High-Resolution Pipelined ADCs (MTS, RL, OS), pp. 678–679.
DATEDATE-v2-2004-AragonNVB #design #embedded #energy
Energy-Efficient Design for Highly Associative Instruction Caches in Next-Generation Embedded Processors (JLA, DN, AVV, AMB), pp. 1374–1375.
DATEDATE-v2-2004-ChandraXSP #design #performance
An Interconnect Channel Design Methodology for High Performance Integrated Circuits (VC, AX, HS, LTP), pp. 1138–1143.
DATEDATE-v2-2004-ElviraMAG #generative #megamodelling #performance #simulation
A Macromodelling Methodology for Efficient High-Level Simulation of Substrate Noise Generation (LE, FM, XA, JLG), pp. 1362–1363.
DATEDATE-v2-2004-ViamontesMH #quantum #simulation
High-Performance QuIDD-Based Simulation of Quantum Circuits (GFV, ILM, JPH), pp. 1354–1355.
DATEDATE-v2-2004-WangLC #fault #hardware #hybrid #testing
Hybrid Delay Scan: A Low Hardware Overhead Scan-Based Delay Test Technique for High Fault Coverage and Compact Test Sets (SW, XL, STC), pp. 1296–1301.
SIGMODSIGMOD-2004-BalazinskaBS #distributed
Load Management and High Availability in the Medusa Distributed Stream Processing System (MB, HB, MS), pp. 929–930.
SIGMODSIGMOD-2004-Hall #challenge #policy #requirements
Requirements and Policy Challenges in Highly Secure Environments (DEH), pp. 897–898.
SIGMODSIGMOD-2004-ShahHB #fault tolerance #parallel
Highly-Available, Fault-Tolerant, Parallel Dataflows (MAS, JMH, EAB), pp. 827–838.
VLDBVLDB-2004-AggarwalHWY #clustering #data type #framework
A Framework for Projected Clustering of High Dimensional Data Streams (CCA, JH, JW, PSY), pp. 852–863.
VLDBVLDB-2004-BloomS #case study #data transformation
Managing Data from High-Throughput Genomic Processing: A Case Study (TB, TS), pp. 1198–1201.
VLDBVLDB-2004-Cha #scalability
P*TIME: Highly Scalable OLTP DBMS for Managing Update-Intensive Stream Workload (SKC, CS), pp. 1033–1044.
VLDBVLDB-2004-CooperEFHJKRRW #architecture #named
HiFi: A Unified Architecture for High Fan-in Systems (OC, AE, MJF, WH, SRJ, SK, FR, SR, EW), pp. 1357–1360.
VLDBVLDB-2004-FontouraSZRN #algorithm #intranet #performance
High Performance Index Build Algorithms for Intranet Search Engines (MF, EJS, JYZ, SR, AN), pp. 1158–1169.
VLDBVLDB-2004-LiHG #approach
High-Dimensional OLAP: A Minimal Cubing Approach (XL, JH, HG), pp. 528–539.
VLDBVLDB-2004-LitwinMS #distributed
LH*RS: A Highly Available Distributed Data Storage (WL, RM, TJES), pp. 1289–1292.
VLDBVLDB-2004-WuOS #on the #performance
On the performance of bitmap indices for high cardinality attributes (KW, EJO, AS), pp. 24–35.
VLDBVLDB-2004-XuJ #constraints #performance #personalisation
Efficient Constraint Processing for Highly Personalized Location Based Services (ZX, HAJ), pp. 1285–1288.
VLDBVLDB-2004-YuCLZ #data type #mining #transaction
False Positive or False Negative: Mining Frequent Itemsets from High Speed Transactional Data Streams (JXY, ZC, HL, AZ), pp. 204–215.
VLDBVLDB-2004-ZhangLLW #detection #named
HOS-Miner: A System for Detecting Outlying Subspaces of High-dimensional Data (JZ, ML, TWL, HHW), pp. 1265–1268.
ITiCSEITiCSE-2004-ArmoniG #modelling #on the #student
On the achievements of high school students studying computational models (MA, JGE), pp. 17–21.
FASEFASE-2004-MoldtO #automation #named #petri net
DaGen: A Tool for Automatic Translation from DAML-S to High-Level Petri Nets (DM, JO), pp. 209–213.
CSMRCSMR-2004-DucasseLB #runtime
High-Level Polymetric Views of Condensed Run-time Information (SD, ML, RB), pp. 309–318.
ICSMEICSM-2004-Basili #dependence #empirical #evolution
Empirically Evolving Software Techniques: The High Dependability Computing Project (VRB), p. 3.
PLDIPLDI-2004-VachharajaniVA #component #modelling #reuse #specification
The liberty structural specification language: a high-level modeling language for component reuse (MV, NV, DIA), pp. 195–206.
ICALPICALP-2004-IndykLLP #problem
Closest Pair Problems in Very High Dimensions (PI, ML, OL, EP), pp. 782–792.
ICALPICALP-2004-Serre #complexity #game studies
Games with Winning Conditions of High Borel Complexity (OS), pp. 1150–1162.
IFMIFM-2004-ThanhK #composition #modelling #object-oriented #petri net
Object-Oriented Modelling with High-Level Modular Petri Nets (CBT, HK), pp. 287–306.
ICFPICFP-2004-Launchbury #assurance #named
Galois: high assurance software (JL), p. 3.
ICGTICGT-2004-EhrigEHP #constraints #graph
Constraints and Application Conditions: From Graphs to High-Level Structures (HE, KE, AH, KHP), pp. 287–303.
ICGTICGT-2004-EhrigHPP #category theory
Adhesive High-Level Replacement Categories and Systems (HE, AH, JP, UP), pp. 144–160.
CSCWCSCW-2004-DyckGSF
High-performance telepointers (JD, CG, SS, CF), pp. 172–181.
AdaEuropeAdaEurope-2004-AmeyW #ada #c #uml
High Integrity Ada in a UML and C World (PA, NW), pp. 225–236.
AdaEuropeAdaEurope-2004-HiltonH #ada #logic #programmable
High-Integrity Interfacing to Programmable Logic with Ada (AJH, JGH), pp. 249–260.
ICEISICEIS-v1-2004-Frank #database #design #performance #transaction
Transaction Design for Databases with High Performance and Availability (LF), pp. 222–226.
ICEISICEIS-v2-2004-BoudjeloudP #detection #visualisation
Outlier Detection and Visualisation in High Dimensional Data (LB, FP), pp. 485–488.
ICEISICEIS-v2-2004-DoP #data mining #mining #tool support #towards #visualisation
Towards High Dimensional Data Mining with Boosting of PSVM and Visualization Tools (TND, FP), pp. 36–41.
ECIRECIR-2004-XueS #categorisation #reduction
Eliminating High-Degree Biased Character Bigrams for Dimensionality Reduction in Chinese Text Categorization (XD, MS), pp. 197–208.
ICPRICPR-v1-2004-GllavataEF #classification #detection #image
Text Detection in Images Based on Unsupervised Classification of High-Frequency Wavelet Coefficients (JG, RE, BF), pp. 425–428.
ICPRICPR-v1-2004-GotoA #documentation #image
Screen Pattern Removal for Character Pattern Extraction from High-resolution Color Document Images (HG, HA), pp. 490–493.
ICPRICPR-v1-2004-OmachiSA #estimation #precise #recognition
Precise Estimation of High-Dimensional Distribution and Its Application to Face Recognition (SO, FS, HA), pp. 220–223.
ICPRICPR-v2-2004-AltmuellerH #approximate #probability
Approximating High Dimensional Probability Distributions (SA, RMH), pp. 299–302.
ICPRICPR-v2-2004-DuanQ #image #performance
Fast Tone Mapping for High Dynamic Range Images (JD, GQ), pp. 847–850.
ICPRICPR-v2-2004-LindgrenH #component #image #independence #learning #representation
Learning High-level Independent Components of Images through a Spectral Representation (JTL, AH), pp. 72–75.
ICPRICPR-v2-2004-PranckevicieneBS #classification #identification
Consensus-Based Identification of Spectral Signatures for Classification of High-Dimensional Biomedical Spectra (EP, RB, RLS), pp. 319–322.
ICPRICPR-v2-2004-SarkarB #automation
Decoder Banks: Versatility, Automation, and High Accuracy without Supervised Training (PS, HSB), pp. 646–649.
ICPRICPR-v2-2004-XuGSRTW #classification
High Accuracy Classification of EEG Signal (WX, CG, CES, SR, MT, JW), pp. 391–394.
ICPRICPR-v3-2004-TakahashiMMY #generative #quality #visualisation
High Quality Isosurface Generation from Volumetric Data and Its Application to Visualization of Medical CT data (TT, YM, HM, TY), pp. 734–737.
ICPRICPR-v3-2004-WuTR #algorithm #component #video
High Frequency Component Compensation based Super-Resolution Algorithm for Face Video Enhancement (JW, MMT, BDR), pp. 598–601.
ICPRICPR-v3-2004-YuCS #quality
Vignetting Distortion Correction Method for High Quality Digital Imaging (WY, YC, JS), pp. 666–669.
ICPRICPR-v4-2004-Amano #image
Image Interpolation by High Dimensional Projection based on Subspace Method (TA), pp. 665–668.
ICPRICPR-v4-2004-KamTEYW #automation #behaviour #recognition
Automated Recognition of Highly Complex Human Behavior (AHK, KAT, HLE, WYY, JW), pp. 327–330.
ICPRICPR-v4-2004-SatoKYI #interface #using
An Immersive Telepresence System with a Locomotion Interface Using High-Resolution Omnidirectional Movies (SI, TS, MK, NY), pp. 396–399.
KDDKDD-2004-ChilsonNWZ #correlation #matrix #parallel #robust
Parallel computation of high dimensional robust correlation and covariance matrices (JC, RTN, AW, RHZ), pp. 533–538.
KDDKDD-2004-LiuYM #algorithm #classification #parametricity #performance
The IOC algorithm: efficient many-class non-parametric classification for high-dimensional data (TL, KY, AWM), pp. 629–634.
SIGIRSIGIR-2004-ShahC #retrieval
Evaluating high accuracy retrieval techniques (CS, WBC), pp. 2–9.
OOPSLAOOPSLA-2004-SachindranMB #garbage collection #named
MC2: high-performance garbage collection for memory-constrained environments (NS, JEBM, EDB), pp. 81–98.
SACSAC-2004-AdamJA #dataset #detection
Neighborhood based detection of anomalies in high dimensional spatio-temporal sensor datasets (NRA, VPJ, VA), pp. 576–583.
SACSAC-2004-CarmeliGHNNSV #reliability #throughput
High throughput reliable message dissemination (BC, GG, AH, NN, HN, JS, PV), pp. 322–327.
SACSAC-2004-ChangWL #performance #web
Enhanced object management for high performance web proxies (YJC, YCW, FL), pp. 1711–1716.
SACSAC-2004-Hosobe04a #approach #graph #interactive #visualisation
A high-dimensional approach to interactive graph visualization (HH), pp. 1253–1257.
SACSAC-2004-WuCY #performance
VCR indexing for fast event matching for highly-overlapping range predicates (KLW, SKC, PSY), pp. 740–747.
ICSEICSE-2004-BirmanRV #behaviour #web #web service
Adding High Availability and Autonomic Behavior to Web Services (KPB, RvR, WV), pp. 17–26.
ICSEICSE-2004-BlackburnCM #garbage collection #java #performance
Oil and Water? High Performance Garbage Collection in Java with MMTk (SMB, PC, KSM), pp. 137–146.
CGOCGO-2004-AlmogRSS #architecture #energy #optimisation
Specialized Dynamic Optimizations for High-Performance Energy-Efficient Microarchitecture (YA, RR, NS, AS), pp. 137–150.
HPCAHPCA-2004-FalconRV #multi #thread
A Low-Complexity, High-Performance Fetch Unit for Simultaneous Multithreading Processors (AF, AR, MV), pp. 244–253.
OSDIOSDI-2004-RenesseS #replication #throughput
Chain Replication for Supporting High Throughput and Availability (RvR, FBS), pp. 91–104.
CAVCAV-2004-SchroterK #model checking #parallel #petri net
Parallel LTL-X Model Checking of High-Level Petri Nets Based on Unfoldings (CS, VK), pp. 109–121.
FATESFATES-2004-Wu-Hen-ChangVBGC #testing
High-Level Restructuring of TTCN-3 Test Data (AWHC, DLV, GB, RG, GC), pp. 180–194.
LICSLICS-2004-Abramsky #quantum
High-Level Methods for Quantum Computation and Information (SA), pp. 410–414.
DACDAC-2003-ChanKLNR #performance #physics #synthesis
Physical synthesis methodology for high performance microprocessors (YHC, PK, LBL, GAN, TER), pp. 696–701.
DACDAC-2003-GorenZGWBALSTGPJSSDH #design #modelling
On-chip interconnect-aware design and modeling methodology, based on high bandwidth transmission line devices (DG, MZ, RG, IAW, AB, AA, BL, AS, YT, RAG, JP, DLJ, SES, RS, CED, DLH), pp. 724–727.
DACDAC-2003-ManeatisKMMS #generative #multi #self
Self-biased high-bandwidth low-jitter 1-to-4096 multiplier clock generator PLL (JGM, JK, IM, JM, MS), pp. 688–690.
DACDAC-2003-RenG #performance
Synthesizing optimal filters for crosstalk-cancellation for high-speed buses (JR, MRG), pp. 592–597.
DACDAC-2003-Schubert #verification
High level formal verification of next-generation microprocessors (TS), pp. 1–6.
DACDAC-2003-ShiG #hybrid #performance #power management
Hybrid hierarchical timing closure methodology for a high performance and low power DSP (KS, GG), pp. 850–855.
DACDAC-2003-WongM #composition #data-driven #synthesis
High-level synthesis of asynchronous systems by data-driven decomposition (CGW, AJM), pp. 508–513.
DATEDATE-2003-AgarwalRV #architecture #pipes and filters
Exploring High Bandwidth Pipelined Cache Architecture for Scaled Technology (AA, KR, TNV), pp. 10778–10783.
DATEDATE-2003-AlbiolGA #design #performance
Improved Design Methodology for High-Speed High-Accuracy Current Steering D/A Converters (MA, JLG, EA), pp. 10636–10641.
DATEDATE-2003-CassidyPT #concurrent #design #multi #performance #thread
Layered, Multi-Threaded, High-Level Performance Design (ASC, JMP, DET), pp. 10954–10959.
DATEDATE-2003-ChiouBR #multi #power management #synthesis
Synthesis of Application-Specific Highly-Efficient Multi-Mode Systems for Low-Power Applications (LYC, SB, KR), pp. 10096–10103.
DATEDATE-2003-ChooMR #architecture #named #power management #synthesis
MRPF: An Architectural Transformation for Synthesis of High-Performance and Low-Power Digital Filters (HC, KM, KR), pp. 10700–10705.
DATEDATE-2003-GuptaDGN #branch #design #synthesis
Dynamic Conditional Branch Balancing during the High-Level Synthesis of Control-Intensive Designs (SG, NDD, RKG, AN), pp. 10270–10275.
DATEDATE-2003-KnieserWPWM
A Technique for High Ratio LZW Compression (MJK, FGW, CAP, DJW, DRM), pp. 10116–10121.
DATEDATE-2003-LogothetisS #analysis #source code
Exact High Level WCET Analysis of Synchronous Programs by Symbolic State Space Exploration (GL, KS), pp. 10196–10203.
DATEDATE-2003-LoKWH #design #identification #standard
A Custom-Cell Identification Method for High-Performance Mixed Standard/Custom-Cell Designs (JYLL, WAK, ACHW, TH), pp. 11102–11103.
DATEDATE-2003-MolinaMH #hardware
High-Level Allocation to Minimize Internal Hardware Wastage (MCM, JMM, RH), pp. 10264–10269.
DATEDATE-2003-NicolaescuVN #embedded #power management
Reducing Power Consumption for High-Associativity Data Caches in Embedded Processors (DN, AVV, AN), pp. 11064–11069.
DATEDATE-2003-NielsenM #synthesis
Power Constrained High-Level Synthesis of Battery Powered Digital Systems (SFN, JM), pp. 11136–11137.
DATEDATE-2003-OikonomakosZA #metric #online #self #synthesis #testing #using
Versatile High-Level Synthesis of Self-Checking Datapaths Using an On-Line Testability Metric (PO, MZ, BMAH), pp. 10596–10601.
DATEDATE-2003-OmanaRM #parallel
High Speed and Highly Testable Parallel Two-Rail Code Checker (MO, DR, CM), pp. 10608–10615.
DATEDATE-2003-SantosFTT #generative #quality
RTL Test Pattern Generation for High Quality Loosely Deterministic BIST (MBS, JMF, ICT, JPT), pp. 10994–10999.
DATEDATE-2003-SoniRHRV #named #network #performance
NPSE: A High Performance Network Packet Search Engine (NS, NR, LBH, SR, GV), pp. 20074–20081.
DATEDATE-2003-VelenisPF #network #nondeterminism #performance
Reduced Delay Uncertainty in High Performance Clock Distribution Networks (DV, MCP, EGF), pp. 10068–10075.
DATEDATE-2003-WittmannHWTS #communication #design #topic
Hot Topic Session: RF Design Technology for Highly Integrated Communication Systems (RW, JH, HJW, GT, MS), pp. 10842–10849.
ICDARICDAR-2003-HoqueSF #approach #classification #multi #performance #recognition
A New Chain-code Quantization Approach Enabling High Performance Handwriting Recognition based on Multi-Classifier Schemes (SH, KS, MCF), pp. 834–838.
ICDARICDAR-2003-ZhaoW #recognition
A High Accuracy Rate Commercial Flight Coupon Recognition System (SZ, ZW), pp. 82–86.
SIGMODSIGMOD-2003-BornhovdAKMPR #architecture #database #named #scalability
DBCache: Middle-tier Database Caching for Highly Scalable e-Business Architectures (CB, MA, SK, CM, HP, BR), p. 662.
SIGMODSIGMOD-2003-CuiOTS #in memory #memory management #performance
Contorting High Dimensional Data for Efficient Main Memory Processing (BC, BCO, JS, KLT), pp. 479–490.
VLDBVLDB-2003-AnciauxBP #execution #memory management #query #requirements
Memory Requirements for Query Execution in Highly Constrained Devices (NA, LB, PP), pp. 694–705.
VLDBVLDB-2003-DiaoF #query #xml
Query Processing for High-Volume XML Message Brokering (YD, MJF), pp. 261–272.
ITiCSEITiCSE-2003-CeraCHLNPZ #detection
High-tech dishonesty: cheating, plagiarism and detection (CDC, BWC, NH, RNL, AN, JLP, PZ), p. 244.
ITiCSEITiCSE-2003-RagonisH #distance #multi
A multi-level distance learning-based course for high-school computer science leading-teachers (NR, BH), p. 224.
TACASTACAS-2003-KhomenkoK #branch #petri net #process
Branching Processes of High-Level Petri Nets (VK, MK), pp. 458–472.
CHICHI-2003-AlbinssonZ #interactive #precise
High precision touch screen interaction (PAA, SZ), pp. 105–112.
CHICHI-2003-GrossmanBS #interface #using
An interface for creating and manipulating curves using a high degree-of-freedom curve input device (TG, RB, KS), pp. 185–192.
VISSOFTVISSOFT-2003-Lanza1 #development #visualisation
Program Visualization Support for Highly Iterative Development Environments (ML), pp. 67–72.
AdaEuropeAdaEurope-2003-AlonsoPZ #development #testing
A Test Environment for High Integrity Software Development (AA, JAdlP, JZ), pp. 359–267.
AdaEuropeAdaEurope-2003-AmeyD
High Integrity Ravenscar (PA, BD), pp. 68–79.
ICEISICEIS-v2-2003-Debenham #constraints #people #process
Agents for High-Level Process Management: The Right Activities, People and Resources to Satisfy Process Constraints (JKD), pp. 434–437.
ICEISICEIS-v3-2003-YamaguchiWS #design #petri net #reliability #using
A High Reliability Design for Nfs Server Software By Using an Extended Petri Net (SY, KW, YS), pp. 498–502.
CIKMCIKM-2003-Infantes-MorrisBFFS #evaluation #industrial #information retrieval
Industrial evaluation of a highly-accurate academic IR system (TIM, PJB, KLF, GJF, KS), pp. 500–503.
CIKMCIKM-2003-SinghFT #nearest neighbour #query
High dimensional reverse nearest neighbor queries (AS, HF, AST), pp. 91–98.
ICMLICML-2003-FernB03a #approach #clustering #random
Random Projection for High Dimensional Data Clustering: A Cluster Ensemble Approach (XZF, CEB), pp. 186–193.
ICMLICML-2003-YuL #feature model #performance
Feature Selection for High-Dimensional Data: A Fast Correlation-Based Filter Solution (LY, HL), pp. 856–863.
KDDKDD-2003-BhatnagarKN #classification #mining
Mining high dimensional data for classifier knowledge (RB, GK, WN), pp. 481–486.
KDDKDD-2003-GamaRM #data type #mining #performance
Accurate decision trees for mining high-speed data streams (JG, RR, PM), pp. 523–528.
KDDKDD-2003-KoyuturkG #dataset #framework #named
PROXIMUS: a framework for analyzing very high dimensional discrete-attributed datasets (MK, AG), pp. 147–156.
KDDKDD-2003-YuL
Efficiently handling feature redundancy in high-dimensional data (LY, HL), pp. 685–690.
SEKESEKE-2003-WangBY #architecture #development #quality #relational
Relational Program Architecture for High Quality Software Development (DW, FBB, ILY), pp. 346–353.
SACSAC-2003-FuT
A Dynamic Load Distribution Strategy for Systems Under High Task Variation and Heavy Traffic (BF, ZT), pp. 1031–1037.
ESEC-FSEESEC-FSE-2003-RobbyDH #framework #model checking #named
Bogor: an extensible and highly-modular software model checking framework (R, MBD, JH), pp. 267–276.
ICSEICSE-2003-GriswoldBBT #architecture #component #framework
A Component Architecture for an Extensible, Highly Integrated Context-Aware Computing Infrastructure (WGG, RTB, SWB, TMT), pp. 363–373.
CCCC-2003-GhoshKKKLLN #compilation #design #experience #implementation #optimisation
Integrating High-Level Optimizations in a Production Compiler: Design and Implementation Experience (SG, AK, RK, DK, WL, CCL, JN), pp. 303–319.
HPCAHPCA-2003-JosephBM #performance
Control Techniques to Eliminate Voltage Emergencies in High Performance Processors (RJ, DMB, MM), pp. 79–90.
HPDCHPDC-2003-BalajiWKCPS #data-driven #performance
Impact of High Performance Sockets on Data Intensive Applications (PB, JW, TMK, ÜVÇ, DKP, JHS), pp. 24–33.
HPDCHPDC-2003-TakefusaTMM #algorithm #analysis #architecture #energy #grid #performance #physics #replication #scheduling
Performance Analysis of Scheduling and Replication Algorithms on Grid Datafarm Architecture for High-Energy Physics Applications (AT, OT, SM, YM), pp. 34–47.
PPoPPPPoPP-2003-ChenTSDS #distributed
Exploiting high-level coherence information to optimize distributed shared state (DC, CT, BS, SD, MLS), pp. 131–142.
PPoPPPPoPP-2003-Kazar #data mining #mining #performance #scalability
High performance spatial data mining for very large data-sets (BMK), p. 1.
PPoPPPPoPP-2003-McCurdyF #memory management #multi #performance
User-controllable coherence for high performance shared memory multiprocessors (CM, CNF), pp. 73–82.
SOSPSOSP-2003-CastroDKNRS #multi #named
SplitStream: high-bandwidth multicast in cooperative environments (MC, PD, AMK, AN, AITR, AS), pp. 298–313.
SOSPSOSP-2003-KosticRAV #named #using
Bullet: high bandwidth data dissemination using an overlay mesh (DK, AR, JRA, AV), pp. 282–297.
DACDAC-2002-BodapatiN #analysis #megamodelling
High-level current macro-model for power-grid analysis (SB, FNN), pp. 385–390.
DACDAC-2002-GanaiAGZM #algorithm #satisfiability
Combining strengths of circuit-based and CNF-based algorithms for a high-performance SAT solver (MKG, PA, AG, LZ, SM), pp. 747–750.
DACDAC-2002-GuptaSDGNKKR #coordination #performance #synthesis
Coordinated transformations for high-level synthesis of high performance microprocessor blocks (SG, NS, NDD, RKG, AN, TK, MK, SR), pp. 898–903.
DACDAC-2002-KarnikYTWBGDB #optimisation #performance
Total power optimization by simultaneous dual-Vt allocation and device sizing in high performance microprocessors (TK, YY, JT, LW, SMB, VG, VD, SB), pp. 486–491.
DACDAC-2002-KoukabDD #analysis #named #performance
HSpeedEx: a high-speed extractor for substrate noise analysis in complex mixed signal SOC (AK, CD, MJD), pp. 767–770.
DACDAC-2002-LiuP #design #power management
Design of a high-throughput low-power IS95 Viterbi decoder (XL, MCP), pp. 263–268.
DACDAC-2002-MolinaMH #multi #synthesis
High-level synthesis of multiple-precision circuitsindependent of data-objects length (MCM, JMM, RH), pp. 612–615.
DACDAC-2002-OliveiraH #automation #generative #interface #monitoring #specification
High-Level specification and automatic generation of IP interface monitors (MTO, AJH), pp. 129–134.
DACDAC-2002-SeoKP #algorithm #memory management #synthesis
An integrated algorithm for memory allocation and assignment in high-level synthesis (JS, TK, PRP), pp. 608–611.
DACDAC-2002-WongMP #concept #synthesis
Forward-looking objective functions: concept & applications in high level synthesis (JLW, SM, MP), pp. 904–909.
DACDAC-2002-Ykman-CouvreurLVCNK #memory management #network #optimisation #performance
System-level performance optimization of the data queueing memory management in high-speed network processors (CYC, JL, DV, FC, AN, GEK), pp. 518–523.
DATEDATE-2002-BrockELSDBOK #design #power management
Power Crisis in SoC Design: Strategies for Constructing Low-Power, High-Performance SoC Designs (KB, CE, RL, US, AD, JB, DO, MK), p. 538.
DATEDATE-2002-ChenS #scheduling #synthesis
Power-Manageable Scheduling Technique for Control Dominated High-Level Synthesis (CC, MS), pp. 1016–1020.
DATEDATE-2002-DingM #performance
Optimal Transistor Tapering for High-Speed CMOS Circuits (LD, PM), pp. 708–713.
DATEDATE-2002-FranckenVMG #named #simulation
DAISY-CT: A High-Level Simulation Tool for Continuous-Time Delta Sigma Modulators (KF, MV, EM, GGEG), p. 1110.
DATEDATE-2002-GorenZGGLASW #approach #design
An Interconnect-Aware Methodology for Analog and Mixed Signal Design, Based on High Bandwidth (Over 40 Ghz) On-Chip Transmission Line Approach (DG, MZ, TCG, RG, BL, AA, AS, IAW), pp. 804–811.
DATEDATE-2002-JungKK #logic #performance #synthesis
Dual Threshold Voltage Domino Logic Synthesis for High Performance with Noise and Power Constrain (SOJ, KWK, SMK), pp. 260–265.
DATEDATE-2002-KadayifKVIS #compilation #energy #estimation #framework #named #optimisation
EAC: A Compiler Framework for High-Level Energy Estimation and Optimization (IK, MTK, NV, MJI, AS), pp. 436–442.
DATEDATE-2002-LevantR #design
An EMC-Compliant Design Method of High-Density Integrated Circuits (JLL, MR), p. 1115.
DATEDATE-2002-Leveugle #automation #detection #fault
Automatic Modifications of High Level VHDL Descriptions for Fault Detection or Tolerance (RL), pp. 837–841.
DATEDATE-2002-OzdagBSN #performance #pipes and filters
High-Speed Non-Linear Asynchronous Pipelines (ROO, PAB, MS, SMN), pp. 1000–1007.
DATEDATE-2002-RigaudFRQ #communication #design #modelling
High-Level Modeling and Design of Asynchronous Arbiters for On-Chip Communication Systems (JBR, LF, MR, JQ), p. 1090.
DATEDATE-2002-SassatelliTBGDCG #architecture #configuration management #scalability
Highly Scalable Dynamically Reconfigurable Systolic Ring-Architecture for DSP Applications (GS, LT, PB, TG, CD, GC, JG), pp. 553–558.
DATEDATE-2002-SavoiuSG #automation #concurrent #modelling #performance #simulation
Automated Concurrency Re-Assignment in High Level System Models for Efficient System-Level Simulation (NS, SKS, RKG), pp. 875–881.
DATEDATE-2002-VandersteenWDV #evaluation #performance
High-Frequency Nonlinear Amplifier Model for the Efficient Evaluation of Inband Distortion Under Nonlinear Load-Pull Conditions (GV, PW, SD, FV), pp. 586–590.
SIGMODSIGMOD-2002-Aggarwal #estimation #framework #nearest neighbour #reduction
Hierarchical subspace sampling: a unified framework for high dimensional data reduction, selectivity estimation and nearest neighbor search (CCA), pp. 452–463.
SIGMODSIGMOD-2002-CranorGJSS #interface #monitoring #named #network #performance #sql
Gigascope: high performance network monitoring with an SQL interface (CDC, YG, TJ, VS, OS), p. 623.
SIGMODSIGMOD-2002-HinneburgKW #clustering #named #visual notation
HD-Eye: visual clustering of high dimensional data (AH, DAK, MW), p. 629.
SIGMODSIGMOD-2002-RundensteinerWYD #data analysis #interactive #named #set #visual notation
XmdvTool: visual interactive data exploration and trend discovery of high-dimensional data sets (EAR, MOW, JY, PRD), p. 631.
VLDBVLDB-2002-AlexandrovABBBCDFHJKKKLLMMMPNPPRRSSW #energy #metadata #named #online #physics #repository
OBK — An Online High Energy Physics’ Meta-Data Repository (IA, AA, EB, MB, DBC, MC, MD, JF, RH, RJ, AK, SK, VK, DL, LL, LM, MM, LM, IP, MN, NP, LP, AR, YR, DS, IS, HW), pp. 920–927.
VLDBVLDB-2002-LaudBCSR #framework #programmable #prototype
The gRNA: A Highly Programmable Infrastructure for Prototyping, Developing and Deploying Genomics-Centric Applications (AVL, SSB, PC, DTS, GR), pp. 928–939.
ITiCSEITiCSE-2002-X #education #quote
“Machshava”: the Israeli National Center for high school computer science teachers, p. 234.
ICSMEICSM-2002-Arsanjani #architecture #compilation #configuration management #towards
Business Compilers: Towards Supporting a Highly Re-Configurable Architectural Style for Service-Oriented Architecture (AA), p. 287.
ICSMEICSM-2002-ArsanjaniAZ #architecture #component #configuration management #maintenance
Externalizing Component Manners to Achieve Greater Maintainability through a Highly Re-Configurable Architectural Style (AA, JJA, HZ), pp. 628–637.
ICSMEICSM-2002-Ben-Yaacov #quality
Driving Software Quality at a Silicon Valley High-Tech Software Company (GBY), p. 571.
WCREWCRE-2002-MittermeirC #source code #spreadsheet
Finding High-Level Structures in Spreadsheet Programs (RM, MC), pp. 221–232.
STOCSTOC-2002-Molloy #graph
The Glauber dynamics on colourings of a graph with high girth and maximum degree (MM), pp. 91–98.
ICALPICALP-2002-GenestMSZ #infinity #model checking
Infinite-State High-Level MSCs: Model-Checking and Realizability (BG, AM, HS, MZ), pp. 657–668.
CHICHI-2002-IvoryH #statistics #web
Statistical profiles of highly-rated web sites (MYI, MAH), pp. 367–374.
AdaEuropeAdaEurope-2002-AlonsoLVP #case study #object-oriented #using
Using Object Orientation in High Integrity Applications: A Case Study (AA, RL, TV, JAdlP), pp. 357–366.
AdaEuropeAdaEurope-2002-ParkinsonG #composition #development #using
High-Integrity Systems Development for Integrated Modular Avionics Using VxWorks and GNAT (PP, FG), pp. 163–178.
CAiSECAiSE-2002-Wilson #data type #information management #mobile
A High-Performance Data Structure for Mobile Information Systems (JNW), pp. 392–403.
EDOCEDOC-2002-WangR #architecture #corba #lightweight #performance
An Architecture for Web-Enabled Engineering Applications Based on Lightweight High Performance CORBA (GW, RR), pp. 249–257.
ICEISICEIS-2002-GharibMT #algorithm #clustering #using
High Dimensional Data Clustering Using SOFM And K-Means Algorithms (TFG, MGM, MFT), pp. 488–493.
ICEISICEIS-2002-LiuL #analysis #object-oriented #throughput
A Knowledge Object Oriented System for High Throughput Collection and Analysis of Data (HL, TL), pp. 764–769.
ICEISICEIS-2002-OliveiraFL #approach #framework #named
Frameworks — A High Level Instantiation Approach (TCdO, IMF, CJPdL), pp. 650–657.
ICEISICEIS-2002-TanTS #data mining #mining #parallel #performance #taxonomy
A Taxonomy for Inter-Model Parallelism in High Performance Data Mining (LT, DT, KAS), pp. 534–539.
CIKMCIKM-2002-RogatiY #classification #feature model
High-performing feature selection for text classification (MR, YY), pp. 659–661.
CIKMCIKM-2002-TangZ #set
An iterative strategy for pattern discovery in high-dimensional data sets (CT, AZ), pp. 10–17.
ICPRICPR-v1-2002-UnsalanB #development #image #statistics #using
Classifying Land Development in High Resolution Satellite Images Using Straight Line Statistics (, KLB), pp. 127–130.
ICPRICPR-v1-2002-WuYS #identification
Optimal Gabor Filters for High Speed Face Identification (HW, YY, TS), pp. 107–110.
ICPRICPR-v2-2002-FarmerHJ #multi #robust
Interacting Multiple Model (IMM) Kalman Filters for Robust High Speed Human Motion Tracking (MEF, RLH, AKJ), pp. 20–23.
ICPRICPR-v2-2002-ForestiCS #adaptation #higher-order #pattern matching #pattern recognition #recognition
Adaptive High Order Neural Trees for Pattern Recognition (GLF, CM, LS), p. 877–?.
ICPRICPR-v2-2002-TaylorGB #3d
Heritage Recording Applications of High Resolution 3D Imaging (JMT, GG, JAB), p. 520.
ICPRICPR-v2-2002-UdeA #detection #probability #using
Probabilistic Detection and Tracking at High Frame Rates Using Affine Warping (AU, CGA), pp. 6–9.
ICPRICPR-v2-2002-YangP02a #re-engineering
High-Resolution Reconstruction of Sparse Data from Dense Low-Resolution Spatio-Temporal Data (QY, BP), pp. 261–264.
ICPRICPR-v3-2002-FengH #performance
Confidence Guided Progressive Search and Fast Match Techniques for High Performance Chinese/English OCR (ZDF, QH), pp. 89–92.
ICPRICPR-v3-2002-MarugameSYMFYKKTT #metric #performance #precise
A Fast and Precise System for Taking High-Density Human Head Measurements with Surrounding Range Finders (AM, SS, TY, KM, OF, YY, YK, HK, WT, JT), pp. 978–982.
ICPRICPR-v4-2002-NoguchiO #algorithm
A Simple but High-Quality Stereo Algorithm (TN, YO), pp. 351–354.
KDDKDD-2002-CohenR #clustering #integration #learning #scalability #set
Learning to match and cluster large high-dimensional data sets for data integration (WWC, JR), pp. 475–480.
KDDKDD-2002-KolczSK #classification #performance #random
Efficient handling of high-dimensional feature spaces by randomized classifier ensembles (AK, XS, JKK), pp. 307–313.
KDDKDD-2002-TejadaKM #identification #independence #learning #string
Learning domain-independent string transformation weights for high accuracy object identification (ST, CAK, SM), pp. 350–359.
KDDKDD-2002-WalterR #interactive #on the #using #visualisation
On interactive visualization of high-dimensional data using the hyperbolic plane (JAW, HJR), pp. 123–132.
SEKESEKE-2002-Dantzig #architecture #design #web
Architecture and design of high volume web sites: (a brief history of IBM sport and event web sites) (PD), pp. 17–24.
SIGIRSIGIR-2002-DamerauZWI #categorisation
Experiments in high-dimensional text categorization (FD, TZ, SMW, NI), pp. 357–358.
OOPSLAOOPSLA-2002-BjornssonS #collaboration #named
BuddyCache: high-performance object storage for collaborative strong-consistency applications in a WAN (MEB, LS), pp. 26–39.
PADLPADL-2002-JohanssonS #compilation #erlang #linear
Linear Scan Register Allocation in a High-Performance Erlang Compiler (EJ, KFS), pp. 101–119.
PADLPADL-2002-ShenSNS #eclipse #interface #programming language
A High-Level Generic Interface to External Programming Languages for ECLiPSe (KS, JS, SN, JS), pp. 262–279.
RERE-2002-LemoineF #assurance #requirements
Managing (Requirements) Evolutions of High Assurance Systems (ML, JF), p. 91.
SACSAC-2002-ChangJ #clustering #data mining #mining #scalability
A new cell-based clustering method for large, high-dimensional data in data mining applications (JWC, DSJ), pp. 503–507.
SACSAC-2002-FuTS #algorithm #similarity
Node splitting algorithms in tree-structured high-dimensional indexes for similarity search (YF, JCT, SRS), pp. 766–770.
CCCC-2002-HendersonS #c #compilation
Compiling Mercury to High-Level C Code (FH, ZS), pp. 197–212.
HPDCHPDC-2002-BellCL #clustering
A High-Performance Cluster Storage Server (KB, AAC, ML), pp. 311–320.
HPDCHPDC-2002-DeBardelebenLPS #framework #named #performance #problem
Coven — A Framework for High Performance Problem Solving Environments (ND, WBLI, SP, DCSJ), p. 291–?.
HPDCHPDC-2002-DickensG #data transfer #evaluation #network #performance
An Evaluation of Object-Based Data Transfers on High Performance Networks (PMD, WG), pp. 255–264.
HPDCHPDC-2002-GhanemGRW #information management #throughput
Grid-Based Knowledge Discovery Services for High Throughput Informatics (MG, YG, AR, PW), p. 416.
HPDCHPDC-2002-GunterTJLS #distributed #monitoring
Dynamic Monitoring of High-Performance Distributed Applications (DG, BT, KRJ, JL, MS), pp. 163–170.
HPDCHPDC-2002-KurzyniecSM #case study #component #distributed #framework #on the #performance
On the Viability of Component Frameworks for High Performance Distributed Computing: A Case Study (DK, VSS, MM), pp. 275–283.
LCTESLCTES-SCOPES-2002-MilnerD #performance #pipes and filters
Quick piping: a fast, high-level model for describing processor pipelines (CWM, JWD), pp. 175–184.
ICTSSTestCom-2002-Binder #reliability #ubiquitous
Achieving High Reliability for Ubiquitous Information Technology (RVB), p. 75–?.
WICSAWICSA-2001-DashofyHT #architecture #xml
A Highly-Extensible, XML-Based Architecture Description Language (EMD, AvdH, RNT), pp. 103–112.
ASEASE-2001-GrundyCL #architecture #distributed #generative
Generation of Distributed System Test-Beds from High-Level Software Architecture Descriptions (JCG, YC, AL), pp. 193–200.
ASEASE-2001-MarcusM #concept #identification #source code
Identification of High-Level Concept Clones in Source Code (AM, JIM), pp. 107–114.
DACDAC-2001-AjamiBPG #analysis #performance
Analysis of Non-Uniform Temperature-Dependent Interconnect Performance in High Performance ICs (AHA, KB, MP, LPPPvG), pp. 567–572.
DACDAC-2001-DoboliV #constraints #design #synthesis
Integrated High-Level Synthesis and Power-Net Routing for Digital Design under Switching Noise Constraints (AD, RV), pp. 629–634.
DACDAC-2001-GuptaSKDGN #design #synthesis
Speculation Techniques for High Level Synthesis of Control Intensive Designs (SG, NS, SK, NDD, RKG, AN), pp. 269–272.
DACDAC-2001-JaniszewskiHM #design #performance #reuse
VHDL-Based Design and Design Methodology for Reusable High Performance Direct Digital Frequency Synthesizers (IJ, BH, HM), pp. 573–578.
DACDAC-2001-LahiriRL #architecture #communication #design #named
LOTTERYBUS: A New High-Performance Communication Architecture for System-on-Chip Designs (KL, AR, GL), pp. 15–20.
DACDAC-2001-LapinskiiJV #clustering
High-Quality Operation Binding for Clustered VLIW Datapaths (VSL, MFJ, GdV), pp. 702–707.
DACDAC-2001-Maly #design
IC Design in High-Cost Nanometer-Technologies Era (WM), pp. 9–14.
DACDAC-2001-NorthropL #design
A Semi-Custom Design Flow in High-Performance Microprocessor Design (GAN, PFL), pp. 426–431.
DACDAC-2001-TanRLJ #energy #megamodelling
High-level Software Energy Macro-modeling (TKT, AR, GL, NKJ), pp. 605–610.
DACDAC-2001-WangRLJ #adaptation #design #energy #optimisation #performance
Input Space Adaptive Design: A High-level Methodology for Energy and Performance Optimization (WW, AR, GL, NKJ), pp. 738–743.
DATEDATE-2001-BadarogluHGDMGEB #generative #multi #scalability #simulation
High-level simulation of substrate noise generation from large digital circuits with multiple supplies (MB, MvH, VG, SD, HDM, GGEG, ME, IB), pp. 326–330.
DATEDATE-2001-CappuccinoC #performance
CMOS sizing rule for high performance long interconnects (GC, GC), p. 817.
DATEDATE-2001-CheynetNVRRV #automation #evaluation #program transformation #safety
System safety through automatic high-level code transformations: an experimental evaluation (PC, BN, RV, MR, MSR, MV), pp. 297–301.
DATEDATE-2001-HajjarCMAB #behaviour #quality #statistics #using #verification
High quality behavioral verification using statistical stopping criteria (AH, TC, IM, AAA, MB), pp. 411–419.
DATEDATE-2001-JozwiakC #composition #functional #metric
High-quality sub-function construction in functional decomposition based on information relationship measures (LJ, AC), pp. 383–390.
DATEDATE-2001-PomeranzR01a #detection #effectiveness #fault #generative #testing
Definitions of the numbers of detections of target faults and their effectiveness in guiding test generation for high defect coverage (IP, SMR), pp. 504–508.
DATEDATE-2001-Ruiz-de-ClavijoJBAV #logic #named
HALOTIS: high accuracy LOgic TIming simulator with inertial and degradation delay model (PRdC, JJC, MJB, AJA, MV), pp. 467–471.
DATEDATE-2001-WormLW #architecture #design #performance #power management
Design of low-power high-speed maximum a priori decoder architectures (AW, HL, NW), pp. 258–267.
DocEngDocEng-2001-NaF #analysis #authoring #documentation #hypermedia #petri net #using
Dynamic documents: authoring, browsing, and analysis using a high-level petri net-based hypermedia system (JCN, RF), pp. 38–47.
ICDARICDAR-2001-KikuchiA #development #identification
Development of Speedy and High Sensitive Pen System for Writing Pressure and Writer Identification (MK, NA), pp. 1040–1044.
ICDARICDAR-2001-MikheevVF #approximate
High-Quality Polygonal Contour Approximation Based on Relaxation (AM, LV, VF), pp. 361–367.
ICDARICDAR-2001-ZhaoL #documentation #kernel #recognition
High-Precision Two-Kernel Chinese Character Recognition in General Document Processing Systems (SLZ, HJL), pp. 617–621.
PODSPODS-2001-Aggarwal #on the #reduction #similarity
On the Effects of Dimensionality Reduction on High Dimensional Similarity Search (CCA).
VLDBVLDB-2001-BratsbergH #database #online #scalability
Online Scaling in a Highly Available Database (SEB, RH), pp. 451–460.
VLDBVLDB-2001-Gibbons #query
Distinct Sampling for Highly-Accurate Answers to Distinct Values Queries and Event Reports (PBG), pp. 541–550.
VLDBVLDB-2001-PereiraFJLS #named #xml
WebFilter: A High-throughput XML-based Publish and Subscribe System (JLMP, FF, HAJ, FL, DS), pp. 723–724.
FASEFASE-J-1998-PadbergGE01 #refinement #rule-based #safety
Rule-based refinement of high-level nets preserving safety properties (JP, MG, CE), pp. 97–118.
ESOPESOP-2001-GraunkeKHF #programming language #web
Programming the Web with High-Level Programming Languages (PTG, SK, SVDH, MF), pp. 122–136.
FoSSaCSFoSSaCS-2001-BuscemiS #calculus #petri net
High-Level Petri Nets as Type Theories in the Join Calculus (MGB, VS), pp. 104–120.
WCREWCRE-2001-CifuentesWE #analysis #debugging #decompiler #security
Computer Security Analysis through Decompilation and High-Level Debugging (CC, TW, MVE), pp. 375–380.
PLDIPLDI-2001-BergerZM #memory management
Composing High-Performance Memory Allocators (EDB, BGZ, KSM), pp. 114–124.
PLDIPLDI-2001-DeLineF #bytecode #low level #protocol
Enforcing High-Level Protocols in Low-Level Software (RD, MF), pp. 59–69.
PLDIPLDI-2001-SchnarrHL #compilation #named
Facile: A Language and Compiler for High-Performance Processor Simulators (ES, MDH, JRL), pp. 321–331.
STOCSTOC-2001-DunaganV
Optimal outlier removal in high-dimensional (JD, SV), pp. 627–636.
ICALPICALP-2001-MuschollP #communication #finite #protocol #sequence chart
From Finite State Communication Protocols to High-Level Message Sequence Charts (AM, DP), pp. 720–731.
ICMLICML-2001-XingJK #array #feature model
Feature selection for high-dimensional genomic microarray data (EPX, MIJ, RMK), pp. 601–608.
KDDKDD-2001-Aggarwal #clustering #effectiveness #human-computer
A human-computer cooperative system for effective high dimensional clustering (CCA), pp. 221–226.
KDDKDD-2001-DittrichS #algorithm #mining #named #scalability #set
GESS: a scalable similarity-join algorithm for mining large data sets in high dimensional spaces (JPD, BS), pp. 47–56.
KDDKDD-2001-YangFB #performance
Efficient discovery of error-tolerant frequent itemsets in high dimensions (CY, UMF, PSB), pp. 194–203.
SIGIRSIGIR-2001-PascaH #performance
High Performance Question/Answering (MP, SMH), pp. 366–374.
SIGIRSIGIR-2001-SongB #concept #data flow #information management #using
Discovering Information Flow Using a High Dimensional Conceptual Space (DS, PB), pp. 327–333.
SIGIRSIGIR-2001-Voorhees #documentation #evaluation
Evaluation by Highly Relevant Documents (EMV), pp. 74–82.
TOOLSTOOLS-USA-2001-Mitchell #modelling #uml
High-Quality Modeling in UML (RM), pp. 388–389.
PADLPADL-2001-FlenerHK #compilation #constraints #programming
Compiling High-Level Type Constructors in Constraint Programming (PF, BH, ZK), pp. 229–244.
PADLPADL-2001-Hanus #web
High-Level Server Side Web Scripting in Curry (MH), pp. 76–92.
PADLPADL-2001-Leuschel #csp #design #implementation #prolog #specification
Design and Implementation of the High-Level Specification Language CSP(LP) in Prolog (ML), pp. 14–28.
RERE-2001-KiedaischPWBO #quality #requirements #specification
Requirements Archaeology: From Unstructured Information to High Quality Specifications (FK, MP, JW, SB, SO), pp. 304–305.
RERE-2001-WinterDC #modelling #requirements #validation
Virtual Environment Modeling for Requirements Validation of High Consequence Systems (VLW, DD, BC), pp. 23–30.
SACSAC-2001-AsciaCP #adaptation #fuzzy #performance
An adaptive fuzzy threshold scheme for high performance shared-memory switches (GA, VC, DP), pp. 456–461.
SACSAC-2001-ChenLCC #multi #parametricity #performance
The sustainable-cell-rate usage parameter control with adjustable window for high-speed multimedia communications (SyC, LFL, CSC, CJC), pp. 467–471.
SACSAC-2001-Shimoura #communication #design #performance #self #simulation
Self-consistent simulation studying environment for the design of high-speed optical communication lines (KS), pp. 73–76.
SACSAC-2001-SongNC #similarity
A cell-based index structure for similarity search in high-dimensional feature spaces (KTS, HJN, JWC), pp. 264–268.
HPCAHPCA-2001-BrooksM
Dynamic Thermal Management for High-Performance Microprocessors (DMB, MM), pp. 171–182.
HPCAHPCA-2001-YangPFRV #approach #architecture
An Integrated Circuit/Architecture Approach to Reducing Leakage in Deep-Submicron High-Performance I-Caches (SHY, MDP, BF, KR, TNV), pp. 147–157.
HPDCHPDC-2001-WeigleF
A Case for TCP Vegas in High-Performance Computational Grids (EW, WcF), p. 158–?.
HPDCHPDC-2001-WidenerES #communication #metadata #performance #xml
Open Metadata Formats: Efficient XML-Based Communication for High Performance Computing (PW, GE, KS), p. 371–?.
PPoPPPPoPP-2001-VossE #adaptation #optimisation
High-level adaptive program optimization with ADAPT (MV, RE), pp. 93–102.
DACDAC-2000-BorosRP #configuration management #multi
High-level model of a WDMA passive optical bus for a reconfigurable multiprocessor system (VEB, ADR, SP), pp. 221–226.
DACDAC-2000-ChangKC #encoding #memory management #power management
Bus encoding for low-power high-performance memory systems (NC, KK, JC), pp. 800–805.
DACDAC-2000-HeijningenBDEB #generative #power management #simulation
High-level simulation of substrate noise generation including power supply noise coupling (MvH, MB, SD, ME, IB), pp. 446–451.
DACDAC-2000-LahiriRLD #architecture #communication #design
Communication architecture tuners: a methodology for the design of high-performance communication architectures for systems-on-chips (KL, AR, GL, SD), pp. 513–518.
DACDAC-2000-NarasimhanR #bound #on the #problem #scheduling #synthesis
On lower bounds for scheduling problems in high-level synthesis (MN, JR), pp. 546–551.
DACDAC-2000-NemaniT #design
Macro-driven circuit design methodology for high-performance datapaths (MN, VT), pp. 661–666.
DACDAC-2000-OmnesFC #co-evolution #design #embedded #interactive #multi #throughput
Interactive co-design of high throughput embedded multimedia (TJFO, TF, FC), pp. 328–331.
DACDAC-2000-PoslusznyABCDFHKKLMNPPSTV #design
“Timing closure by design”, a high frequency microprocessor design methodology (SDP, NA, DB, PKC, SHD, BKF, HPH, NK, OK, KL, DM, KJN, JP, JP, JS, OT, PV), pp. 712–717.
DACDAC-2000-UmKL #fine-grained #optimisation #power management #synthesis
A fine-grained arithmetic optimization technique for high-performance/low-power data path synthesis (JU, TK, CLL), pp. 98–103.
DACDAC-2000-VandersteenWRDDEB #data flow #performance #simulation
A methodology for efficient high-level dataflow simulation of mixed-signal front-ends of digital telecom transceivers (GV, PW, YR, PD, SD, ME, IB), pp. 440–445.
DACDAC-2000-YangP #multi #performance #simulation
A multi-interval Chebyshev collocation method for efficient high-accuracy RF circuit simulation (BY, JRP), pp. 178–183.
DATEDATE-2000-BeniniCMMPS #estimation
A Discrete-Time Battery Model for High-Level Power Estimation (LB, GC, AM, EM, MP, RS), pp. 35–39.
DATEDATE-2000-BringmannRM #architecture #multi #synthesis
Target Architecture Oriented High-Level Synthesis for Multi-FPGA Based Emulation (OB, WR, CM), pp. 326–332.
DATEDATE-2000-DessoukyLP #performance #synthesis
Layout-Oriented Synthesis of High Performance Analog Circuits (MD, MML, JP), pp. 53–57.
DATEDATE-2000-GuptaGMC #analysis #program transformation #programmable
Analysis of High-Level Address Code Transformations for Programmable Processors (SG, RKG, MM, FC), pp. 9–13.
DATEDATE-2000-MorawiecUR #algorithm #diagrams #simulation #using
Cycle-Based Simulation Algorithms for Digital Systems Using High-Level Decision Diagrams (AM, RU, JR), p. 743.
DATEDATE-2000-NooshabadiMNSS
A Single Phase Latch for High Speed GaAs Domino Circuits (SN, JAMN, AN, RS, JS), p. 760.
DATEDATE-2000-RustSAT #embedded #implementation #parallel #realtime #specification
From High-Level Specifications Down to Software Implementations of Parallel Embedded Real-Time Systems (CR, FS, PA, JT), pp. 686–691.
HTHT-2000-NaF #hypermedia #petri net
Context-aware hypermedia in a dynamically-changing environment, supported by a high-level Petri net (JCN, RF), pp. 222–223.
PODSPODS-2000-YuOB #approach #performance
Indexing the Edges — A Simple and Yet Efficient Approach to High-Dimensional Indexing (BCO, KLT, CY, SB), pp. 166–174.
SIGMODSIGMOD-2000-AggarwalY #clustering
Finding Generalized Projected Clusters In High Dimensional Spaces (CCA, PSY), pp. 70–81.
SIGMODSIGMOD-2000-BratsbergT #design #named #tutorial
Tutorial: Designing an Ultra Highly Available DBMS (SEB, ØT), p. 577.
SIGMODSIGMOD-2000-LitwinS #data type #distributed #scalability #using
LH*RS: A High-Availability Scalable Distributed Data Structure using Reed Solomon Codes (WL, TJES), pp. 237–248.
SIGMODSIGMOD-2000-Lomet #logic #online #using
High Speed On-line Backup When Using Logical Log Operations (DBL), pp. 34–45.
VLDBVLDB-2000-ChakrabartiM #approach #reduction
Local Dimensionality Reduction: A New Approach to Indexing High Dimensional Spaces (KC, SM), pp. 89–100.
VLDBVLDB-2000-HinneburgAK #nearest neighbour #question #what
What Is the Nearest Neighbor in High Dimensional Spaces? (AH, CCA, DAK), pp. 506–515.
VLDBVLDB-2000-HvasshovdBT
An Ultra Highly Available DBMS (SOH, SEB, ØT), p. 673.
VLDBVLDB-2000-SakuraiYUK #approximate #using
The A-tree: An Index Structure for High-Dimensional Spaces Using Relative Approximation (YS, MY, SU, HK), pp. 516–526.
VLDBVLDB-2000-Team #data transformation #in memory #scalability
High-Performance and Scalability through Application Tier,In-Memory Data Management, pp. 677–680.
ITiCSEITiCSE-2000-Ginat
Colorful examples for elaborating exploration of regularities in high-school CS1 (DG), pp. 81–84.
FASEFASE-2000-PadbergHG #algebra #safety
Stepwise Introduction and Preservation of Safety Properties in Algebraic High-Level Net Systems (JP, KH, MG), pp. 249–265.
TACASTACAS-2000-CastilloW #model checking
Model Checking Support for the ASM High-Level Language (GDC, KW), pp. 331–346.
WCREWCRE-2000-Godfrey
Defining, Transforming, and Exchanging High-Level Schemas (MWG), pp. 290–292.
STOCSTOC-2000-AmbainisSV
Computing with highly mixed states (extended abstract) (AA, LJS, UVV), pp. 697–704.
AdaEuropeAdaEurope-2000-BriotBC #ada #design #implementation #named
GtkAda: Design and Implementation of a High Level Binding in Ada (EB, JB, AC), pp. 112–124.
ICEISICEIS-2000-AldenbergHP #higher-order
High-Order Effects of Groupware: A Case of Censequences of Lotus Notes (BA, MSHH, SCAP), pp. 377–384.
ICEISICEIS-2000-Theaker #case study #industrial
Case Study: “Industry Strength” — It’s True Meaning for High-Tech SMEs (CJT), p. XLVI.
CIKMCIKM-2000-BohmBBK #clustering #performance #similarity
High Performance Clustering Based on the Similarity Join (CB, BB, MMB, HPK), pp. 298–305.
CIKMCIKM-2000-FerhatosmanogluTAA #approximate #set
Vector Approximation based Indexing for Non-uniform High Dimensional Data Sets (HF, ET, DA, AEA), pp. 202–209.
CIKMCIKM-2000-SakuraiYUK
The Subspace Coding Method: A New Indexing Scheme for High-Dimensional Data (YS, MY, SU, HK), pp. 210–218.
ICMLICML-2000-VijayakumarS #incremental #learning #realtime
Locally Weighted Projection Regression: Incremental Real Time Learning in High Dimensional Space (SV, SS), pp. 1079–1086.
ICPRICPR-v2-2000-MutoNH #classification #evaluation
Evaluation of a Modified Parzen Classifier in High Dimensional Spaces (YM, HN, YH), pp. 2067–2070.
ICPRICPR-v2-2000-RibertEL #clustering
Clustering Data: Dealing with High Density Variations (AR, AE, YL), pp. 2736–2739.
ICPRICPR-v2-2000-ZhangDL #classification #design #feature model #multi #recognition
Multi-Scale Feature Extraction and Nested-Subset Classifier Design for High Accuracy Handwritten Character Recognition (JZ, XD, CL), pp. 2581–2584.
ICPRICPR-v3-2000-BorghysPA #detection #image #multi
A Multi-Variate Contour Detector for High-Resolution Polarimetric SAR Images (DB, CP, MA), pp. 3650–3655.
ICPRICPR-v3-2000-MostafaPF #classification
A Two-Step Fuzzy-Bayesian Classification for High Dimensional Data (MGHM, TCP, AAF), pp. 3421–3424.
ICPRICPR-v3-2000-MurakamiN #detection
High Speed Line Detection by Hough Transform in Local Area (KM, TN), pp. 3471–3474.
ICPRICPR-v3-2000-RoullotHBNM #3d #image #re-engineering
Regularized Reconstruction of 3D High-Resolution Magnetic Resonance Images from Acquisitions of Anisotropically Degraded Resolutions (ER, AH, IB, MN, ÉM), pp. 3350–3353.
ICPRICPR-v3-2000-VassZ #analysis #component #fault #image #performance
Enhanced Significance-Linked Connected Component Analysis for High Performance Error Resilient Wavelet Image Coding (JV, XZ), pp. 3075–3078.
ICPRICPR-v4-2000-AlbiolNM #people #realtime #tool support #using
Real-Time High Density People Counter Using Morphological Tools (AA, VN, IM), pp. 4652–4655.
ICPRICPR-v4-2000-BolterL #detection #re-engineering
Detection and Reconstruction of Human Scale Features from High Resolution Interferometric SAR Data (RB, FL), pp. 4291–4294.
ICPRICPR-v4-2000-KumarSHH #video
Registration of Highly-Oblique and Zoomed in Aerial Video to Reference Imagery (RK, SS, SCH, KJH), pp. 4303–4307.
ICPRICPR-v4-2000-KyrkiK #2d #geometry #precise
High Precision 2-D Geometrical Inspection (VK, HK), pp. 4779–4782.
KDDKDD-2000-AggarwalY #similarity
The IGrid index: reversing the dimensionality curse for similarity indexing in high dimensional space (CCA, PSY), pp. 119–129.
KDDKDD-2000-DomingosH #data type #mining #performance
Mining high-speed data streams (PMD, GH), pp. 71–80.
KDDKDD-2000-InselbergA #classification #visualisation
Classification and visualization for high-dimensional data (AI, TA), pp. 370–374.
KDDKDD-2000-KontkanenLMT #visualisation
Unsupervised Bayesian visualization of high-dimensional data (PK, JL, PM, HT), pp. 325–329.
KDDKDD-2000-McCallumNU #clustering #performance #set
Efficient clustering of high-dimensional data sets with application to reference matching (AM, KN, LHU), pp. 169–178.
KDDKDD-2000-ZhangDR #constraints #dataset #scalability
Exploring constraints to efficiently mine emerging patterns from large high-dimensional datasets (XZ, GD, KR), pp. 310–314.
KRKR-2000-PeaseCLF #information management #knowledge base #performance #representation
Practical Knowledge Representation and the DARPA High Performance Knowledge Bases Project (AP, VKC, FL, AF), pp. 717–724.
SIGIRSIGIR-2000-JarvelinK #documentation #evaluation #information retrieval
IR evaluation methods for retrieving highly relevant documents (KJ, JK), pp. 41–48.
PPDPPPDP-2000-JohanssonPS #erlang #performance
A high performance Erlang system (EJ, MP, KFS), pp. 32–43.
ICSEICSE-2000-Whalen #code generation
High-integrity code generation for state-based formalisms (MWW), pp. 725–727.
ASPLOSASPLOS-2000-BhoedjangVRBH #communication #design #network #performance #reliability
Evaluating Design Alternatives for Reliable Communication on High-Speed Networks (RB, KV, TR, HEB, RFHH), pp. 71–81.
HPCAHPCA-2000-CasadoBQSD #configuration management #evaluation #network #performance
Performance Evaluation of Dynamic Reconfiguration in High-Speed Local Area Networks (RC, AB, FJQ, JLS, JD), pp. 85–96.
HPCAHPCA-2000-NandaNMJ
High-Throughput Coherence Controllers (AKN, ATN, MMM, DJJ), pp. 145–155.
HPCAHPCA-2000-NeefsVB #latency #multi
A Technique for High Bandwidth and Deterministic Low Latency Load/Store Accesses to Multiple Cache Banks (HN, HV, KDB), pp. 313–324.
HPDCHPDC-2000-EisenhauerBS #performance
Event Services for High Performance Computing (GE, FEB, KS), pp. 113–120.
HPDCHPDC-2000-NishiTYKA #network #parallel #performance
A Local Area System Network RHinet-1: A Network for High Performance Parallel Computing (HN, KT, JY, TK, HA), pp. 296–297.
HPDCHPDC-2000-PattenH #distributed #flexibility
Flexible High-Performance Access to Distributed Storage Resources (CJP, KAH), pp. 175–181.
HPDCHPDC-2000-SumimotoTHHTI #clustering #communication #network #performance #using
High Performance Communication using a Commodity Network for Cluster Systems (SS, HT, AH, HH, TT, YI), pp. 139–146.
OSDIOSDI-2000-KimCKNMCK
A Low-Overhead, High-Performance Unified Buffer Management Scheme That Exploits Sequential and Looping References (JMK, JC, JK, SHN, SLM, YC, CSK), pp. 119–134.
CADECADE-2000-Harrison #proving #theorem proving #using #verification
High-Level Verification Using Theorem Proving and Formalized Mathematics (JH), pp. 1–6.
DACDAC-1999-Bergamaschi #behaviour #graph #logic #network #synthesis
Behavioral Network Graph: Unifying the Domains of High-Level and Logic Synthesis (RAB), pp. 213–218.
DACDAC-1999-CampenhoutMH #design #generative #pipes and filters #testing #verification
High-Level Test Generation for Design Verification of Pipelined Microprocessors (DVC, TNM, JPH), pp. 185–188.
DACDAC-1999-LakshminarayanaRKJD #optimisation #performance
Common-Case Computation: A High-Level Technique for Power and Performance Optimization (GL, AR, KSK, NKJ, SD), pp. 56–61.
DACDAC-1999-PegatoquetGAB #agile #development
Rapid Development of Optimized DSP Code from a High Level Description Through Software Estimations (AP, EG, MA, LB), pp. 823–826.
DACDAC-1999-RestleRW #design #performance
Dealing with Inductance in High-Speed Chip Design (PR, AER, SGW), pp. 904–909.
DACDAC-1999-StevensRBCGKR #performance
CAD Directions for High Performance Asynchronous Circuits (KSS, SR, SMB, JC, RG, MK, MR), pp. 116–121.
DACDAC-1999-WilkesH #design #hardware #interface
Application of High Level Interface-Based Design to Telecommunications System Hardware (DW, MMKH), pp. 778–783.
DACDAC-1999-YueW #design
Design Strategy of On-Chip Inductors for Highly Integrated RF Systems (CPY, SSW), pp. 982–987.
DACDAC-1999-ZhuG #scheduling #synthesis
Soft Scheduling in High Level Synthesis (JZ, DG), pp. 219–224.
DATEDATE-1999-EvekingHR #automation #scheduling #synthesis #verification
Automatic Verification of Scheduling Results in High-Level Synthesis (HE, HH, GR), pp. 59–64.
DATEDATE-1999-LauwersG #estimation #performance
A Power Estimation Model for High-Speed CMOS A/D Converters (EL, GGEG), pp. 401–405.
DATEDATE-1999-LechnerFRH #automation #performance #self
A Digital Partial Built-In Self-Test for a High Performance Automatic Gain Control Circuit (AL, JF, AR, BH), pp. 232–238.
DATEDATE-1999-LiuPF
Minimizing Sensitivity to Delay Variations in High-Performance Synchronous Circuits (XL, MCP, EGF), pp. 643–649.
DATEDATE-1999-Montiel-NelsonNASN #design #logic #using
High Speed GaAs Subsystem Design using Feed Through Logic (JAMN, SN, VdA, RS, AN), p. 509–?.
DATEDATE-1999-OchiaiINEO #embedded #framework #performance #video
High-speed Software-based Platform for Embedded Software of a Single-chip MPEG-2 Video Encoder LSI with HDTV Scalabilit (KO, HI, JN, ME, TO), pp. 303–308.
DATEDATE-1999-Troster #co-evolution #design #performance
Potentials of Chip-Package Co-Design for High-Speed Digital Applications (GT), pp. 423–422.
ICDARICDAR-1999-KamadaF #image #performance
High-speed, High-accuracy Binarization Method for Recognizing Text in Images of Low Spatial Resolutions (HK, KF), pp. 139–142.
ICDARICDAR-1999-LiangHP #segmentation #statistics
A Statistically based, Highly Accurate Text-line Segmentation Method (JL, RMH, ITP), pp. 551–554.
VLDBVLDB-1999-BamfordAP #architecture #database #scalability
A Scalable and Highly Available Networked Database Architecture (RB, RA, AP), pp. 199–201.
VLDBVLDB-1999-ChenCFGJMTW
High Level Indexing of User-Defined Types (WC, JHC, YCF, JG, MJ, NMM, BTT, YW), pp. 554–564.
VLDBVLDB-1999-GionisIM #similarity
Similarity Search in High Dimensions via Hashing (AG, PI, RM), pp. 518–529.
VLDBVLDB-1999-JermaineDO #novel
A Novel Index Supporting High Volume Data Warehouse Insertion (CJ, AD, EO), pp. 235–246.
VLDBVLDB-1999-KeimH #clustering #towards
Optimal Grid-Clustering: Towards Breaking the Curse of Dimensionality in High-Dimensional Clustering (AH, DAK), pp. 506–517.
VLDBVLDB-1999-Kornacker
High-Performance Extensible Indexing (MK), pp. 699–708.
CSEETCSEET-1999-Stavely #specification #verification
High-Quality Software through Semiformal Specification and Verification (AMS), pp. 145–155.
ICSMEICSM-1999-RichnerD #information management #object-oriented
Recovering High-Level Views of Object-Oriented Applications from Static and Dynamic Information (TR, SD), pp. 13–22.
STOCSTOC-1999-BorodinOR #bound #nearest neighbour #problem
Lower Bounds for High Dimensional Nearest Neighbor Search and Related Problems (AB, RO, YR), pp. 312–321.
STOCSTOC-1999-BorodinOR99a #algorithm #approximate #clustering #problem
Subquadratic Approximation Algorithms for Clustering Problems in High Dimensional Spaces (AB, RO, YR), pp. 435–444.
AGTIVEAGTIVE-1999-GatzemeierM #authoring
Improving the Publication Chain Through High-Level Authoring Support (FHG, OM), pp. 255–262.
AGTIVEAGTIVE-1999-PetriuW #architecture #modelling #performance #uml
From UML Descriptions of High-Level Software Architectures to LQN Performance Models (DCP, XW), pp. 47–62.
CHICHI-1999-GuiardBM #multi #navigation #precise
Navigation as Multiscale Pointing: Extending Fitts’ Model to Very High Precision Tasks (YG, MBL, DM), pp. 450–457.
CHICHI-1999-MacKenzieZ #design #evaluation
The Design and Evaluation of a High-Performance Soft Keyboard (ISM, SXZ), pp. 25–31.
HCIHCI-CCAD-1999-ChoCHP #scalability
Electronic commerce system supporting scalable and high end marketing facility (HC, HC, HH, SP), pp. 1162–1166.
HCIHCI-CCAD-1999-GoldsteinAB #effectiveness #evaluation #navigation #prototype #smarttech #usability
Usability evaluation of a high-fidelity smart phone prototype: task navigation depth affects effectiveness (MG, MA, RB), pp. 38–42.
HCIHCI-CCAD-1999-NabeshimaTSNIOKS #hybrid #monitoring
Hybrid monitoring system for high temperature gas cooling reactor (KN, ET, TS, SN, KI, TO, KK, KS), pp. 1187–1191.
HCIHCI-CCAD-1999-PapaioannouN #user interface #using
An optimum UI for using a high comfort and enhanced manoeuvrability wheelchair for transportation tasks (GP, AN), pp. 932–936.
HCIHCI-EI-1999-KasamatsuAFIN
Effect of Menstrual Cycle on Monotonous Works Demand High Awaking Conditions (KK, MA, MFF, KI, SPN), pp. 167–172.
AdaEuropeAdaEurope-1999-KamradS #ada #implementation #runtime
An Ada Runtime System Implementation of the Ravenscar Profile for High Speed Application-Layer Data Switch (JMKI, BAS), pp. 26–38.
AdaSIGAda-1999-Gilchrist #ada
Attitudes to Ada in the UK high-reliability software sector (plenary session) (IG), p. 221.
CAiSECAiSE-1999-JoerisH #flexibility #modelling #process #towards
Towards Flexible and High-Level Modeling and Enacting of Processes (GJ, OH), pp. 88–102.
ICEISICEIS-1999-NishiokaKKON #data type #inheritance
A High Speed Access Method to Data Structures with Inheritance Hierarchy (SN, FK, JK, MO, JN), pp. 509–516.
ICMLICML-1999-Meila #algorithm
An Accelerated Chow and Liu Algorithm: Fitting Tree Distributions to High-Dimensional Sparse Data (MM), pp. 249–257.
SIGIRSIGIR-1999-WitbrockM #approach #generative #named #statistics #summary
Ultra-Summarization: A Statistical Approach to Generating Highly Condensed Non-Extractive Summaries (poster abstract) (MJW, VOM), pp. 315–316.
TOOLSTOOLS-EUROPE-1999-EveredM #component #programming
Very High Level Programming with Collection Components (ME, GM), pp. 361–370.
TOOLSTOOLS-USA-1999-FrankBBHY #adaptation #generative #modelling #process #quality
High level Modelling Languages, Adaptable Process Models and Software Generation: Drivers for Quality and Productivity (UF, DSB, JB, BHS, HY), pp. 563–570.
SACSAC-1999-FernandesCMR #algorithm
High School Weekly Timetabling by Evolutionary Algorithms (CMF, JPC, FM, ACR), pp. 344–350.
SACSAC-1999-PittsC #simulation #visualisation
Peripherality Based Level of Detail Switching as a Visualization Enhancement of High-Risk Simulations (GP, DC), pp. 98–104.
ICSEICSE-1999-CookD #component #reliability
Highly Reliable Upgrading of Components (JEC, JAD), pp. 203–212.
HPCAHPCA-1999-DuatoYCLQ #architecture #design #multi #named #trade-off
MMR: A High-Performance Multimedia Router — Architecture and Design Trade-Offs (JD, SY, BC, DSL, FJQ), pp. 300–309.
HPCAHPCA-1999-InoueKM #logic #memory management
Dynamically Variable Line-Size Cache Exploiting High On-Chip Memory Bandwidth of Merged DRAM/Logic LSIs (KI, KK, KM), pp. 218–222.
HPCAHPCA-1999-VaidyaSD #adaptation #design #named #performance
LAPSES: A Recipe for High Performance Adaptive Router Design (ASV, AS, CRD), pp. 236–243.
HPDCHPDC-1999-AkarsuFHKKSY #performance #using
Using Gateway System to Provide a Desktop Access to High Performance Computational Resources (EA, GF, TH, AK, KSK, PS, CHY), pp. 294–298.
HPDCHPDC-1999-ArmstrongGGKKMPS #architecture #component #towards
Toward a Common Component Architecture for High-Performance Scientific Computing (RCA, DG, AG, KK, SRK, LCM, SRP, BAS), pp. 115–124.
HPDCHPDC-1999-ChoudharyKNNSTMT #data transformation #distributed #performance #scalability
Data Management for Large-Scale Scientific Computations in High Performance Distributed Systems (ANC, MTK, HSN, JN, XS, VET, SM, RT), pp. 263–272.
HPDCHPDC-1999-ClementSJW #performance
High Performance Phylogenetic Inference (MJC, QS, GJ, MW), pp. 335–336.
HPDCHPDC-1999-ImaiSIK #corba #named #network #performance
CrispORB: High Performance CORBA for System Area Network (YI, TS, TI, MK), pp. 11–18.
HPDCHPDC-1999-VillacisGSWBDTGB #architecture #component #distributed #grid #named #performance #tool support
CAT: A High Performance Distributed Component Architecture Toolkit for the Grid (JEV, MG, DS, AW, FB, PD, BT, DG, RB), pp. 125–132.
OSDIOSDI-1999-Keleher #abstraction #named
Tapeworm: High-Level Abstractions of Shared Accesses (PJK), pp. 201–214.
PPoPPPPoPP-1999-SubhlokLL #automation #network #performance
Automatic Node Selection for High Performance Applications on Networks (JS, PL, BL), pp. 163–172.
SOSPSOSP-1999-LiuKRHHBC #communication #component #reliability
Building reliable, high-performance communication systems from components (XL, CK, RvR, JH, MH, KPB, RLC), pp. 80–92.
SOSPSOSP-1999-SaitoBL #clustering #performance #scalability
Manageability, Availability and Performance in Porcupine: A Highly Scalable, Cluster-based Mail Service (YS, BNB, HML), pp. 1–15.
CAVCAV-1999-BaumgartnerHSA #abstraction #algorithm #model checking
Model Checking the IBM Gigahertz Processor: An Abstraction Algorithm for High-Performance Netlists (JB, TH, VS, AA), pp. 72–83.
ASEASE-1998-BlaineGLSW #named #synthesis
Planware — Domain-Specific Synthesis of High-Performance Schedulers (LB, LG, JL, DRS, SJW), p. 270–?.
DACDAC-1998-CuletuAM
A Practical Repeater Insertion Method in High Speed VLSI Circuits (JC, CA, JM), pp. 392–395.
DACDAC-1998-NemaniN #estimation #perspective
Delay Estimation VLSI Circuits from a High-Level View (MN, FNN), pp. 591–594.
DACDAC-1998-SchaumontVREB #design #programming
A Programming Environment for the Design of Complex High Speed ASICs (PS, SV, LR, ME, IB), pp. 315–320.
DACDAC-1998-SeawrightM #clustering #optimisation
Partitioning and Optimizing Controllers Synthesized from Hierarchical High-Level Descriptions (AS, WM), pp. 770–775.
DACDAC-1998-TarafdarL #data transfer #synthesis #using
The DT-Model: High-Level Synthesis Using Data Transfers (ST, ML), pp. 114–117.
DACDAC-1998-TiwariSRMPB
Reducing Power in High-Performance Microprocessors (VT, DS, SR, GM, RP, FB), pp. 732–737.
DACDAC-1998-WeiCJRD #design #optimisation #performance
Design and Optimization of Low Voltage High Performance Dual Threshold CMOS Circuits (LW, ZC, MJ, KR, VD), pp. 489–494.
DACDAC-1998-WittenburgHKOBLKP #image #parallel #performance #programmable
Realization of a Programmable Parallel DSP for High Performance Image Processing Applications (JPW, WH, JK, MO, MB, HL, HK, PP), pp. 56–61.
DACDAC-1998-Yuan #modelling #network #simulation
Electromagnetic Modeling and Signal Integrity Simulation of Power/Ground Networks in High Speed Digital Packages and Printed Circuit Boards (FYY), pp. 421–426.
DATEDATE-1998-BringmannR #synthesis
Cross-Level Hierarchical High-Level Synthesis (OB, WR), pp. 451–456.
DATEDATE-1998-EpplerFGM #energy #network #physics
High Speed Neural Network Chip for Trigger Purposes in High Energy Physics (WE, TF, HG, AM), pp. 108–115.
DATEDATE-1998-FassnachtS #analysis #optimisation
Timing Analysis and Optimization of a High-Performance CMOS Processor Chipset (UF, JS), pp. 325–331.
DATEDATE-1998-GerlachR #design #estimation #scalability
A Scalable Methodology for Cost Estimation in a Transformational High-Level Design Space Exploration Environment (JG, WR), pp. 226–231.
DATEDATE-1998-GoldbergKB #functional #specification #verification
Combinational Verification based on High-Level Functional Specifications (EIG, YK, RKB), pp. 803–808.
DATEDATE-1998-GongCK #architecture #synthesis
Architectural Rule Checking for High-level Synthesis (JG, CTC, KK), pp. 949–950.
DATEDATE-1998-KahngMSS
Interconnect Tuning Strategies for High-Performance Ics (ABK, SM, ES, RS), pp. 471–478.
DATEDATE-1998-KhouriLJ #control flow #named #power management #synthesis
IMPACT: A High-Level Synthesis System for Low Power Control-Flow Intensive Circuits (KSK, GL, NKJ), pp. 848–854.
DATEDATE-1998-KoehlBLKP #design
A Flat, Timing-Driven Design System for a High-Performance CMOS Processor Chipset (JK, UB, TL, BK, TP), pp. 312–320.
DATEDATE-1998-LeijtenMTJ #communication #multi #realtime
Stream Communication between Real-Time Tasks in a High-Performance Multiprocessor (JAJL, JLvM, AHT, JAGJ), pp. 125–131.
DATEDATE-1998-MendiasH #formal method #perspective #synthesis
Correct High-Level Synthesis: a Formal Perspective (JMM, RH), pp. 977–978.
DATEDATE-1998-MetraFR
Highly Testable and Compact 1-out-of-n Code Checker with Single Output (CM, MF, BR), pp. 981–982.
DATEDATE-1998-RudnickVECPR #generative #performance #testing #using
Fast Sequential Circuit Test Generation Using High-Level and Gate-Level Techniques (EMR, RV, AE, FC, PP, MSR), pp. 570–576.
DATEDATE-1998-WahlV #performance #validation
A VHDL SGRAM Model for the Validation Environment of a High Performance Graphic Processor (MGW, HV), pp. 937–938.
DATEDATE-1998-XuK #architecture #synthesis
Layout-Driven High Level Synthesis for FPGA Based Architectures (MX, FJK), pp. 446–450.
DATEDATE-1998-YangP #algorithm #performance #scheduling #synthesis
An Efficient Algorithm to Integrate Scheduling and Allocation in High-Level Test Synthesis (LTY, ZP), pp. 74–81.
SIGMODSIGMOD-1998-AgrawalGGR #automation #clustering #data mining #mining
Automatic Subspace Clustering of High Dimensional Data for Data Mining Applications (RA, JG, DG, PR), pp. 94–105.
SIGMODSIGMOD-1998-BerchtoldK #database #tutorial
High-Dimensional Index Structures, Database Support for Next Decade’s Applications (Tutorial) (SB, DAK), p. 501.
SIGMODSIGMOD-1998-Brewer
Delivering High Availability for Inktomi Search Engines (EAB), p. 538.
SIGMODSIGMOD-1998-Kennamer #data transformation #transaction
Microsoft.com: A High-Scale Data Management and Transaction Processing Solution (SK), pp. 539–540.
SIGMODSIGMOD-1998-NaumanS #web
Developing a High Traffic, Read-Only Web Site (JN, RS), pp. 534–535.
SIGMODSIGMOD-1998-ParkKKLPC #in memory #named
Xmas: An Extensible Main-Memory Storage System for High-Performance Applications (JHP, YSK, KHK, SL, BDP, SKC), pp. 578–580.
VLDBVLDB-1998-BaulierBGJGKKMMNNRSS #in memory #named #performance
DataBlitz: A High Performance Main-Memory Storage Manager (JB, PB, SG, SJ, CG, AK, HFK, PM, JM, PPSN, MN, RR, AS, SS), p. 701.
VLDBVLDB-1998-OoiGT #database #performance #semistructured data
Fast High-Dimensional Data Search in Incomplete Databases (BCO, CHG, KLT), pp. 357–367.
VLDBVLDB-1998-WeberSB #analysis #performance
A Quantitative Analysis and Performance Study for Similarity-Search Methods in High-Dimensional Spaces (RW, HJS, SB), pp. 194–205.
ITiCSEITiCSE-1998-RagonisSBS #development #evaluation #implementation #student
Development, implementation and evaluation of a course in expert systems for high-school students (poster) (NR, EYS, MBA, ZS), p. 300.
FASEFASE-1998-PadbergGE #refinement #rule-based #safety
Rule-Based Refinement of High-Level Nets Preserving Safety Properties (JP, MG, CE), pp. 221–238.
ICSMEICSM-1998-CifuentesSF #assembly
Assembly to High-Level Language Translation (CC, DS, AF), pp. 228–237.
IWPCIWPC-1998-MancoridisMRCG #automation #clustering #source code #using
Using Automatic Clustering to Produce High-Level System Organizations of Source Code (SM, BSM, CR, YFC, ERG), pp. 45–52.
STOCSTOC-1998-KushilevitzOR #approximate #nearest neighbour #performance
Efficient Search for Approximate Nearest Neighbor in High Dimensional Spaces (EK, RO, YR), pp. 614–623.
FMFM-1998-Borger #analysis #design #state machine #using
High Level System Design and Analysis Using Abstract State Machines (EB), pp. 1–43.
ICGTTAGT-1998-KreowskiV
Redundancy and Subsumption in High-Level Replacement Systems (HJK, GV), pp. 215–227.
CHICHI-1998-Lueg
Supporting Situated Actions in High Volume Conversational Data Situations (CL), pp. 472–479.
AdaEuropeAdaEurope-1998-BurnsDR #realtime #source code
The Ravenscar Tasking Profile for High Integrity Real-Time Programs (AB, BD, GR), pp. 263–275.
AdaEuropeAdaEurope-1998-Saaltink #ada
Guidance on the Use of Ada95 in High Integrity Systems (SM, MS), pp. 276–287.
AdaSIGAda-1998-DobbingB #realtime #source code
The Ravenscar Tasking Profile for High Integrity Real-Time Programs (BD, AB), pp. 1–6.
AdaSIGAda-1998-PritchettR #static analysis
An ASIS-Based Static Analysis Tool for High-Integrity Systems (WWPI, JDR), pp. 12–17.
CIKMCIKM-1998-PitouraF #mobile #performance
An Efficient Hierarchical Scheme for Locating Highly Mobile Users (EP, IF), pp. 218–225.
CIKMCIKM-1998-ThomasianCL #approximate #clustering #composition
Clustering and Singular Value Decomposition for Approximate Indexing in High Dimensional Spaces (AT, VC, CSL), pp. 201–207.
ICPRICPR-1998-KawanishiYITY #generative #image #using
Generation of high-resolution stereo panoramic images by omnidirectional imaging sensor using hexagonal pyramidal mirrors (TK, KY, HI, HT, NY), pp. 485–489.
KDDKDD-1998-JohnsonD #set
Comparing Massive High-Dimensional Data Sets (TJ, TD), pp. 229–233.
KDDKDD-1998-RaoRC #adaptation #multi
Time Series Forecasting from High-Dimensional Data with Multiple Adaptive Layers (RBR, SR, FC), pp. 319–323.
KRKR-1998-GiacomoRS #execution #monitoring #source code
Execution Monitoring of High-Level Robot Programs (GDG, RR, MS), pp. 453–465.
SIGIRSIGIR-1998-YamanaKKSY #parallel #performance
Fast Speculative Search Engine on the Highly Parallel Computer EM-X (HY, HK, YK, HS, YY), p. 390.
ECOOPECOOP-1998-CordsenS #case study #experience #memory management #paradigm #using
Experiences Developing a Virtual Shared Memory System Using High-Level Object Paradigms (JC, JN, WSP), pp. 285–306.
OOPSLAOOPSLA-1998-WalkerMFWSI #modelling #visualisation
Visualizing Dynamic Software System Information Through High-Level Models (RJW, GCM, BNFB, DW, DS, JI), pp. 271–283.
SACSAC-1998-EconomakosPT #attribute grammar #multi #synthesis
Incorporating multi-pass attribute grammars for the high-level synthesis of ASICs (GE, GKP, PT), pp. 45–49.
SACSAC-1998-Sterling #clustering
Beowulf PC clusters: breaking the cost barrier to high end application computing (keynote address — abstract) (TLS), p. 1.
ASPLOSASPLOS-1998-FuJLC #performance #scheduling
Value Speculation Scheduling for High Performance Processors (CyF, MDJ, SYL, TMC), pp. 262–271.
ASPLOSASPLOS-1998-GibsonNABCGHRRZ #architecture #effectiveness
A Cost-Effective, High-Bandwidth Storage Architecture (GAG, DN, KA, JB, FWC, HG, CH, ER, DR, JZ), pp. 92–103.
HPCAHPCA-1998-Chen #adaptation #branch #execution
Supporting Highly-Speculative Execution via Adaptive Branch Trees (TFC), pp. 185–194.
HPDCHPDC-1998-DiwanG #adaptation #approach #communication
Adaptive Utilization of Communication and Computational Resources in High-Performance Distribution Systems: The EMOP Approach (SD, DG), pp. 2–9.
HPDCHPDC-1998-Johnston #data-driven #performance
High-Speed, Wide Area, Data Intensive Computing: A Ten Year Retrospective (WEJ), pp. 280–291.
HPDCHPDC-1998-JuddCS #approach
The DOGMA Approach to High-Utilization Supercomputing (GJ, MJC, QS), pp. 64–70.
HPDCHPDC-1998-LauriaPC #communication #performance
Efficient Layering for High Speed Communication: Fast Messages 2.x (ML, SP, AAC), pp. 10–20.
HPDCHPDC-1998-McRobbieMAGPRWW #education #network #performance #research
Global High Performance Networking: Connecting the vNBS and the Asia-Pacific Advanced Network for Research and Education Applications (MAM, DFM, KHA, DG, DDP, RAR, SSW, JGW), pp. 252–259.
HPDCHPDC-1998-RamanLS #distributed #named #resource management #throughput
Matchmaking: Distributed Resource Management for High Throughput Computing (RR, ML, MHS), pp. 140–146.
HPDCHPDC-1998-RoyC #clustering #distributed #memory management #multi #named #string #symmetry
Strings: A High-Performance Distributed Shared Memory for Symmetrical Multiprocessor Clusters (SR, VC), pp. 90–97.
HPDCHPDC-1998-Schatz #distributed #grid #library
High-Performance Distributed Digital Libraries: Building the Interspace on the Grid (BRS), pp. 224–234.
HPDCHPDC-1998-TierneyJCHBG #analysis #distributed #performance
The NetLogger Methodology for High Performance Distributed Systems Performance Analysis (BT, WEJ, BC, GH, CXB, DG), pp. 260–267.
LCTESLCTES-1998-LiuG #analysis #automation #bound
Automatic Accurate Time-Bound Analysis for High-Level Languages (YAL, GG), pp. 31–40.
ICLPJICSLP-1998-Zhou #algorithm #compilation #constraints
A High-Level Intermediate Language and the Algorithms for Compiling Finite-Domain Constraints (NFZ), pp. 70–84.
ASEASE-1997-CohenF #abstraction #automation #database #re-engineering #source code
Automatic High-Quality Reengineering of Database Programs by Temporal Abstraction (YC, YAF), pp. 90–97.
DACDAC-1997-GrundmannDAR #design #performance #using
Designing High Performance CMOS Microprocessors Using Full Custom Techniques (WJG, DD, RLA, NLR), pp. 722–727.
DACDAC-1997-GuptaN #estimation #megamodelling
Power Macromodeling for High Level Power Estimation (SG, FNN), pp. 365–370.
DACDAC-1997-KimC #synthesis #using
Power-conscious High Level Synthesis Using Loop Folding (DK, KC), pp. 441–445.
DACDAC-1997-MaciiPS #estimation #modelling #optimisation
High-Level Power Modeling, Estimation, and Optimization (EM, MP, FS), pp. 504–511.
DACDAC-1997-Roychowdhury #multi #performance #simulation
Efficient Methods for Simulating Highly Nonlinear Multi-Rate Circuits (JSR), pp. 269–274.
DATEEDTC-1997-BensoPRRU #approach #fault #graph #low level
A new approach to build a low-level malicious fault list starting from high-level description and alternative graphs (AB, PP, MR, MSR, RU), pp. 560–565.
DATEEDTC-1997-CmarV #architecture #parallel #scalability
Highly scalable parallel parametrizable architecture of the motion estimator (RC, SV), pp. 208–212.
DATEEDTC-1997-CrenshawS #estimation
Accurate high level datapath power estimation (JEC, MS), pp. 590–596.
DATEEDTC-1997-DonnayGSKLB #interface #synthesis
High-level synthesis of analog sensor interface front-ends (SD, GGEG, WMCS, WK, DL, WvB), pp. 56–60.
DATEEDTC-1997-Gonzalez-TorresMH #set
Full custom chip set for high speed serial communications up to 2.48 Gbit/s (JGT, PAM, JMH), p. 614.
DATEEDTC-1997-HuangW #array #design #performance
High-speed C-testable systolic array design for Galois-field inversion (CTH, CWW), pp. 342–346.
DATEEDTC-1997-LeijtenMTJ #architecture #data-driven #multi #named
PROPHID: a data-driven multi-processor architecture for high-performance DSP (JAJL, JLvM, AHT, JAGJ), p. 611.
DATEEDTC-1997-LuS
A CMOS low-voltage, high-gain op-amp (GNL, GS), pp. 51–55.
DATEEDTC-1997-SchaumontVREB #multi #synthesis
Synthesis of multi-rate and variable rate circuits for high speed telecommunications applications (PS, SV, LR, ME, IB), pp. 542–546.
ICDARICDAR-1997-LiuKK #recognition
High Accuracy Handwritten Chinese Character Recognition by Improved Feature Matching Method (CLL, IJK, JHK), pp. 1033–1037.
ICDARICDAR-1997-StuckelbergPH #architecture #recognition #using
An Architecture for Musical Score Recognition using High-Level Domain Knowledge (MVS, CP, MH), pp. 813–818.
ICDARICDAR-1997-TsudaM #classification #parametricity
A Nonparametric Density Model for Classification in a High Dimensional Space (KT, MM), pp. 1082–1087.
ICDARICDAR-1997-WaizumiKSN #classification #learning #using
High speed rough classification for handwritten characters using hierarchical learning vector quantization (YW, NK, KS, YN), pp. 23–27.
ICDARICDAR-1997-ZhouGS #performance #recognition #verification
A High Performance Hand-printed Numeral Recognition System with Verification Module (JZ, QG, CYS), pp. 293–297.
PODSPODS-1997-BerchtoldBKK #cost analysis #nearest neighbour
A Cost Model For Nearest Neighbor Search in High-Dimensional Data Space (SB, CB, DAK, HPK), pp. 78–86.
SIGMODSIGMOD-1997-Arpaci-DusseauACHP #network #sorting
High-Performance Sorting on Networks of Workstations (ACAD, RHAD, DEC, JMH, DAP), pp. 243–254.
SIGMODSIGMOD-1997-BozkayaO #metric
Distance-Based Indexing for High-Dimensional Metric Spaces (TB, ZMÖ), pp. 357–368.
SIGMODSIGMOD-1997-KatayamaS #nearest neighbour #query
The SR-tree: An Index Structure for High-Dimensional Nearest Neighbor Queries (NK, SS), pp. 369–380.
SIGMODSIGMOD-1997-ZaharioudakisC #concurrent #consistency #database
Highly Concurrent Cache Consistency for Indices in Client-Server Database Systems (MZ, MJC), pp. 50–61.
VLDBVLDB-1997-MalonM #database #energy #physics
Critical Database Technologies for High Energy Physics (DMM, ENM), pp. 580–584.
VLDBVLDB-1997-ShaferA #algorithm #data mining #mining #parallel #similarity
Parallel Algorithms for High-dimensional Similarity Joins for Data Mining Applications (JCS, RA), pp. 176–185.
VLDBVLDB-1997-WildfogelY #performance #testing #transaction
Efficient Testing of High Performance Transaction Processing Systems (DW, RY), pp. 595–598.
PLDIPLDI-1997-PolettoEK #code generation #flexibility #named #performance
tcc: A System for Fast, Flexible, and High-level Dynamic Code Generation (MP, DRE, MFK), pp. 109–121.
STOCSTOC-1997-Kleinberg #algorithm #nearest neighbour
Two Algorithms for Nearest-Neighbor Search in High Dimensions (JMK), pp. 599–608.
CHICHI-1997-TerveenHAMC #interface
Building Task-Specific Interfaces to High Volume Conversational Data (LGT, WCH, BA, DWM, JC), pp. 226–233.
HCIHCI-CC-1997-RobertsonB #development
Competence Development in Ten High Tech Companies in Silicon Valley (MMR, GB), pp. 355–359.
HCIHCI-SEC-1997-Sugiyama #development #user interface #visual notation
A Highly Extensible Graphical User Interface in a Software Development Environment (YS), pp. 327–330.
AdaEuropeAdaEurope-1997-Yeung #ada #semantics #tool support
Semantics-Based Support Tools for High Integrity Ada Software (WLY), pp. 100–111.
AdaTRI-Ada-1997-BrukardtM #ada
CLAW, a High Level, Portable, Ada 95 Binding for Microsoft Windows (RB, TM), pp. 91–104.
AdaTRI-Ada-1997-Tucker #ada #debugging
Debugging Highly-Optimized Ada with Code Motion (DHACM) (KT), pp. 197–203.
KDDKDD-1997-Bayardo #classification #mining
Brute-Force Mining of High-Confidence Classification Rules (RJBJ), pp. 123–126.
TOOLSTOOLS-ASIA-1997-JiaDD #object-oriented #parallel #performance
The Parallel Object Model in HIPPOS: High Performance Parallel Object System (LJ, DW, DW), pp. 397–403.
TOOLSTOOLS-ASIA-1997-PeiYJS #framework #modelling #object-oriented #parallel #using
A Method for Using Object-Oriented Frameworks to Support Various High-Level Parallel Computing Models (LP, DY, LJ, DLS), pp. 151–158.
POPLPOPL-1997-PaigeY #compilation #data type
High Level Reading and Data Structure Compilation (RP, ZY), pp. 456–469.
SACSAC-1997-ChungP #algorithm #problem #question #representation #search-based #why
Why is problem-dependent and high-level representation scheme better in a genetic algorithm? (SC, RP), pp. 239–246.
ESECESEC-FSE-1997-Obbink #analysis #architecture #case study #experience #industrial
Analysis of Software Architectures in High and Low Volume Electronic Systems, Industrial Experience Report (JHO), pp. 523–524.
HPDCHPDC-1997-FitzgeraldFKLST #configuration management #distributed
A Directory Service for Configuring High-Performance Distributed Computations (SF, ITF, CK, GvL, WS, ST), pp. 365–376.
HPDCHPDC-1997-FosterKKKT #distributed #framework
A Secure Communications Infrastructure for High-Performance Distributed Computing (ITF, NTK, CK, GK, ST), pp. 125–136.
PPoPPPPoPP-1997-HuJT #fortran #performance #problem
High Performance FORTRAN for Highly Unstructured Problems (YCH, SLJ, SHT), pp. 13–24.
DACDAC-1996-BerrebiKVTHFJB #control flow #data flow #synthesis
Combined Control Flow Dominated and Data Flow Dominated High-Level Synthesis (EB, PK, SV, SDT, JCH, JF, AAJ, IB), pp. 573–578.
DACDAC-1996-DesaiCJ #cpu #network #performance
Sizing of Clock Distribution Networks for High Performance CPU Chips (MPD, RC, JJ), pp. 389–394.
DACDAC-1996-GoodbyO #pseudo
Pseudorandom-Pattern Test Resistance in High-Performance DSP Datapaths (LG, AO), pp. 813–818.
DACDAC-1996-LeeHCF #design #modelling #synthesis #using
Domain-Specific High-Level Modeling and Synthesis for ATM Switch Design Using VHDL (MTCL, YCH, BC, MF), pp. 585–590.
DACDAC-1996-MiyaharaOM #design
Design Methodology for Analog High Frequency ICs (YM, YO, SM), pp. 503–508.
DACDAC-1996-PopescuM #design #verification
Innovative Verification Strategy Reduces Design Cycle Time for High-End Sparc Processor (VP, BM), pp. 311–314.
DACDAC-1996-SanghaviRBS #memory management #performance
High Performance BDD Package By Exploiting Memory Hiercharchy (JVS, RKR, RKB, ALSV), pp. 635–640.
DACDAC-1996-TauschW #multi
Multipole Accelerated Capacitance Calculation for Structures with Multiple Dielectrics with high Permittivity Ratios (JT, JKW), pp. 367–370.
DACDAC-1996-WagnerD #bibliography #perspective #synthesis #testing
High-Level Synthesis for Testability: A Survey and Perspective (KDW, SD), pp. 131–136.
VLDBVLDB-1996-BerchtoldKK
The X-tree : An Index Structure for High-Dimensional Data (SB, DAK, HPK), pp. 28–39.
VLDBVLDB-1996-MiningGroup #data mining #mining #visualisation
MineSet(tm): A System for High-End Data Mining and Visualization, p. 595.
ITiCSEITiCSE-1996-AndreevaG
Informatics in an advanced mathematical high school (EA, IG), p. 231.
ITiCSEITiCSE-1996-WohlgehagenN #algebra
Computer-based high school algebra I (KW, CN), p. 230.
STOCSTOC-1996-AndrewsLMZ #automation #latency #network
Automatic Methods for Hiding Latency in High Bandwidth Networks (Extended Abstract) (MA, FTL, PTM, LZ), pp. 257–265.
FMFME-1996-ShiN #petri net #specification
An Improved Translation of SA/RT Specification Model to High-Level Timed Petri Nets (LS, PN), pp. 518–537.
IFLIFL-1996-BoquistJ #functional #lazy evaluation #optimisation
The GRIN Project: A Highly Optimising Back End for Lazy Functional Languages (UB, TJ), pp. 58–84.
IFLIFL-1996-Scholz #array #functional #on the #programming
On Programming Scientific Applications in SAC — A Functional Language Extended by a Subsystem for High-Level Array Operations (SBS), pp. 85–104.
CHICHI-1996-VirziSK #identification #problem #prototype #usability #using
Usability Problem Identification Using Both Low- and High-Fidelity Prototypes (RAV, JLS, DK), pp. 236–243.
ICPRICPR-1996-BakkerVOS #image #performance
High-speed acquisition of range images (MdB, PWV, FvdO, GKS), pp. 293–297.
ICPRICPR-1996-Goller #concurrent #image
Concurrent radar image shape-from-shading on high-performance computers (AG), pp. 589–593.
ICPRICPR-1996-LeeKB #recognition
Highly accurate recognition of printed Korean characters through an improved grapheme recognition method (JSL, OJK, SYB), pp. 447–451.
ICPRICPR-1996-Lemaire #nondeterminism #recognition
Use of a priori descriptions in a high-level language and management of the uncertainty in a scene recognition system (JL), pp. 560–564.
ICPRICPR-1996-NakagawaATHH #online #recognition #robust
Robust and highly customizable recognition of online handwritten Japanese characters (MN, KA, LVT, AH, TH), pp. 269–273.
ICPRICPR-1996-NamuduriRR #algorithm #image #named #segmentation #slicing #using
SVBS: a high-resolution medical image compression algorithm using slicing with variable block size segmentation (KRN, NR, HR), pp. 919–923.
ICPRICPR-1996-SawasakiMU #analysis #design #implementation #performance #realtime #visual notation
Design and implementation of high-speed visual tracking system for real-time motion analysis (NS, TM, TU), pp. 478–483.
ICPRICPR-1996-TsurutaTA #constraints #image #re-engineering #using
Image reconstruction using high-level constraints (NT, RiT, MA), pp. 401–405.
SIGIRSIGIR-1996-BellM #design #information management #performance
The Design of a High Performance Information Filtering System (TAHB, AM), pp. 12–20.
POPLPOPL-1996-EnglerHK #code generation #independence #performance
‘C: A Language for High-Level, Efficient, and Machine-Independent Dynamic Code Generation (DRE, WCH, MFK), pp. 131–144.
ICSEICSE-1996-SefikaSC #design #modelling #monitoring
Monitoring Compliance of a Software System with Its High-Level Design Models (MS, AS, RHC), pp. 387–396.
HPCAHPCA-1996-AlexanderK #design #distributed #memory management
Distributed Prefetch-buffer/Cache Design for High-Performance Memory Systems (TA, GK), pp. 254–263.
HPCAHPCA-1996-MarkatosK #clustering #named #network #parallel
Telegraphos: High-Performance Networking for Parallel Processing on Workstation Clusters (EPM, MK), pp. 144–153.
HPCAHPCA-1996-TakahashiTKS #multi #protocol
A Shared-Bus Control Mechanism and a Cache Coherence Protocol for a High-Performance On-Chip Multiprocessor (MT, HT, EK, SS), pp. 314–322.
HPCAHPCA-1996-YangSD #parallel #query
Parallel Intersecting Compressed Bit Vectors in a High Speed Query Server for Processing Postal Addresses (WjY, RS, VD), pp. 232–241.
HPDCHPDC-1996-AgusleoS #interface #memory management #network
Employing Logic-Enhanced Memory for High-Performance ATM Network Interfaces (HA, NS), pp. 192–200.
HPDCHPDC-1996-DincerFH #algorithm #fortran
High-Performance Fortran and Possible Extensions to Support Conjugate Gradient Algorithms (KD, GF, KAH), pp. 69–77.
HPDCHPDC-1996-FoxF #distributed #evolution #performance #towards #virtual machine #web
Towards Web/Java-Based High Performance Distributed Computing-an Evolving Virtual Machine (GF, WF), pp. 308–317.
HPDCHPDC-1996-LigonR #distributed #file system #implementation #parallel #performance
Implementation and Performance of a Parallel File System for High Performance Distributed Applications (WBLI, RBR), pp. 471–480.
HPDCHPDC-1996-MalluhiJ #reliability
Approaches for a Reliable High-Performance Distributed-Parallel Storage System (QMM, WEJ), pp. 500–509.
HPDCHPDC-1996-Ravindran #design #multi #network
Design of Multicast Packet Swithches for High — speed Multi — Service Networks (KR), pp. 573–584.
HPDCHPDC-1996-SarkarB #clustering #interface #named #network
CNI: A High-Performance Network Interface for Workstation Clusters (PS, MLB), pp. 151–160.
DACDAC-1995-Bergamaschi #design #problem #question #tool support
Productivity Issues in High-Level Design: Are Tools Solving the Real Problems? (RAB), pp. 674–677.
DACDAC-1995-MarculescuMP #correlation #estimation #performance
Efficient Power Estimation for Highly Correlated Input Streams (RM, DM, MP), pp. 628–634.
DACDAC-1995-MehrotraFS #generative #performance
Performance Driven Global Routing and Wiring Rule Generation for High Speed PCBs and MCMs (SM, PDF, MBS), pp. 381–387.
ASEKBSE-1995-Reuss #deduction #synthesis #towards #type system
Towards High-Level Deductive Program Synthesis Based on Type Theory (HR), pp. 174–183.
ICDARICDAR-v1-1995-DeseillignyMS #re-engineering #recognition #string
Characters string recognition on maps, a method for high level reconstruction (MPD, HLM, GS), pp. 249–252.
ICDARICDAR-v1-1995-MaderlechnerM #information management
Conversion of high level information from scanned maps into geographic information systems (GM, HM), pp. 253–256.
ICDARICDAR-v1-1995-RoosliM #constraints #metric #quality
A high quality vectorization combining local quality measures and global constraints (MR, GM), pp. 243–248.
ICDARICDAR-v2-1995-Baumann #graph grammar #music #recognition
A simplified attributed graph grammar for high-level music recognition (SB), pp. 1080–1083.
ICDARICDAR-v2-1995-GuoDZGW
Realization of a high-performance bilingual Chinese-English OCR system (HG, XD, ZZ, FG, YW), pp. 978–981.
SIGMODSIGMOD-1995-Ivinskis
High Availability of Commercial Applications (KI), pp. 433–434.
VLDBVLDB-1995-HvasshovdTBH #database #realtime #throughput
The ClustRa Telecom Database: High Availability, High Throughput, and Real-Time Response (SOH, ØT, SEB, PH), pp. 469–477.
VLDBVLDB-1995-KornackerB
High-Concurrency Locking in R-Trees (MK, DB), pp. 134–145.
STOCSTOC-1995-DolevKKP #adaptation #named #network #performance
Bubbles: adaptive routing scheme for high-speed dynamic networks (Extended Abstract) (SD, EK, DK, DP), pp. 528–537.
STOCSTOC-1995-KleinbergT #approximate #network #problem
Approximations for the disjoint paths problem in high-diameter planar networks (JMK, ÉT), pp. 26–35.
CHICHI-1995-BauerJ #interactive #learning #modelling
Modeling Time-Constrained Learning in a Highly Interactive Task (MIB, BEJ), pp. 19–26.
CHICHI-1995-KierasWM #architecture #modelling #predict #using
Predictive Engineering Models Using the EPIC Architecture for a High-Performance Task (DEK, SDW, DEM), pp. 11–18.
CHICHI-1995-Sumner #case study #design
The High-Tech Toolbelt: A Study of Designers in the Workplace (TS), pp. 178–185.
CIKMCIKM-1995-ORiordanS
An Intelligent Agent for High-Precision Text Filtering (AO, HS), pp. 205–211.
ICMLICML-1995-BlackmoreM #grid #incremental #network #visualisation
Visualizing High-Dimensional Structure with the Incremental Grid Growing Neural Network (JB, RM), pp. 55–63.
ICMLICML-1995-Schmidhuber #complexity
Discovering Solutions with Low Kolmogorov Complexity and High Generalization Capability (JS), pp. 488–496.
SIGIRSIGIR-1995-EfraimidisGMST #parallel #performance #retrieval #using
Parallel Text Retrieval on a High Performance Super Computer Using the Vector Space Model (PSE, CG, BM, PGS, BT), pp. 58–66.
SACSAC-1995-GandolfiGMR #configuration management #design #fuzzy
Design of a VLSI very high speed reconfigurable digital fuzzy processor (EG, AG, MM, MR), pp. 477–481.
SACSAC-1995-KasaraneniJA #distributed #energy #multi #physics
Load balancing in a distributed processing system for high-energy physics(UFMulti) (JK, TJ, PA), pp. 177–181.
FSEFSE-1995-MurphyNS #modelling
Software Reflexion Models: Bridging the Gap Between Source and High-Level Models (GCM, DN, KJS), pp. 18–28.
HPCAHPCA-1995-CappelloG #communication #network #performance #towards
Toward High Communication Performance through Compiled Communications on a Circuit Switched Interconnection Network (FC, CG), pp. 44–53.
HPCAHPCA-1995-JohnRHC #architecture #performance
Program Balance and Its Impact on High Performance RISC Architectures (LKJ, VR, PTH, LDC), pp. 370–379.
HPDCHPDC-1995-BraunSUSYPNFEM #adaptation #implementation
A High Speed Implementation of Adaptive Shaping for Dynamic Bandwidth Allocation (CB, VS, HU, SWS, EY, DWP, DN, VF, JBE, GJM), pp. 94–101.
HPDCHPDC-1995-DowdPCHC #clustering #configuration management #named #network #scalability
LIGHTNING: A Scalable Dynamically Reconfigurable Hierarchical WDM Network for High-Performance Clustering (PWD, JAP, JCC, DCH, DC), pp. 220–229.
PPoPPPPoPP-1995-Brewer #automation #modelling #optimisation #statistics
High-Level Optimization via Automated Statistical Modeling (EAB), pp. 80–91.
PPoPPPPoPP-1995-WisniewskiKS #algorithm #multi #performance
High Performance Synchronization Algorithms for Multiprogrammed Multiprocessors (RWW, LIK, MLS), pp. 199–206.
SOSPSOSP-1995-JohnsonKW #distributed #memory management #named
CRL: High-Performance All-Software Distributed Shared Memory (KLJ, MFK, DAW), pp. 213–228.
SOSPSOSP-1995-NelsonLO #scalability
A Highly Available, Scalable ITV System (MNN, MAL, SSO), pp. 54–67.
DACDAC-1994-ChengC #fault #generative #quality #testing
Generation of High Quality Non-Robust Tests for Path Delay Faults (KTC, HCC), pp. 365–369.
DACDAC-1994-DartuMQP #performance
A Gate-Delay Model for high-Speed CMOS Circuits (FD, NM, JQ, LTP), pp. 576–580.
DACDAC-1994-FannRJ #scheduling #synthesis
Global Scheduling for High-Level Synthesis Applications (YF, MR, RJ), pp. 542–546.
DACDAC-1994-Fox #design
The Design of High-Performance Microprocessors at Digital (TFF), pp. 586–591.
DACDAC-1994-HaradaK #optimisation #performance
A Global Router Optimizing Timing and Area for High-Speed Bipolar LSI’s (IH, HK), pp. 177–181.
DACDAC-1994-HarrisO #architecture #concurrent #design #synthesis
Microarchitectural Synthesis of VLSI Designs with High Test Concurrency (IGH, AO), pp. 206–211.
DACDAC-1994-KissionDJ #design
Structured Design Methodology for High-Level Design (PK, HD, AAJ), pp. 466–471.
DACDAC-1994-KolsonND #memory management #synthesis
Minimization of Memory Traffic in High-Level Synthesis (DJK, AN, NDD), pp. 149–154.
DACDAC-1994-OchottaRC #agile #named #synthesis #tool support
ASTRX/OBLX: Tools for Rapid Synthesis of High-Performance Analog Circuits (ESO, RAR, LRC), pp. 24–30.
DACDAC-1994-ParulkarBN #representation
Extraction of a High-level structural Representation from Circuit Descriptions with Applications to DFT/BIST (IP, MAB, CN), pp. 345–356.
DACDAC-1994-TeraiGNSO #automation #concept #design #performance
Basic Concept of Cooperative Timing-driven Design Automation Technology for High-speed RISC Processor HARP-1 (HT, KG, YN, YS, YO), pp. 262–269.
DACDAC-1994-VerbauwhedeSR #estimation #memory management #synthesis
Memory Estimation for High Level Synthesis (IV, CJS, JMR), pp. 143–148.
DATEEDAC-1994-AlexiouSK #composition #design #implementation #sorting
Design and Implementation of a High-Performance, Modular, Sorting Engine (GA, DS, NK), pp. 2–8.
DATEEDAC-1994-BoniCFMO #fault #modelling #physics
Physical Modeling of Linearity Errors for the Diagnosis of High Resolution R-2R D/A Converters (AB, GC, GF, SM, MO), p. 664.
DATEEDAC-1994-BurgunDGPS #complexity #logic #multi #synthesis
Multilevel Logic Synthesis of Very High Complexity Circuits (LB, ND, AG, EP, CS), p. 669.
DATEEDAC-1994-FlottesHR #automation #specification #synthesis
Automatic Synthesis of BISTed Data Paths From High Level Specification (MLF, DH, BR), pp. 591–598.
DATEEDAC-1994-GhatrajuAM #fixpoint #synthesis
High-Level Synthesis of Digital Circuits by Finding Fixpoints (LG, MHAEB, CM), pp. 94–98.
DATEEDAC-1994-GreinerLWW #complexity #design #library
Design of a High Complexity Superscalar Microprocessor with the Portable IDPS ASIC Library (AG, LL, FW, LW), pp. 9–13.
DATEEDAC-1994-HuangR #behaviour #performance #throughput #using
Maximizing the Throughput of High Performance DSP Applications Using Behavioral Transformations (SHH, JMR), pp. 25–30.
DATEEDAC-1994-JohanssonVG #performance
“Underground Capacitors” Very Efficient Decoupling for High Performance UHF Signal Processing ICs (TJ, LRV, JMG), p. 655.
DATEEDAC-1994-NaganumaOH #algorithm #debugging #design #using #validation
High-Level Design Validation Using Algorithmic Debugging (JN, TO, TH), pp. 474–480.
DATEEDAC-1994-RouzeyreDS #component #scheduling #synthesis
Component Selection, Scheduling and Control Schemes for High Level Synthesis (BR, DD, GS), pp. 482–489.
DATEEDAC-1994-SafiniaLS #analysis #functional #modelling
Taking Advantage of High Level Functional Information to Refine Timing Analysis and Timing Modeling (CS, RL, GS), pp. 349–353.
SIGMODSIGMOD-1994-WhiteD #named #performance
QuickStore: A High Performance Mapped Object Store (SJW, DJD), pp. 395–406.
VLDBVLDB-1994-JagadishLRSS #in memory #memory management #named #performance
Dalí: A High Performance Main Memory Storage Manager (HVJ, DFL, RR, AS, SS), pp. 48–59.
VLDBVLDB-1994-JohnsonS #algorithm #named #performance
2Q: A Low Overhead High Performance Buffer Management Replacement Algorithm (TJ, DS), pp. 439–450.
CSEETCSEE-1994-EwardW
Introducing Megaprogramming at the High School and Undergraduate Levels (ME, SPW), pp. 583–596.
CSCWCSCW-1994-ZabeleRV #framework #performance
High Performance Infrastructure for Visually-Intensive CSCW Applications (SZ, SLR, RLV), pp. 395–403.
AdaEuropeAdaEurope-1994-HutcheonJMPWJ #ada #tool support
Tool Support for High Integrity Ada Software (ADH, DTJ, JAM, RHP, ICW, BJJ), pp. 63–75.
CIKMCIKM-1994-LamirelC #approach #database #design #interactive #learning #online
Application of a Symbolico-Connectionist Approach for the Design of a Highly Interactive Documentary Database Interrogation System with On-Line Learning Capabilities (JCL, MC), pp. 155–163.
KDDKDD-1994-ChuC #abstraction #concept #database
Abstraction of High Level Concepts from Numerical Values in Databases (WWC, KC), pp. 133–144.
OOPSLAOOPSLA-1994-KarpovichGF #approach #file system #object-oriented #performance
Extensible File Systems (ELFS): An Object-Oriented Approach to High Performance File I/O (JFK, ASG, JCF), pp. 191–204.
PPDPPLILP-1994-CodognetCLQ #named
Sleepers: A Versatile High-Level Control Mechanism (CC, PC, VL, MQ), pp. 308–323.
SACSAC-1994-BosschereT #continuation #performance #prolog
High performance continuation passing style Prolog-to-C mapping (KDB, PT), pp. 383–387.
ASPLOSASPLOS-1994-UptonHMB #resource management
Resource Allocation in a High Clock Rate Microprocessor (MU, TH, TNM, RBB), pp. 98–109.
CCCC-1994-BenkerBZ #array #compilation #fortran #interface #performance
Processing Array Statements and Procedure Interfaces in the PREPARE High Performance Fortran Compiler (SB, PB, HPZ), pp. 324–338.
HPDCHPDC-1994-ChangFFGHNRSW #network
High-Performance TCP/IP and UDP/IP Networking in DEC OSF/1 for Alpha AXP (CHC, RF, JF, HG, WRH, APN, KKR, UNS, KMW), pp. 35–42.
HPDCHPDC-1994-KremienK #distributed #performance #scalability
Providing High Performance Distributed Computing Through Scalable Computation Servers (OK, JK), pp. 70–77.
HPDCHPDC-1994-Stevens #multi
Multimedia Supercomputing: The Use of Supercomputers to Drive High-Performance Multimedia Systems and Virtual Environments (RS), p. 3.
HPDCHPDC-1994-TakamuraT #communication #performance #protocol #scalability
Large-Scale Group Communication Protocol on High-Speed Channel (MT, MT), pp. 254–261.
DACDAC-1993-BoeseKR
High-Performance Routing Trees With Identified Critical Sinks (KDB, ABK, GR), pp. 182–187.
DACDAC-1993-ChaiyakulGR
High-Level Transformations for Minimizing Syntactic Variances (VC, DG, LR), pp. 413–418.
DACDAC-1993-ChiproutN #evaluation #performance
Addressing High-Speed Interconnect Issues in Asymptotic Waveform Evaluation (EC, MSN), pp. 732–736.
DACDAC-1993-ChoS #algorithm #performance
A Nuffer Distribution Algorithm for High-Speed Clock Routing (JDC, MS), pp. 537–543.
DACDAC-1993-ChouCC #finite #modelling #performance #simulation #using
High-Speed Interconnect Modeling and High-Accuracy Simulation Using SPICE and Finite Element Methods (TYC, JC, ZJC), pp. 684–690.
DACDAC-1993-KarriO #architecture #synthesis
High-Level Synthesis of Fault-Secure Microarchitectures (RK, AO), pp. 429–433.
DACDAC-1993-LeeJW #behaviour #synthesis
Behavioral Synthesis of Highly Testable Data Paths under the Non-Scan and Partial Scan Environments (TCL, NKJ, WW), pp. 292–297.
DACDAC-1993-SeawrightB #performance #synthesis
High-Level Symbolic Construction Technique for High Performance Sequential Synthesis (AS, FB), pp. 424–428.
DACDAC-1993-SharmaJ93a #architecture #performance #synthesis
Estimating Architectural Resources and Performance for High-Level Synthesis Applications (AS, RJ), pp. 355–360.
DACDAC-1993-VemuriMSKRV #case study #experience #functional #synthesis #validation
Experiences in Functional Validation of a High Level Synthesis System (RV, PM, PS, NK, JR, RV), pp. 194–201.
DACDAC-1993-WangDNS #architecture #multi #scalability #synthesis #using
High-Level Synthesis of Scalable Architectures for IIR Filters using Multichip Modules (HW, NDD, AN, KYS), pp. 336–342.
ICDARICDAR-1993-ChhabraABCLSSW #geometry #higher-order #recognition #statistics
High-order statistically derived combinations of geometric features for handprinted character recognition (AKC, ZA, DB, GC, KL, PS, RS, BW), pp. 397–401.
ICSMECSM-1993-BriandMB #design #maintenance
Measuring and Assessing Maintainability at the End of High Level Design (LCB, SM, VRB), pp. 88–97.
ICSMECSM-1993-Stockenberg #architecture #integration #realtime
A Dynamic Integration Architecture for High Availability Real-Time Systems (JES), pp. 51–60.
PEPMPEPM-1993-ConselPW #composition #incremental #operating system #partial evaluation #performance
Incremental Partial Evaluation: The Key to High Performance, Modularity and Portability in Operating Systems (CC, CP, JW), pp. 44–46.
STOCSTOC-1993-LinialLSZ #combinator #performance #set
Efficient construction of a small hitting set for combinatorial rectangles in high dimension (NL, ML, MES, DZ), pp. 258–267.
HCIHCI-SHI-1993-TsiramuaK #design #effectiveness #human-computer #multi
Designing of Highly Effective “Human-Computer” Systems, Based on Multifunctional Elements (ST, RK), pp. 33–37.
CHIINTERCHI-1993-KimF #design #user interface
Providing high-level control and expert assistance in the user interface presentation design (WCK, JDF), pp. 430–437.
CHIINTERCHI-1993-SteffenE #interactive #using #visualisation
High interaction data visualization using Seesoft to visualize program change history (abstract) (JLS, SGE), p. 517.
CIKMCIKM-1993-Maine #bound #named #performance
HSGIMS — A Secure High-Speed Tool with Bound Search Times for Transparently Managing and Manipulating Information (PADdM), pp. 216–222.
SEKESEKE-1993-Cooke93a #multi #order #programming language
A High Level Programming Language Based Upon Ordered Multisets (DEC), pp. 117–124.
SIGIRSIGIR-1993-PaiceJ #concept #identification
The Identification of Important Concepts in Highly Structured Technical Papers (CDP, PAJ), pp. 69–78.
OOPSLAOOPSLA-1993-MatsuokaTY #concurrent #encapsulation #object-oriented #performance
Highly Efficient and Encapsulated Re-use of Synchronization Code in Concurrent Object-Oriented Languages (SM, KT, AY), pp. 109–126.
SACSAC-1993-AguirreBBG #information management #prototype #representation #using
Using a High Level Knowledge Representation for Expert Systems Knowledge Acquisition and Prototyping (JLA, OB, RFB, MNG), pp. 490–497.
ICSEICSE-1993-KleynB #graph #programming #specification
A High Level Language for Specifying Graph Based Languages and Their Programming Environments (MFK, JCB), pp. 324–335.
HPDCHPDC-1993-BanerjiKTGC #clustering #distributed #memory management
High-Performance Distributed Shared Memory Substrate for Workstation Clusters (AB, DCK, JMT, PMG, DLC), pp. 344–351.
HPDCHPDC-1993-ChenFFFRWY #distributed #interface #programming #prototype #scalability
A Low-Latency Programming Interface and a Prototype Switch for Scalable High-Performance Distributed Computing (TC, JF, GF, GF, SR, BW, FKY), pp. 160–168.
HPDCHPDC-1993-Kung #network #performance
New Flow Control Methods for High-Speed Networks (HTK), p. 4.
HPDCHPDC-1993-NakamuraT #network #performance #protocol
Starvation-Prevented Priority-Based Total Ordering Broadcast Protocol on High-Speed Single Channel Network (AN, MT), pp. 281–288.
HPDCHPDC-1993-RichardsGSBF #adaptation #named #network
DARTS — A Dynamically Adaptable Transport Service Suitable for High Speed Networks (AR, TG, AS, TB, MF), pp. 68–75.
HPDCHPDC-1993-VarmaSB #evaluation #performance #standard
Performance Evaluation of a High-Speed Switching System Based on the Fibre Channel Standard (AV, VS, RB), pp. 144–151.
HPDCHPDC-1993-WehnerABDEMFMMS #distributed #memory management #performance #towards
Toward a High Performance Distributed Memory Climate Model (MFW, JJA, JCB, WPD, PGE, AAM, JDF, CCM, CRM, JAS), pp. 102–113.
PPoPPPPoPP-1993-ChapmanMZ #fortran #performance
High Performance Fortran Without Templates: An Alternative Model for Distribution and Alignment (BMC, PM, HPZ), pp. 92–101.
PPoPPPPoPP-1993-PrinsP #source code
Transforming High-Level Data-Parallel Programs into Vector Operations (JP, DWP), pp. 119–128.
PPoPPPPoPP-1993-SarukkaiM #analysis #source code
Perturbation Analysis of High Level Instrumentation for SPMD Programs (SRS, ADM), pp. 44–53.
SOSPSOSP-1993-DruschelP #named
Fbufs: A High-Bandwidth Cross-Domain Transfer Facility (PD, LLP), pp. 189–202.
SOSPSOSP-1993-MaedaB #composition #network #protocol
Protocol Service Decomposition for High-Performance Networking (CM, BNB), pp. 244–255.
DACDAC-1992-BergamaschiLK #behaviour #optimisation #synthesis #using
Control Optimization in High-Level Synthesis Using Behavioral Don’t Cares (RAB, DAL, AK), pp. 657–661.
DACDAC-1992-DuttaRV #distributed #synthesis
Distributed Design-Space Exploration for High-Level Synthesis Systems (RD, JR, RV), pp. 644–650.
DACDAC-1992-FranzonSSBMM #generative #tool support
Tools to Aid in Wiring Rule Generation for High Speed Interconnects (PDF, SS, MBS, MB, SM, TM), pp. 466–471.
DACDAC-1992-GeurtsCM #throughput
Time Constrained Allocation and Assignment Techniques for High Throughput Signal Processing (WG, FC, HDM), pp. 124–127.
DACDAC-1992-HuangD #compilation #pipes and filters #set #synthesis
High Level Synthesis of Pipelined Instruction Set Processors and Back-End Compilers (IJH, AMD), pp. 135–140.
DACDAC-1992-HungP #constraints #design #multi #synthesis
High-Level Synthesis with Pin Constraints for Multiple-Chip Designs (YHH, ACP), pp. 231–234.
DACDAC-1992-KarriO #fault tolerance #synthesis
Transformation-Based High-Level Synthesis of Fault-Tolerant ASICs (RK, AO), pp. 662–665.
DACDAC-1992-NatarajanSHS #performance
Over-the-Cell Channel Routing for High Performance Circuits (SN, NAS, NDH, MS), pp. 600–603.
DACDAC-1992-RimJ #branch #representation #synthesis
Representing Conditional Branches for High-Level Synthesis Applications (MR, RJ), pp. 106–111.
DACDAC-1992-RimJL #synthesis
Optimal Allocation and Binding in High-Level Synthesis (MR, RJ, RDL), pp. 120–123.
DACDAC-1992-RoychowdhuryNP #linear #simulation
Simulating Lossy Interconnect with High Frequency Nonidealities in Linear Time (JSR, ARN, DOP), pp. 75–80.
DACDAC-1992-SarabiP #canonical #network #performance
Fast Exact and Quasi-Minimal Minimization of Highly Testable Fixed-Polarity AND/XOR Canonical Networks (AS, MAP), pp. 30–35.
DACDAC-1992-StollD #constraints #synthesis
High-Level Synthesis from VHDL with Exact Timing Constraints (AS, PD), pp. 188–193.
SIGMODSIGMOD-1992-BhideGHJ #performance
An Efficient Scheme for Providing High Availability (AB, AG, HIH, AJ), pp. 236–245.
SIGMODSIGMOD-1992-HarrisRW #concurrent #database #multi #performance #protocol
A High Performance Multiversion Concurrency Control Protocol of Object Databases (CH, MR, CW), p. 320.
SIGMODSIGMOD-1992-Kasi #performance
High Performance and Availability Through Data Distribution (JK), p. 102.
SIGMODSIGMOD-1992-MohanL #concurrent #named #performance #using
ARIES/IM: An Efficient and High Concurrency Index Management Method Using Write-Ahead Logging (CM, FEL), pp. 371–380.
VLDBVLDB-1992-ImielinskiB #distributed #mobile #query
Querying in Highly Mobile Distributed Environments (TI, BRB), pp. 41–52.
VLDBVLDB-1992-KrishnakumarB #algorithm #database #throughput
High Throughput Escrow Algorithms for Replicated Databases (NK, AJB), pp. 175–186.
VLDBVLDB-1992-SimonKM #implementation #relational
Implementing High Level Active Rules on Top of a Relational DBMS (ES, JK, CdM), pp. 315–326.
STOCSTOC-1992-AttiyaF #correctness #multi
A Correctness Condition for High-Performance Multiprocessors (Extended Abstract) (HA, RF), pp. 679–690.
ICALPICALP-1992-SheuL
UP and the Low and High Hierarchies: A Relativized Separation (MJS, TJL), pp. 174–185.
CHICHI-1992-JohnV #analysis #interactive
A GOMS Analysis of a Graphic Machine-Paced, Highly Interactive Task (BEJ, AHV), pp. 251–258.
CHICHI-1992-PeckJ #interactive #named
Browser-Soar: A Computational Model of a Highly Interactive Task (VAP, BEJ), pp. 165–172.
AdaEuropeAdaEurope-1992-Hummel #ada #parallel
A Highly Parallel Ada Task Scheduler (SFH), pp. 275–286.
SEKESEKE-1992-LoiaCQ #framework #implementation #incremental #prolog
Incremental, High Level Implementation of Prolog in an Open System Framework (VL, GC, MQ), pp. 394–403.
PPDPALP-1992-EhrigP #algebra #equation #specification
High-Level-Replacement Systems for Equational Algebraic Specifications (HE, FPP), pp. 3–20.
POPLPOPL-1992-NirkheP #imperative #partial evaluation #programming language #realtime
Partial Evaluation of High-Level Imperative Programming Languages, with Applications in Hard Real-Time Systems (VN, WP), pp. 269–280.
ICSEICSE-1992-Leveson
High-Pressure Steam Engines and Computer Software (NGL), pp. 2–14.
SOSPSOSP-WIP-1991-Herlihy92 #concurrent #implementation
A Methodology for Implementing Highly Concurrent Data Objects (Abstract) (MH), p. 12.
ASPLOSASPLOS-1992-AndersonOST #network #scheduling
High Speed Switch Scheduling for Local Area Networks (TEA, SSO, JBS, CPT), pp. 98–110.
CCCC-1992-Poetzsch-Heffter #identification #implementation #specification
Implementing High-Level Identification Specifications (APH), pp. 59–65.
CCCC-1992-ViklundHF #implementation #programming
The Implementation of ObjectMath — a High-Level Programming Environment for Scientific Computing (LV, JH, PF), pp. 312–318.
HPDCHPDC-1992-BilgicS #performance
High Performance PDU Processing for Application Layer (MB, BS), pp. 161–170.
HPDCHPDC-1992-CheungR #clustering #performance
High Performance Computing on a Cluster of Workstations (ALC, APR), pp. 152–160.
HPDCHPDC-1992-FaigleFHNPS #distributed #performance
MOVIE Model for Open Systems Based High Performance Distributed Computing (CF, WF, TH, JN, MP, DAS), pp. 37–56.
HPDCHPDC-1992-ParasharHMF #analysis #distributed #performance
A Requirement Analysis for High Performance Distributed Computing over LANs (MP, SH, AGM, GF), pp. 142–151.
HPDCHPDC-1992-SchmidtBS #adaptation #architecture #flexibility #lightweight #multi #named #network #performance #protocol
ADAPTIVE: A Flexible and Adaptive Transport System Architecture to Support Lightweight Protocols for Multimedia Applications on High-Speed Networks (DCS, DFB, TS), pp. 174–186.
HPDCHPDC-1992-SinghAW #performance
Computing Radiosity Solution on a High Performance Workstation LAN (GBS, SGA, FHW), pp. 248–257.
HPDCHPDC-1992-WilsonGC #case study #experience #performance
Experiences in High Performance Computing with Pleiades and ESP (LFW, MJG, MWC), pp. 67–76.
CADECADE-1992-Zhang #named #performance
Herky: High Performance Rewriting in RRL (HZ), pp. 696–700.
DACDAC-1991-DuttK #library #synthesis
Bridging High-Level Synthesis to RTL Technology Libraries (NDD, JRK), pp. 526–529.
DACDAC-1991-Fuhrman #industrial #synthesis #tool support
Industrial Extensions to University High Level Synthesis Tools: Making It Work in the Real World (TEF), pp. 520–525.
DACDAC-1991-JainMSW #empirical #evaluation #heuristic #scheduling #synthesis
Empirical Evaluation of Some High-Level Synthesis Scheduling Heuristics (RJ, AM, AS, HW), pp. 686–689.
DACDAC-1991-KahngCR #geometry #recursion
High-Performance Clock Routing Based on Recursive Geometric Aatching (ABK, JC, GR), pp. 322–327.
DACDAC-1991-NicolauP #incremental #reduction #synthesis
Incremental Tree Height Reduction for High Level Synthesis (AN, RP), pp. 770–774.
DACDAC-1991-NoteGCM #architecture #named #synthesis #throughput
Cathedral-III: Architecture-Driven High-level Synthesis for High Throughput DSP Applications (SN, WG, FC, HDM), pp. 597–602.
DACDAC-1991-PangrleBLS #synthesis
Relevant Issues in High-Level Connectivity Synthesis (BMP, FB, DAL, AS), pp. 607–610.
DACDAC-1991-TeraiGWKEHH #automation #concept #design
Basic Concepts of Timing-oriented Design Automation for High-performance Mainframe Computers (HT, FG, KW, TK, ME, SH, MH), pp. 193–198.
DACDAC-1991-WengP #3d #scheduling #synthesis
3D Scheduling: High-Level Synthesis with Floorplanning (JPW, ACP), pp. 668–673.
DACDAC-1991-YangCYDH #modelling #parametricity #simulation
Modeling and Simulation of High-Frequency Integrated Circuits Based on Scattering Parameters (ATY, CHC, JTY, RRD, JPH), pp. 752–757.
SIGMODSIGMOD-1991-ShyyS #database #knowledge base #named #programming language
K: A High-Level Knowledge Base Programming Language for Advanced Database Applications (YMS, SYWS), pp. 338–347.
VLDBVLDB-1991-SullivanS #data type #database #fault tolerance #using
Using Write Protected Data Structures To Improve Software Fault Tolerance in Highly Available Database Management Systems (MS, MS), pp. 171–180.
STOCSTOC-1991-MatiasV #parallel #probability
Converting High Probability into Nearly-Constant Time-with Applications to Parallel Hashing (Extended Abstract) (YM, UV), pp. 307–316.
FMVDME-1991-1-HeeSV #petri net
Z and High Level Petri Nets (KMvH, LJS, MV), pp. 204–219.
AdaEuropeAdaEurope-1991-ColombiniTP #ada #realtime
Ada as High Level Language for Real-Time Systems Exploiting RDBMS Techniques (CC, AdT, RP), pp. 384–393.
AdaEuropeAdaEurope-1991-Lundberg #ada #multi #performance
A Coprocessor for High Performance Multiprocessor Ada Tasking (LL), pp. 147–165.
ICMLML-1991-Jones #refinement #using
Knowledge Refinement Using a High Level, Non-Technical Vocabulary (EKJ), pp. 18–22.
TOOLSTOOLS-USA-1991-StaryM #declarative #specification #user interface
MERCY-High Level Control for Declarative User Interface Specification (CS, KJM), pp. 281–290.
ASPLOSASPLOS-1991-BagrodiaM #implementation #parallel #performance #source code
Efficient Implementation of High Level Parallel Programs (RB, SM), pp. 142–151.
ASPLOSASPLOS-1991-SohiF #memory management
High-Bandwidth Data Memory Systems for Superscalar Processors (GSS, MF), pp. 53–62.
PPoPPPPoPP-1991-KungSGK #network #performance #scalability
Parallelizing a New Class of Large Applications over High-speed Networks (HTK, PS, MG, MK), pp. 167–177.
SOSPSOSP-1991-MullerP #design #file system #multi #performance
A High Performance Multi-Structured File System Design (KM, JP), pp. 56–67.
ICLPISLP-1991-FosterKT #composition #parallel #programming #source code #using
Using Compositional Programming to Write Portable, High-Performance Parallel Programs (ITF, CK, ST), pp. 737–738.
DACDAC-1990-BreternitzS #architecture #synthesis
Architecture Synthesis of High-Performance Application-Specific Processors (MBJ, JPS), pp. 542–548.
DACDAC-1990-CaiNSM #assembly #layout #performance
A Data Path Layout Assembler for High Performance DSP Circuits (HC, SN, PS, HDM), pp. 306–311.
DACDAC-1990-JacksonSK
Clock Routing for High-Performance ICs (MABJ, AS, ESK), pp. 573–579.
DACDAC-1990-KundaARN #generative #testing #using
Speed Up of Test Generation Using High-Level Primitives (RPK, JAA, BDR, PN), pp. 594–599.
DACDAC-1990-SarmaDNH #industrial #synthesis
High-Level Synthesis: Technology Transfer to Industry (RCS, MDD, NCN, GH), pp. 549–554.
DACDAC-1990-SutanthavibulS #adaptation #layout
An Adaptive Timing-Driven Layout for High Speed VLSI (SS, ES), pp. 90–95.
DACDAC-1990-WhitcombN #data type #synthesis
Abstract Data Types and High-Level Synthesis (GSW, ARN), pp. 680–685.
LISPLFP-1990-Consel #analysis #functional #higher-order
Binding Time Analysis for High Order Untyped Functional Languages (CC), pp. 264–272.
ICGTGG-1990-EhrigHKP #graph grammar
From Graph Grammars to High Level Replacement Systems (HE, AH, HJK, FPP), pp. 269–291.
ICMLML-1990-Cohen90a #approximate #learning
Learning Approximate Control Rules of High Utility (WWC), pp. 268–276.
SEKESEKE-1990-JeffreyM #petri net #set
A High-Level Petri Net for a Subset of FGHC (JJ, TM), pp. 260–266.
PPoPPPPoPP-1990-HarveyKTMN #effectiveness #parallel
The Effectiveness of Task-Level Parallelism for High-Level Vision (WH, DK, MT, DM, AN), pp. 156–167.
PPoPPPPoPP-1990-Herlihy #concurrent #data type #implementation
A Methodology for Implementing Highly Concurrent Data Structures (MH), pp. 197–206.
CADECADE-1990-ButlerFJO #parallel #proving #theorem proving
A High-Performance Parallel Theorem Prover (RB, ITF, AJ, RAO), pp. 649–650.
CADECADE-1990-LuskM #automation #proving #theorem proving #tutorial
Tutorial on High-Performance Automated Theorem Proving (ELL, WM), p. 681.
CADECADE-1990-SchumannL #named #parallel #proving #theorem proving
PARTHEO: A High-Performance Parallel Theorem Prover (JS, RL), pp. 40–56.
CADECADE-1990-SchumannLK #implementation #parallel #performance #proving #theorem proving #tutorial
Tutorial on High-Performance Theorem Provers: Efficient Implementation and Parallelisation (JS, RL, FJK), p. 683.
DACDAC-1989-DragomireckyGJDSd #synthesis #user interface #visual notation
High-Level Graphical User Interface Management in the FACE Synthesis Environment (MD, EPG, JRJ, DAD, WDS, MAd), pp. 549–554.
DACDAC-1989-HoevenLDD #network #simulation
A New Model for the High Level Description and Simulation of VLSI Networks (AJvdH, AAdL, EFD, PD), pp. 738–741.
DACDAC-1989-PaulinK #algorithm #scheduling #synthesis
Scheduling and Binding Algorithms for High-Level Synthesis (PGP, JPK), pp. 1–6.
DACDAC-1989-WangM #functional #scheduling #simulation
Scheduling High-Level Blocks for Functional Simulation (ZW, PMM), pp. 87–90.
SIGMODSIGMOD-1989-CopelandK #comparison
A Comparison Of High-Availability Media Recovery Techniques (GPC, TWK), pp. 98–109.
PLDIPLDI-1989-KranzHM #lisp #named #parallel
Mul-T: A High-Performance Parallel Lisp (DAK, RHHJ, EM), pp. 81–90.
PLDIPLDI-1989-Venkatesh #evaluation #framework #program analysis #specification
A Framework for Construction and Evaluation of High-Level Specifications for Program Analysis Techniques (GAV), pp. 1–12.
STOCSTOC-1989-BerkmanBGSV #problem
Highly Parallelizable Problems (Extended Abstract) (OB, DB, ZG, BS, UV), pp. 309–319.
CHICHI-1989-SinghG #user interface
A high-level user interface management system (GS, MG), pp. 133–138.
CHICHI-1989-WiechaBBG #generative #interactive #user interface
Generating highly interactive user interfaces (CW, WEB, SJB, JDG), pp. 277–282.
SIGIRSIGIR-1989-WyleF #distributed
Retrieving Highly Dynamic, Widely Distributed Information (MFW, HPF), pp. 108–115.
ICSEICSE-1989-GalloST #approach #configuration management #named #object-oriented #scalability
ObNet: An Object-Oriented Approach for Supporting Large, Long-Lived, Highly Configurable Systems (TG, GS, FT), pp. 138–144.
ASPLOSASPLOS-1989-Gupta #fuzzy
The Fuzzy Barrier: A Mechanism for High Speed Synchronization of Processors (RG), pp. 54–63.
DACDAC-1988-BorrielloD #synthesis
High-Level Synthesis: Current Status and Future Directions (GB, ED), pp. 477–482.
DACDAC-1988-HeydemannPD #architecture #simulation
The Architecture of a Highly Integrated Simulation System (MH, AP, DD), pp. 617–621.
DACDAC-1988-McFarlandPC #synthesis #tutorial
Tutorial on High-Level Synthesis (MCM, ACP, RC), pp. 330–336.
DACDAC-1988-MicheliK #named #synthesis
HERCULES — a System for High-Level Synthesis (GDM, DCK), pp. 483–488.
DACDAC-1988-ShiraishiSKTS #generative #logic
A High Packing Density Module Generator for CMOS Logic Cells (YS, JS, MK, AT, TS), pp. 439–444.
DACDAC-1988-VisweswariahCC #development #verification
Model Development and Verification for High Level Analog Blocks (CV, RC, CFC), pp. 376–382.
SIGMODSIGMOD-1988-BorrP #integration #low level #performance #sql
High Performance SQL Through Low-Level System Integration (AJB, GRP), pp. 342–349.
SIGMODSIGMOD-1988-ReuterS #case study #database
High Contention in a Stock Trading Database: A Case Study (PP, AR, HS), pp. 260–268.
VLDBVLDB-1988-Lynch #database #estimation #optimisation #query #scalability
Selectivity Estimation and Query Optimization in Large Databases with Highly Skewed Distribution of Column Values (CAL), pp. 240–251.
PLDIPLDI-1988-Rose #design #type system
Refined Types: Highly Differentiated Type Systems and Their Use in the Design of Intermediate Langages (JRR), pp. 278–287.
ICSEICSE-1988-ChiuL #concurrent #database #distributed #specification
High-Level Specification of Concurrency Control in Distributed Database Systems (LC, MTL), pp. 309–319.
CCCCHSC-1988-Assmann #bibliography #compilation
A Short Review of High Speed Compilation (WA), pp. 1–10.
CCCCHSC-1988-Grosch #generative #performance
Generators for High-Speed Front-Ends (JG), pp. 81–92.
ICLPJICSCP-1988-KurosawaYAB88 #architecture #performance #prolog
Instruction Architecture for a High Performance Integrated Prolog Processor IPP (KiK, SY, SA, TB), pp. 1506–1530.
DACDAC-1987-CanrightH #logic
Reflections of High Speed Signals Analyzed as a Delay in Timing for Clocked Logic (REC, ARH), pp. 133–139.
DACDAC-1987-Elias #case study #compilation #generative #layout #re-engineering
A Case Study in Silicon Compilation Software Engineering, HVDEV High Voltage Device Layout Generator (NJE), pp. 82–88.
DACDAC-1987-SpiersE #performance
A High Performance Routing Engine (TDS, DAE), pp. 793–799.
SIGMODSIGMOD-1987-HermanGLW #architecture #database #throughput
The Datacycle Architecture for Very High Throughput Database Systems (GEH, GG, KCL, AW), pp. 97–103.
SIGMODSIGMOD-1987-LehmanC #algorithm #database
A Recovery Algorithm for A High-Performance Memory-Resident Database System (TJL, MJC), pp. 104–117.
SIGMODSIGMOD-1987-Mohan #architecture #transaction
Directions in System Architectures for High Transaction Rates (CM), p. 6.
PLDIPLDI-1987-Krall #implementation #interpreter #performance #prolog
Implementation of a high-speed Prolog interpreter (AK), pp. 125–131.
FPCAFPCA-1987-JonesCSH #architecture #graph #named #parallel #reduction
GRIP — A high-performance architecture for parallel graph reduction (SLPJ, CDC, JS, MH), pp. 98–112.
HCIHCI-CE-1987-PaulW #human-computer #interface #towards
Towards a Truly High-Level and Integrated Human-Computer Interface (DWP, HRW), pp. 53–60.
POPLPOPL-1987-LeeP #compilation #generative #semantics
A Realistic Compiler Generator Based on High-Level Semantics (PL, UFP), pp. 284–295.
ESECESEC-1987-CailletBR #ada #execution
High Level Interpretaton of Execution Traces of Ada Tasks (JFC, CB, BR), pp. 309–317.
DACDAC-1986-IvieL #named #simulation
STL — a high level language for simulation and test (JI, KWLL), pp. 517–523.
DACDAC-1986-KishidaSIIH #logic
A delay test system for high speed logic LSI’s (KK, FS, YI, SI, TH), pp. 786–790.
DACDAC-1986-OgawaISTKYC #algorithm #optimisation #performance
Efficient placement algorithms optimizing delay for high-speed ECL masterslice LSIs (YO, TI, YS, HT, TK, KY, KC), pp. 404–410.
DACDAC-1986-TadaH #performance #scalability
Router system for printed wiring boards of very high-speed, very large-scale computers (TT, AH), pp. 791–797.
VLDBVLDB-1986-DeWittGGHKM #data flow #database #named #performance
GAMMA — A High Performance Dataflow Database Machine (DJD, RHG, GG, MLH, KBK, MM), pp. 228–237.
VLDBVLDB-1986-Seki #database #distributed #network #performance
New Seat Reservation System for Japanese National Railways — Distributed Processing Network and High Efficiency Databases (ES), pp. 502–510.
POPLPOPL-1986-Coutant #alias #analysis
Retargetable High-Level Alias Analysis (DSC), pp. 110–118.
POPLPOPL-1986-CytronLZ
Code Motion of Control Structures in High-Level Languages (RC, AL, FKZ), pp. 70–85.
POPLPOPL-1986-HatcherC #bottom-up #code generation #pattern matching
High-Quality Code Generation Via Bottom-Up Tree Pattern Matching (PJH, TWC), pp. 119–130.
CADECADE-1986-Bayerl #parallel
Highly Parallel Inference Machine (MB), pp. 668–669.
CADECADE-1986-ButlerLMO #automation #proving #theorem proving
Paths to High-Performance Automated Theorem Proving (RB, ELL, WM, RAO), pp. 588–597.
ICLPICLP-1986-BowenBCT86 #compilation #design #implementation #incremental #performance #prolog
The Design and Implementation of a High-Speed Incremental Portable Prolog Compiler (KAB, KAB, IC, AKT), pp. 650–656.
SIGMODSIGMOD-1985-VossenB #aspect-oriented #database #relational #retrieval #user interface
A High-Level User Interface for Update and Retrieval in Relational Databases — Language Aspects (GV, VB), pp. 343–353.
ICALPICALP-1985-EngelfrietV #transducer
Characterization of High Level Tree Transducers (JE, HV), pp. 171–178.
FPCAFPCA-1985-Bellot85 #higher-order #programming
High Order Programming in Extended FP (PB), pp. 65–80.
SIGIRSIGIR-1985-ChouekaKP #performance
Efficient Variants of Huffman Codes in High Level Languages (YC, STK, YP), pp. 122–130.
POPLPOPL-1985-FraserH #low level
High-Level Language Facilities for Low-Level Services (CWF, DRH), pp. 217–224.
ICSEICSE-1985-BrunoM #agile #petri net #prototype #using
Rapid Prototyping of Control Systems Using High Level Petri Nets (GB, GM), pp. 230–237.
DACDAC-1984-DussaultLT #design #synthesis
A high level synthesis tool for MOS chip design (JPD, CCL, MMT), pp. 308–314.
DACDAC-1984-SabetySM #automation #generative #parallel
The semi-automatic generation of processing element control paths for highly parallel machines (TS, DES, BM), pp. 441–446.
DACDAC-1984-TienTCCE #array #automation #layout #named
GALA — an automatic layout system for high density CMOS gate arrays (BNT, BST, JC, KSKC, SCE), pp. 657–662.
PLDISCC-1984-Robbins #compilation #pascal #performance
Engineering a high-capacity Pascal compiler for high performance (DCR), pp. 300–309.
ICLPILPC-1984-Kacsuk84 #data flow #interpreter #parallel #prolog
A Highly Parallel Prolog Interpreter Based on the Generalized Data Flow Model (PK), pp. 195–205.
DACDAC-1983-KozawaTIHMOKYO #algorithm #automation
Automatic placement algorithms for high packing density V L S I (TK, HT, TI, MH, CM, YO, KK, NY, YO), pp. 175–181.
DACDAC-1983-TsukizoeSKF
MACH : a high-hitting pattern checker for VLSI mask data (AT, JS, TK, HF), pp. 726–731.
SIGMODSIGMOD-1983-Lomet #performance
A High Performance, Universal, Key Associative Access Method (DBL), pp. 120–133.
VLDBVLDB-1983-Subieta #database #network #relational
High-Level Navigational Facilities for Network and Relational Databases (KS), pp. 380–386.
DACDAC-1982-InoueAF #design #layout #precise
A layout system for high precision design of progressive die (KI, MA, TF), pp. 246–252.
PODSPODS-1982-FischerM
Sacrificing Serializability to Attain High Availability of Data (MJF, AM), pp. 70–75.
PODSPODS-1982-Reuter #concurrent
Concurrency on High-trafic Data Elements (AR0), pp. 83–92.
PLDISCC-1982-Turba #execution
A Facility for the Downward Execution of a High-Level Language (TNT), pp. 127–133.
DACDAC-1981-Hlynka #design
A simulator to replace wire rules for high speed computer design (AH), pp. 113–117.
DACDAC-1981-Krohn #simulation
Vector coding techniques for high speed digital simulation (HEK), pp. 525–529.
DACDAC-1981-TsuiS #multi
A high-density multilayer PCB router based on necessary and sufficient conditions for single row routing (RYT, RJSI), pp. 372–381.
ICSEICSE-1981-Hulten #data access
An Index Organization for Applications with Highly Skewed Access Patterns (CH), pp. 71–78.
SOSPSOSP-1981-PopekWCERT #distributed #named #network #reliability
LOCUS — A Network Transparent, High Reliability Distributed System (GJP, BJW, JMC, DAE, CSK, GR, GT), pp. 169–177.
DACDAC-1980-KimS #design #implementation
Issues in IC implementation of high level, abstract designs (JHK, DPS), pp. 85–91.
DACDAC-1980-NishiokaKNYCNFU #automation #multi
An automatic routing system for high density multilayer printed wiring boards (IN, TK, HN, SY, TC, TN, TF, MU), pp. 520–527.
DACDAC-1980-UlrichLPTKEB #concurrent #fault #performance #simulation
High-speed concurrent fault simulation with vectors and scalars (EU, DL, NP, JT, MK, TE, RB), pp. 374–380.
DACDAC-1980-WesteA #design #performance
An IC design station needs a high performance color graphic display (NW, BDA), pp. 285–291.
POPLPOPL-1980-Reid #approach #documentation #pretty-printing
A High-Level Approach to Computer Document Formatting (BKR), pp. 24–31.
DACDAC-1979-Pimont #algorithm
New algorithms for grid-less routing of high density printed circuit boards (SP), p. 485.
POPLPOPL-1979-Fong #automation #source code
Automatic Improvement of Programs in Very High Level Languages (ACF), pp. 21–28.
DACDAC-1978-KoppelSP #logic #performance
A high performance delay calculation software system for MOSFET digital logic chips (AK, SS, PP), pp. 405–417.
DACDAC-1978-Marlett #generative #named #testing
EBT: A comprehensive test generation technique for highly sequential circuits (RM), pp. 335–339.
ICSEICSE-1978-Fisher #design #higher-order #interactive #requirements
The Interaction Between the Preliminary Designs and the Technical Requirements for the DoD Common High Order Language (DAF), pp. 82–83.
DACDAC-1977-ChoKS #approach #automation #design #layout #named
Floss: An approach to automated layout for high-volume designs (YEC, AJK, DES), pp. 138–141.
SIGMODSIGMOD-1977-Schmidt
Some High-level Language Constructs for Data of Type Relation (Abstract) (JWS), p. 9.
POPLPOPL-1977-Fong
Generalized Common Subexpressions in Very High Level Languages (ACF), pp. 48–57.
POPLPOPL-1977-PaigeS #reduction
Reduction in Strength of High Level Operations (RP, JTS), pp. 58–71.
POPLPOPL-1977-Rosen #control flow
Applications of High-Level Control Flow (BKR), pp. 38–47.
SIGMODSIGMOD-1976-Date #architecture #database
An Architecture for High-Level Language Database Extensions (CJD), pp. 101–122.
VLDBVLDB-1976-McGregorTD #database #hardware #performance
High Performance Hardware for Database Systems (DRM, RGT, WND), pp. 103–116.
POPLPOPL-1976-FongU #induction
Induction Variables in Very High Level Languages (ACF, JDU), pp. 104–112.
ICSEICSE-1976-AndersonK #reliability
Recovery Blocks in Action: A System Supporting High Reliability (TA, RK), pp. 447–457.
ICSEICSE-1976-Mashey #programming language #using
Using a Command Language as a High-Level Programming Language (JRM), pp. 169–176.
SIGMODSIGMOD-1975-ShuHL #named
CONVERT: A High Level Translation Definition Language for Data Conversion (Abstract) (NCS, BCH, VYL), p. 111.
VLDBVLDB-1975-DatheDH #database #design
Design Principles of a Data Base System for Unlimited Quantities of Data with Highly Complex Structures (GD, KHD, GH), pp. 546–548.
POPLPOPL-1975-Schwartz #automation #data type
Automatic Data Structure Choice in a Language of Very High Level (JTS), pp. 36–40.
SIGMODSIGFIDET-1974-CopelandS #memory management
A High Level Data Sublanguage for a Context-Addressed Sequential Memory (GPC, SYWS), pp. 265–276.
DACDAC-1972-Mattison #low cost #quality
A high quality, low cost router for MOS/LSI (RLM), pp. 94–103.
DACDAC-1970-CampagnaF #design
Computer aided design of high density printed circuit boards (RC, PRF), p. 335.
DACDAC-1970-Lord #realtime
Real-time simulated earthquake motion of high rise structures (JL), pp. 35–46.
DACDAC-1969-Bening #logic #simulation
Accurate simulation of high speed computer logic (LCBJ), pp. 103–112.
DACDAC-1969-ChungEH #automation #design #evolution
Evolution of the design of a high volume automatic car merge (KC, JEE, DRH), pp. 333–349.
DACDAC-1968-CorreiaD #documentation #logic
The use of engineering documentation in support of a high density logic test system (MC, RLD).

Bibliography of Software Language Engineering in Generated Hypertext (BibSLEIGH) is created and maintained by Dr. Vadim Zaytsev.
Hosted as a part of SLEBOK on GitHub.