BibSLEIGH
BibSLEIGH corpus
BibSLEIGH tags
BibSLEIGH bundles
BibSLEIGH people
EDIT!
CC-BY
Open Knowledge
XHTML 1.0 W3C Rec
CSS 2.1 W3C CanRec
email twitter
Travelled to:
2 × France
23 × USA
3 × Germany
Collaborated with:
F.Koushanfar D.Kirovski J.L.Wong S.Wei M.B.Srivastava G.Qu S.Meguerdichian W.H.Mangione-Smith I.Hong F.Dabiri S.Megerian M.Drinic S.Dey J.B.Wendt J.M.Rabaey J.Lach A.Nahapetian S.Meguerdichian K.Kim R.Karri G.Wolfe A.C.Parker T.Massey J.Kin C.Lee A.B.Kahng S.Mantik T.Xu D.Li A.Mirhoseini M.D.Ercegovac L.M.Guerra K.N.Lalgudi M.C.Papaefthymiou Y.G.DeCastelo-Vide-e-Souza A.Chandrakasan I.L.Markov P.Tucker H.Wang N.A.Conos M.Rostami K.Li M.Rofouei M.A.Ghodrat A.Martinez-Nova M.Nelson A.Vahdatpour M.Sarrafzadeh Y.Alkabani J.Feng D.T.Liu N.Kawabe K.Usami S.T.Chakradhar S.G.Rothweiler Z.Iqbal W.Liao F.Li L.He A.Mogre D.Petranovic S.Fazzari C.McCants W.Bryson M.Sale P.Song Y.Chen J.Cong H.Huang B.Liu C.Liu G.Reinman A.E.Caldwell H.Choi
Talks about:
optim (14) use (13) techniqu (12) base (12) system (9) synthesi (8) design (8) level (8) watermark (7) applic (7)

Person: Miodrag Potkonjak

DBLP DBLP: Potkonjak:Miodrag

Contributed to:

DAC 20152015
DAC 20142014
DATE 20142014
DAC 20132013
DAC 20122012
DATE 20122012
DAC 20112011
DAC 20102010
DAC 20092009
DATE 20092009
DAC 20082008
DAC 20072007
DAC 20052005
DATE 20052005
DAC 20032003
ASPLOS 20022002
DAC 20022002
DAC 20012001
DAC 20002000
DAC 19991999
DAC 19981998
DAC 19971997
DAC 19961996
DAC 19951995
DAC 19941994
EDAC-ETC-EUROASIC 19941994
DAC 19931993
DAC 19891989

Wrote 64 papers:

DAC-2015-XuLP #adaptation #modelling #physics #statistics #using
Adaptive characterization and emulation of delay-based physical unclonable functions using statistical models (TX, DL, MP), p. 6.
DAC-2014-WeiWNP #physics #reverse engineering #using
Reverse Engineering and Prevention Techniques for Physical Unclonable Functions Using Side Channels (SW, JBW, AN, MP), p. 6.
DAC-2014-WendtKP #identification
Techniques for Foundry Identification (JBW, FK, MP), p. 6.
DATE-2014-ConosMDP #coordination #energy #power management #using
Provably minimal energy using coordinated DVS and power gating (NAC, SM, FD, MP), pp. 1–6.
DATE-2014-RostamiWPK #challenge #roadmap #security
Quo vadis, PUF?: Trends and challenges of emerging physical-disorder based security (MR, JBW, MP, FK), pp. 1–6.
DAC-2013-WeiP #detection #hardware
The undetectable and unprovable hardware trojan horse (SW, MP), p. 2.
DAC-2012-KoushanfarFMBSSP #question
Can EDA combat the rise of electronic counterfeiting? (FK, SF, CM, WB, MS, PS, MP), pp. 133–138.
DAC-2012-MirhoseiniPK #energy #memory management
Coding-based energy minimization for phase change memory (AM, MP, FK), pp. 68–76.
DAC-2012-WeiLKP #benchmark #hardware #metric
Hardware Trojan horse benchmark via optimal creation and placement of malicious circuitry (SW, KL, FK, MP), pp. 90–95.
DATE-2012-ChenCHLLPR #configuration management #design #energy #hybrid
Dynamically reconfigurable hybrid cache: An energy-efficient last-level cache design (YTC, JC, HH, BL, CL, MP, GR), pp. 45–50.
DATE-2012-RofoueiGPM #energy #optimisation
Optimization intensive energy harvesting (MR, MAG, MP, AMN), pp. 272–275.
DAC-2011-MeguerdichianP
Device aging-based physically unclonable functions (SM, MP), pp. 288–289.
DAC-2011-PotkonjakMNW #architecture #difference
Differential public physically unclonable functions: architecture and applications (MP, SM, AN, SW), pp. 242–247.
DAC-2011-WeiP #security #using
Integrated circuit security techniques using variable supply voltage (SW, MP), pp. 248–253.
DAC-2010-Potkonjak #synthesis #tool support #using
Synthesis of trustable ICs using untrusted CAD tools (MP), pp. 633–634.
DAC-2010-WeiMP #hardware #security
Gate-level characterization: foundations and hardware security applications (SW, SM, MP), pp. 222–227.
DAC-2009-PotkonjakNNM #detection #hardware #using
Hardware Trojan horse detection using gate-level characterization (MP, AN, MN, TM), pp. 688–693.
DATE-2009-DabiriP #hardware
Hardware aging-based software metering (FD, MP), pp. 460–465.
DATE-2009-DabiriVPS #energy #realtime
Energy minimization for real-time systems with non-convex and discrete operation modes (FD, AV, MP, MS), pp. 1416–1421.
DAC-2008-AlkabaniMKP #variability
Input vector control for post-silicon leakage current minimization in the presence of manufacturing variability (YA, TM, FK, MP), pp. 606–609.
DAC-2008-PotkonjakK #behaviour
(Bio)-behavioral CAD (MP, FK), pp. 351–352.
DAC-2007-KoushanfarP #encryption #security
CAD-based Security, Cryptography, and Digital Rights Management (FK, MP), pp. 268–269.
DAC-2005-WongKP #flexibility #multi
Flexible ASIC: shared masking for multiple media processors (JLW, FK, MP), pp. 909–914.
DATE-2005-WongLLHP #realtime #scheduling
Scheduling of Soft Real-Time Systems for Context-Aware Applications (JLW, WL, FL, LH, MP), pp. 318–323.
DAC-2003-WongMP #case study #design
Design techniques for sensor appliances: foundations and light compass case study (JLW, SM, MP), pp. 66–71.
ASPLOS-2002-KirovskiDP
Enabling trusted software integrity (DK, MD, MP), pp. 108–120.
DAC-2002-KoushanfarWFP
ILP-based engineering change (FK, JLW, JF, MP), pp. 910–915.
DAC-2002-MegerianDP #integer #linear #programming
Watermarking integer linear programming solutions (SM, MD, MP), pp. 8–13.
DAC-2002-WongMP #concept #synthesis
Forward-looking objective functions: concept & applications in high level synthesis (JLW, SM, MP), pp. 904–909.
DAC-2001-KirovskiDP #design
Hypermedia-Aided Design (DK, MD, MP), pp. 407–412.
DAC-2001-MeguerdichianKMPP #design #named #optimisation
MetaCores: Design and Optimization Techniques (SM, FK, AM, DP, MP), pp. 585–590.
DAC-2001-WolfeWP #clustering #graph
Watermarking Graph Partitioning Solutions (GW, JLW, MP), pp. 486–489.
DAC-2000-KirovskiLWP #forensics #tool support
Forensic engineering techniques for VLSI CAD tools (DK, DTL, JLW, MP), pp. 581–586.
DAC-2000-LachMP #debugging #detection #fault #locality #performance
Efficient error detection, localization, and correction for FPGA-based debugging (JL, WHMS, MP), pp. 207–212.
DAC-2000-MeguerdichianP
Watermarking while preserving the critical path (SM, MP), pp. 108–111.
DAC-2000-QuKUP #estimation
Function-level power estimation methodology for microprocessors (GQ, NK, KU, MP), pp. 810–813.
DAC-2000-QuP #constraints #using
Fingerprinting intellectual property using constraint-addition (GQ, MP), pp. 587–592.
DAC-1999-CaldwellCKMPQW #design #effectiveness
Effective Iterative Techniques for Fingerprinting Design IP (AEC, HJC, ABK, SM, MP, GQ, JLW), pp. 843–848.
DAC-1999-ErcegovacKP #behaviour #multi #optimisation #power management #precise #synthesis #using
Low-Power Behavioral Synthesis Optimization Using Multiple Precision Arithmetic (MDE, DK, MP), pp. 568–573.
DAC-1999-HongP #behaviour #synthesis
Behavioral Synthesis Techniques for Intellectual Property Protection (IH, MP), pp. 849–854.
DAC-1999-KinLMP #design #performance
Power Efficient Mediaprocessors: Design Space Exploration (JK, CL, WHMS, MP), pp. 321–326.
DAC-1999-KirovskiP #behaviour #synthesis
Engineering Change: Methodology and Applications to Behavioral and System Synthesis (DK, MP), pp. 604–609.
DAC-1999-LachMP #multi #robust
Robust FPGA Intellectual Property Protection Through Multiple Small Watermarks (JL, WHMS, MP), pp. 831–836.
DAC-1999-QuWP #problem
Optimization-Intensive Watermarking Techniques for Decision Problems (GQ, JLW, MP), pp. 33–36.
DAC-1998-GuerraPR #behaviour #optimisation
A Methodology for Guided Behavioral-Level Optimization (LMG, MP, JMR), pp. 309–314.
DAC-1998-HongKQPS #optimisation
Power Optimization of Variable Voltage Core-Based Systems (IH, DK, GQ, MP, MBS), pp. 176–181.
DAC-1998-KahngLMMMPTWW
Watermarking Techniques for Intellectual Property Protection (ABK, JL, WHMS, SM, ILM, MP, PT, HW, GW), pp. 776–781.
DAC-1998-KahngMMPTWW #design #physics #robust
Robust IP Watermarking Methodologies for Physical Design (ABK, SM, ILM, MP, PT, HW, GW), pp. 782–787.
DAC-1998-KirovskiP #graph #performance #scalability
Efficient Coloring of a Large Spectrum of Graphs (DK, MP), pp. 427–432.
DAC-1998-LeeKPM #architecture #multi #programmable
Media Architecture: General Purpose vs. Multiple Application-Specific Programmable Processor (CL, JK, MP, WHMS), pp. 321–326.
DAC-1997-HongKP #statistics
Potential-Driven Statistical Ordering of Transformations (IH, DK, MP), pp. 347–352.
DAC-1997-KimKP #programmable #synthesis
Synthesis of Application Specific Programmable Processors (KK, RK, MP), pp. 353–358.
DAC-1997-KirovskiP #power management #realtime #synthesis
System-Level Synthesis of Low-Power Hard Real-Time Systems (DK, MP), pp. 697–702.
DAC-1997-PotkonjakKK #behaviour #case study #design
Methodology for Behavioral Synthesis-Based Algorithm-Level Design Space Exploration: DCT Case Study (MP, KK, RK), pp. 252–257.
DAC-1996-LalgudiPP #effectiveness #optimisation #problem
Optimizing Systems for Effective Block-Processing: The k-Delay Problem (KNL, MCP, MP), pp. 714–719.
DAC-1996-SrivastavaP #approach #implementation #linear #optimisation #programmable
Power Optimization in Programmable Processors and ASIC Implementations of Linear Systems: Transformation-based Approach (MBS, MP), pp. 343–348.
DAC-1995-DeCastelo-Vide-e-SouzaPP #algorithm #approach #architecture #optimisation #throughput #using
Optimal ILP-Based Approach for Throughput Optimization Using Simultaneous Algorithm/Architecture Matching and Retiming (YGDVeS, MP, ACP), pp. 113–118.
DAC-1995-PotkonjakS #constraints #named
Rephasing: A Transformation Technique for the Manipulation of Timing Constraints (MP, MBS), pp. 107–112.
DAC-1994-PotkonjakD #optimisation #resource management #testing #using
Optimizing Resource Utilization and Testability Using Hot Potato Techniques (MP, SD), pp. 201–205.
DAC-1994-PotkonjakSC #constant #multi #performance #using
Efficient Substitution of Multiple Constant Multiplications by Shifts and Additions Using Iterative Pairwise Matching (MP, MBS, AC), pp. 189–194.
EDAC-1994-SrivastavaP #latency #linear #optimisation
Transforming Linear Systems for Joint Latency and Throughout Optimization (MBS, MP), pp. 267–271.
DAC-1993-ChakradharDPR #optimisation #using
Sequential Circuit Delay optimization Using Global Path Delays (STC, SD, MP, SGR), pp. 483–489.
DAC-1993-IqbalPDP #algebra #using
Critical Path Minimization Using Retiming and Algebraic Speed-Up (ZI, MP, SD, ACP), pp. 573–577.
DAC-1989-PotkonjackR #algorithm #graph #resource management #scheduling
A Scheduling and Resource Allocation Algorithm for Hierarchical Signal Flow Graphs (MP, JMR), pp. 7–12.

Bibliography of Software Language Engineering in Generated Hypertext (BibSLEIGH) is created and maintained by Dr. Vadim Zaytsev.
Hosted as a part of SLEBOK on GitHub.