Proceedings of the 51st Annual Design Automation Conference
BibSLEIGH corpus
BibSLEIGH tags
BibSLEIGH bundles
BibSLEIGH people
EDIT!
CC-BY
Open Knowledge
XHTML 1.0 W3C Rec
CSS 2.1 W3C CanRec
email twitter


Proceedings of the 51st Annual Design Automation Conference
DAC, 2014.

SYS
DBLP
Scholar
Full names Links ISxN
@proceedings{DAC-2014,
	acmid         = "2593069",
	address       = "San Francisco, California, USA",
	isbn          = "978-1-4503-2730-5",
	publisher     = "{ACM}",
	title         = "{Proceedings of the 51st Annual Design Automation Conference}",
	year          = 2014,
}

Contents (213 items)

DAC-2014-StoermerT #functional #interface #mockup #standard #using
Powertrain Co-Simulation using AUTOSAR and the Functional Mockup Interface standard (CS, GT), p. 1.
DAC-2014-AndraudSS
One-Shot Calibration of RF Circuits Based on Non-Intrusive Sensors (MA, HGDS, ES), p. 2.
DAC-2014-MotamanG #array #robust #self #testing
Simultaneous Sizing, Reference Voltage and Clamp Voltage Biasing for Robustness, Self-Calibration and Testability of STTRAM Arrays (SM, SG), p. 2.
DAC-2014-KoestersG #verification
Verification of Non-Mainline Functions in Todays Processor Chips (JK, AG), p. 3.
DAC-2014-SorinMZ #architecture #power management
Architecting Dynamic Power Management to be Formally Verifiable (DJS, OM, MZ), p. 3.
DAC-2014-AbarbanelSV #challenge #validation
Validation of SoC Firmware-Hardware Flows: Challenges and Solution Directions (YA, ES, MYV), p. 4.
DAC-2014-Rodriguez-NavasSHNLL #approach #automation #functional #safety #specification #verification
Automated Specification and Verification of Functional Safety in Heavy-Vehicles: the VeriSpec Approach (GRN, CCS, HH, MN, OL, HL), p. 4.
DAC-2014-RudraDNH #case study #design #logic
Designing Stealthy Trojans with Sequential Logic: A Stream Cipher Case Study (MRR, NAD, VN, DHKH), p. 4.
DAC-2014-SullivanBZZJ #functional #hardware #identification #named
FIGHT-Metric: Functional Identification of Gate-Level Hardware Trustworthiness (DS, JB, GZ, SZ, YJ), p. 4.
DAC-2014-TrimbergerM #security
FPGA Security: From Features to Capabilities to Trusted Systems (ST, JM), p. 4.
DAC-2014-TsoutsosKM #design #hardware
Advanced Techniques for Designing Stealthy Hardware Trojans (NGT, CK, MM), p. 4.
DAC-2014-WaksmanRSS #analysis #assessment #functional #identification
A Red Team/Blue Team Assessment of Functional Analysis Methods for Malicious Circuit Identification (AW, JR, MS, SS), p. 4.
DAC-2014-ChenCDZ #energy #smarttech
Demystifying Energy Usage in Smartphones (XC, YC, MD, J(Z), p. 5.
DAC-2014-CocchiBCW #hardware #integration
Circuit Camouflage Integration for Hardware IP Protection (RPC, JPB, LWC, BJW), p. 5.
DAC-2014-ZalmanM #communication #low cost
A Secure but still Safe and Low Cost Automotive Communication Technique (RZ, AM), p. 5.
DAC-2014-0001SMAKV #manycore #optimisation
Reinforcement Learning-Based Inter- and Intra-Application Thermal Optimization for Lifetime Improvement of Multicore Systems (AD, RAS, GVM, BMAH, AK, BV), p. 6.
DAC-2014-AbeyratneJKBDDM
Quality-of-Service for a High-Radix Switch (NA, SJ, YK, DB, RGD, RD, TNM), p. 6.
DAC-2014-AdirGGS #generative #network #testing #using
Using a High-Level Test Generation Expert System for Testing In-Car Networks (AA, AG, LG, TS), p. 6.
DAC-2014-AdirGHHHHKKLMNPSOTTZ #memory management #transaction #verification
Verification of Transactional Memory in POWER8 (AA, DG, DH, OH, BGH, KH, WK, AK, JML, CM, AN, RRP, MS, BSO, BWT, ET, AZ), p. 6.
DAC-2014-AgostaBPS #approach #embedded #encryption #execution #multi
A Multiple Equivalent Execution Trace Approach to Secure Cryptographic Embedded Software (GA, AB, GP, MS), p. 6.
DAC-2014-AhnYC #hybrid #memory management #power management
Dynamic Power Management of Off-Chip Links for Hybrid Memory Cubes (JA, SY, KC), p. 6.
DAC-2014-AkgulPLBPBT #power management
Power management through DVFS and dynamic body biasing in FD-SOI circuits (YA, DP, SL, EB, IMP, PB, LT), p. 6.
DAC-2014-AlbalawiLL #algorithm #classification #design #fixpoint #implementation #machine learning #power management
Computer-Aided Design of Machine Learning Algorithm: Training Fixed-Point Classifier for On-Chip Low-Power Implementation (HA, YL, XL), p. 6.
DAC-2014-AmaruGM #algorithm #graph #logic #novel #optimisation #performance
Majority-Inverter Graph: A Novel Data-Structure and Algorithms for Efficient Logic Optimization (LGA, PEG, GDM), p. 6.
DAC-2014-AncajasCR #named
Fort-NoCs: Mitigating the Threat of a Compromised NoC (DMA, KC, SR), p. 6.
DAC-2014-ApostolopoulouDES #matrix #scalability #simulation
Selective Inversion of Inductance Matrix for Large-Scale Sparse RLC Simulation (IA, KD, NEE, GIS), p. 6.
DAC-2014-AsadiniaAS #named #on-demand
OD3P: On-Demand Page Paired PCM (MA, MA, HSA), p. 6.
DAC-2014-AtacCLWSZWH #design #multi #standard
An HDL-Based System Design Methodology for Multistandard RF SoC’s (AA, ZC, LL, YW, MS, YZ, RW, SH), p. 6.
DAC-2014-AthavaleMHV #analysis #source code #test coverage #using
Code Coverage of Assertions Using RTL Source Code Analysis (VA, SM, SH, SV), p. 6.
DAC-2014-AxerTED #bound #network #performance
Exploiting Shaper Context to Improve Performance Bounds of Ethernet AVB Networks (PA, DT, RE, JD), p. 6.
DAC-2014-BeckertNEP #independence #realtime
Sufficient Temporal Independence and Improved Interrupt Latencies in a Real-Time Hypervisor (MB, MN, RE, SMP), p. 6.
DAC-2014-BokhariJSHP #design #energy #multi #named
darkNoC: Designing Energy-Efficient Network-on-Chip with Multi-Vt Cells for Dark Silicon (HB, HJ, MS, JH, SP), p. 6.
DAC-2014-BraojosMJAARM #design #monitoring #power management #smarttech
Ultra-Low Power Design of Wearable Cardiac Monitoring Systems (RB, HM, ADJ, GA, DA, FJR, SM), p. 6.
DAC-2014-ChakrabortyMV #generative #satisfiability #scalability
Balancing Scalability and Uniformity in SAT Witness Generator (SC, KSM, MYV), p. 6.
DAC-2014-Chandra #embedded #monitoring #multi #perspective #reliability
Monitoring Reliability in Embedded Processors — A Multi-layer View (VC), p. 6.
DAC-2014-ChangCCKLL #on the
On Trading Wear-leveling with Heal-leveling (YMC, YHC, JJC, TWK, HPL, HTL), p. 6.
DAC-2014-ChangJC #configuration management #functional #using
Functional ECO Using Metal-Configurable Gate-Array Spare Cells (HYC, IHRJ, YWC), p. 6.
DAC-2014-ChenHCCW #metaprogramming
Routability-Driven Blockage-Aware Macro Placement (YFC, CCH, CHC, YWC, CJW), p. 6.
DAC-2014-ChenPCWMYZC #manycore #named #parallel #simulation #thread
DAPs: Dynamic Adjustment and Partial Sampling for Multithreaded/Multicore Simulation (CCC, YCP, CFC, WSW, QM, PCY, WZ, TFC), p. 6.
DAC-2014-ChenT #control flow #design
Reliability-aware Register Binding for Control-Flow Intensive Designs (LC, MBT), p. 6.
DAC-2014-ChenWLWSC #design #monitoring #scalability
Critical Path Monitor Enabled Dynamic Voltage Scaling for Graceful Degradation in Sub-Threshold Designs (YGC, TW, KYL, WYW, YS, SCC), p. 6.
DAC-2014-ChenWLXY #optimisation #runtime
Run-Time Technique for Simultaneous Aging and Power Optimization in GPGPUs (XC, YW, YL, YX, HY), p. 6.
DAC-2014-ChenWLZAMWH #functional #multi #prototype #standard #verification
A SystemC Virtual Prototyping based Methodology for Multi-Standard SoC Functional Verification (ZC, YW, LL, YZ, AA, JHM, RW, SH), p. 6.
DAC-2014-ChienHLYC #testing
Contactless Stacked-die Testing for Pre-bond Interposers (JHC, RSH, HJL, KYY, SCC), p. 6.
DAC-2014-ChuangLJ #hybrid #synthesis
Synthesis of PCHB-WCHB Hybrid Quasi-Delay Insensitive Circuits (CCC, YHL, JHRJ), p. 6.
DAC-2014-ClercqUHV #implementation #power management
Ultra Low-Power implementation of ECC on the ARM Cortex-M0+ (RdC, LU, AVH, IV), p. 6.
DAC-2014-CongGGGGR #architecture
Accelerator-Rich Architectures: Opportunities and Progresses (JC, MAG, MG, BG, KG, GR), p. 6.
DAC-2014-CongLXZ #architecture #clustering #reuse
An Optimal Microarchitecture for Stencil Computation Acceleration Based on Non-Uniform Partitioning of Data Reuse Buffers (JC, PL, BX, PZ), p. 6.
DAC-2014-CuiCBC #optimisation #set
A Swap-based Cache Set Index Scheme to Leverage both Superpage and Page Coloring Optimizations (ZC, LC, YB, MC), p. 6.
DAC-2014-CuiMSW #detection #hardware #runtime #synthesis
High-Level Synthesis for Run-Time Hardware Trojan Detection and Recovery (XC, KM, LS, KW), p. 6.
DAC-2014-DaiTHZ #pipes and filters #synthesis
Flushing-Enabled Loop Pipelining for High-Level Synthesis (SD, MT, KH, ZZ), p. 6.
DAC-2014-DattaSCPR
Neuro Inspired Computing with Coupled Relaxation Oscillators (SD, NS, MC, AP, AR), p. 6.
DAC-2014-DaviKS #control flow #embedded #fine-grained #performance #towards
Hardware-Assisted Fine-Grained Control-Flow Integrity: Towards Efficient Protection of Embedded Systems Against Software Exploitation (LD, PK, ARS), p. 6.
DAC-2014-DengBZW #performance
An Efficient Two-level DC Operating Points Finder for Transistor Circuits (JD, KB, YZ, NW), p. 6.
DAC-2014-DingCM #layout #optimisation #throughput
Throughput Optimization for SADP and E-beam based Manufacturing of 1D Layout (YD, CC, WKM), p. 6.
DAC-2014-DongZC #algorithm #online #performance #reduction
A Cost Efficient Online Algorithm for Automotive Idling Reduction (CD, HZ, MC), p. 6.
DAC-2014-DoustiP #deployment #power management
Power-Aware Deployment and Control of Forced-Convection and Thermoelectric Coolers (MJD, MP), p. 6.
DAC-2014-DuttGNBGS #memory management #multi
Multi-Layer Memory Resiliency (ND, PG, AN, AB, MG, MS), p. 6.
DAC-2014-EckerVZG #design
Metasynthesis for Designing Automotive SoCs (WE, MV, LZ, AG), p. 6.
DAC-2014-EkenZWJLC #self
A New Field-assisted Access Scheme of STT-RAM with Self-reference Capability (EE, YZ, WW, RVJ, HL, YC), p. 6.
DAC-2014-ElbayoumiCKSHE #algorithm #named #parallel #synthesis
TACUE: A Timing-Aware Cuts Enumeration Algorithm for Parallel Synthesis (ME, MC, VNK, AS, MSH, MYE), p. 6.
DAC-2014-EldibWTS #named #source code
QMS: Evaluating the Side-Channel Resistance of Masked Software from Source Code (HE, CW, MMIT, PS), p. 6.
DAC-2014-FangYZL #estimation #named #performance
BMF-BD: Bayesian Model Fusion on Bernoulli Distribution for Efficient Yield Estimation of Integrated Circuits (CF, FY, XZ, XL), p. 6.
DAC-2014-FarkashHB #incremental #validation
Coverage Learned Targeted Validation for Incremental HW Changes (MF, BGH, MB), p. 6.
DAC-2014-FattahPLPT #manycore #named #runtime
SHiFA: System-Level Hierarchy in Run-Time Fault-Aware Management of Many-Core Systems (MF, MP, PL, JP, HT), p. 6.
DAC-2014-GaoLQ #flexibility
A Highly Flexible Ring Oscillator PUF (MG, KL, GQ), p. 6.
DAC-2014-GaoXYP #named #optimisation #process
MOSAIC: Mask Optimizing Solution With Process Window Aware Inverse Correction (JRG, XX, BY, DZP), p. 6.
DAC-2014-GebalaMMRT #on the #using
On Using Implied Values in EDT-based Test Compression (MG, GM, NM, JR, JT), p. 6.
DAC-2014-GottschoBDNG #capacity #energy #fault tolerance #scalability
Power / Capacity Scaling: Energy Savings With Simple Fault-Tolerant Caches (MG, AB, ND, AN, PG), p. 6.
DAC-2014-GuglielmoPC #composition #design #synthesis
A Design Methodology for Compositional High-Level Synthesis of Communication-Centric SoCs (GDG, CP, LPC), p. 6.
DAC-2014-GuinZFT #low cost
Low-cost On-Chip Structures for Combating Die and IC Recycling (UG, XZ, DF, MT), p. 6.
DAC-2014-GuoBS #modelling #self
Modeling and Experimental Demonstration of Accelerated Self-Healing Techniques (XG, WB, MRS), p. 6.
DAC-2014-HameedBH #architecture #latency #novel
Reducing Latency in an SRAM/DRAM Cache Hierarchy via a Novel Tag-Cache Architecture (FH, LB, JH), p. 6.
DAC-2014-HamzehSV
Branch-Aware Loop Mapping on CGRAs (MH, AS, SBKV), p. 6.
DAC-2014-HeisswolfZZKWTHSHB #communication #named #programming
CAP: Communication Aware Programming (JH, AZ, AZ, SK, AW, JT, JH, GS, AH, JB), p. 6.
DAC-2014-HeldS #optimisation
Post-Routing Latch Optimization for Timing Closure (SH, US), p. 6.
DAC-2014-HenkelBZRS #architecture #dependence #multi
Multi-Layer Dependability: From Microarchitecture to Application Level (JH, LB, HZ, SR, MS), p. 6.
DAC-2014-HernandezA #detection #fault #named #safety
LiVe: Timely Error Detection in Light-Lockstep Safety Critical Systems (CH, JA), p. 6.
DAC-2014-HerrewegeV
Software Only, Extremely Compact, Keccak-based Secure PRNG on ARM Cortex-M (AVH, IV), p. 6.
DAC-2014-HoC #optimisation #performance #pipes and filters
A New Asynchronous Pipeline Template for Power and Performance Optimization (KHH, YWC), p. 6.
DAC-2014-HollerDKSF #co-evolution #design #encryption #hardware
Hardware/Software Co-Design of Elliptic-Curve Cryptography for Resource-Constrained Applications (AH, ND, CK, CS, TF), p. 6.
DAC-2014-HuangW #estimation #multi
Advanced Soft-Error-Rate (SER) Estimation with Striking-Time and Multi-Cycle Effects (RHMH, CHPW), p. 6.
DAC-2014-HuangYST #assessment #grid #network #power management
Physics-based Electromigration Assessment for Power Grid Networks (XH, TY, VS, SXDT), p. 6.
DAC-2014-HuangYT #fault tolerance #on the #scheduling
On the Scheduling of Fault-Tolerant Mixed-Criticality Systems (PH, HY, LT), p. 6.
DAC-2014-HuWTT #hardware #monitoring #network #security
System-Level Security for Network Processors with Hardware Monitors (KH, TW, TT, RT), p. 6.
DAC-2014-HuXMCHX #thread
Thermal-Sustainable Power Budgeting for Dynamic Threading (XH, YX, JM, GC, YH, YX), p. 6.
DAC-2014-IyengarG #analysis #embedded #memory management #modelling #power management #robust
Modeling and Analysis of Domain Wall Dynamics for Robust and Low-Power Embedded Memory (AI, SG), p. 6.
DAC-2014-JavaidYSBP #component #framework #metric #named
FALCON: A Framework for HierarchicAL Computation of Metrics for CompONent-Based Parameterized SoCs (HJ, YY, SMMS, HB, SP), p. 6.
DAC-2014-JungSWPL #3d #on the #perspective
On Enhancing Power Benefits in 3D ICs: Block Folding and Bonding Styles Perspective (MJ, TS, YW, YP, SKL), p. 6.
DAC-2014-KabirS #hybrid
Computing with Hybrid CMOS/STO Circuits (MK, MRS), p. 6.
DAC-2014-KangYKBHT #fault tolerance
Static Mapping of Mixed-Critical Applications for Fault-Tolerant MPSoCs (SHK, HY, SK, IB, SH, LT), p. 6.
DAC-2014-KannanKS #in memory #memory management
Secure Memristor-based Main Memory (SK, NK, OS), p. 6.
DAC-2014-KeszoczeWHD #synthesis
Exact One-pass Synthesis of Digital Microfluidic Biochips (OK, RW, TYH, RD), p. 6.
DAC-2014-KhosraviRGT #multi #optimisation #reliability #using
Multi-Objective Local-Search Optimization using Reliability Importance Measuring (FK, FR, MG, JT), p. 6.
DAC-2014-KiamehrOTN #analysis #approach #fault
Radiation-Induced Soft Error Analysis of SRAMs in SOI FinFET Technology: A Device to Circuit Approach (SK, THO, MBT, SRN), p. 6.
DAC-2014-KimJC #energy #mobile
Content-centric Display Energy Management for Mobile Devices (DK, NJ, HC), p. 6.
DAC-2014-KimM #3d #design #on the #reliability
On the Design of Reliable 3D-ICs Considering Charged Device Model ESD Events During Die Stacking (DK, SM), p. 6.
DAC-2014-KleebergerMS #analysis
Workload- and Instruction-Aware Timing Analysis: The missing Link between Technology and System-level Resilience (VK, PRM, US), p. 6.
DAC-2014-KoKYKH #cpu #gpu #platform #simulation
Hardware-in-the-loop Simulation for CPU/GPU Heterogeneous Platforms (YK, TK, YY, MK, SH), p. 6.
DAC-2014-KongKPSW #embedded #framework #named #novel #platform
PUFatt: Embedded Platform Attestation Based on Novel Processor-Based PUFs (JK, FK, PKP, ARS, CW), p. 6.
DAC-2014-KontorinisTHTH
Enabling Dynamic Heterogeneity Through Core-on-Core Stacking (VK, MKT, MHH, DMT, HH), p. 6.
DAC-2014-Kose #challenge
Thermal Implications of On-Chip Voltage Regulation: Upcoming Challenges and Possible Solutions (SK), p. 6.
DAC-2014-KosmidisQAFWC #certification #hardware
Containing Timing-Related Certification Cost in Automotive Systems Deploying Complex Hardware (LK, EQ, JA, GF, FW, FJC), p. 6.
DAC-2014-KozhikkottuPPDR #clustering #parallel #source code #thread
Variation Aware Cache Partitioning for Multithreaded Programs (VJK, AP, VSP, SD, AR), p. 6.
DAC-2014-KrautzPAKPB #automation #float #verification
Automatic Verification of Floating Point Units (UK, VP, AA, SK, SP, TB), p. 6.
DAC-2014-KriebelRSSH #adaptation #fault #named
ASER: Adaptive Soft Error Resilience for Reliability-Heterogeneous Processors in the Dark Silicon Era (FK, SR, DS, MS, JH), p. 6.
DAC-2014-KuanCHL #database #embedded #multi
Space-Efficient Multiversion Index Scheme for PCM-based Embedded Database Systems (YHK, YHC, PCH, KyL), p. 6.
DAC-2014-LeeL #embedded #runtime
Area-Efficient Event Stream Ordering for Runtime Observability of Embedded Systems (JCL, RL), p. 6.
DAC-2014-LiangC #analysis #clustering #named #network #probability #reduction #scalability #smarttech
ClusRed: Clustering and Network Reduction Based Probabilistic Optimal Power Flow Analysis for Large-Scale Smart Grids (YL, DC), p. 6.
DAC-2014-LinC #effectiveness
POLAR 2.0: An Effective Routability-Driven Placer (TL, CC), p. 6.
DAC-2014-LinHL
Parasitic-aware Sizing and Detailed Routing for Binary-weighted Capacitors in Charge-scaling DAC (MPHL, VWHH, CYL), p. 6.
DAC-2014-LinKH #mobile #power management
Catch Your Attention: Quality-retaining Power Saving on Mobile OLED Displays (CHL, CKK, PCH), p. 6.
DAC-2014-LinL #analysis #parallel #reachability #verification
Parallel Hierarchical Reachability Analysis for Analog Verification (HL, PL), p. 6.
DAC-2014-LinLCLH #algorithm #performance
An Efficient Bi-criteria Flow Channel Routing Algorithm For Flow-based Microfluidic Biochips (CXL, CHL, ICC, DTL, TYH), p. 6.
DAC-2014-LinRGDS #algorithm #performance
An Efficient Wire Routing and Wire Sizing Algorithm for Weight Minimization of Automotive Systems (CWL, LR, PG, JD, ALSV), p. 6.
DAC-2014-LinWC #data mining #design #logic #mining #named #power management #synthesis
C-Mine: Data Mining of Logic Common Cases for Low Power Synthesis of Better-Than-Worst-Case Designs (CHL, LW, DC), p. 6.
DAC-2014-LiS #monitoring #pipes and filters #robust #self
Robust and In-Situ Self-Testing Technique for Monitoring Device Aging Effects in Pipeline Circuits (JL, MS), p. 6.
DAC-2014-LiuCCJ
Simultaneous EUV Flare Variation Minimization and CMP Control with Coupling-Aware Dummification (CYL, HJKC, YWC, JHRJ), p. 6.
DAC-2014-LiuCHWXY #3d #design
Design Methodologies for 3D Mixed Signal Integrated Circuits: a Practical 12-bit SAR ADC Design Case (WL, GC, XH, YW, YX, HY), p. 6.
DAC-2014-LiuCTKD #component #realtime #using
Computation Offloading by Using Timing Unreliable Components in Real-Time Systems (WL, JJC, AT, TWK, QD), p. 6.
DAC-2014-LiuCW #3d
Floorplanning and Signal Assignment for Silicon Interposer-based 3D ICs (WHL, MSC, TCW), p. 6.
DAC-2014-LiuCYLHL #fault #locality #named
EC-Cache: Exploiting Error Locality to Optimize LDPC in NAND Flash-Based SSDs (RSL, MYC, CLY, CHL, KCH, HPL), p. 6.
DAC-2014-LiuF
A Time-Unrolling Method to Compute Sensitivity of Dynamic Systems (FL, PF), p. 6.
DAC-2014-LiuFC #process #self #using
Overlay-Aware Detailed Routing for Self-Aligned Double Patterning Lithography Using the Cut Process (IJL, SYF, YWC), p. 6.
DAC-2014-LiuGJA #named #pipes and filters
CGPA: Coarse-Grained Pipelined Accelerators (FL, SG, NPJ, DIA), p. 6.
DAC-2014-LiuHM #detection #hardware #statistics
Hardware Trojan Detection through Golden Chip-Free Statistical Side-Channel Fingerprinting (YL, KH, YM), p. 6.
DAC-2014-LuCCSHTC #named #using
ePlace: Electrostatics Based Placement Using Nesterov’s Method (JL, PC, CCC, LS, DJHH, CCT, CKC), p. 6.
DAC-2014-MaoWZCL #architecture #memory management #using
Exploration of GPGPU Register File Architecture Using Domain-wall-shift-write based Racetrack Memory (MM, WW, YZ, YC, HHL), p. 6.
DAC-2014-MoctarB #parallel
Parallel FPGA Routing based on the Operator Formulation (YOMM, PB), p. 6.
DAC-2014-MukherjeeAL #approximate
Approximate property checking of mixed-signal circuits (PM, CSA, PL), p. 6.
DAC-2014-MukherjeeL
Leveraging pre-silicon data to diagnose out-of-specification failures in mixed-signal circuits (PM, PL), p. 6.
DAC-2014-MunawarS #functional #modelling #scalability
Scalable Co-Simulation of Functional Models With Accurate Event Exchange (AM, SS), p. 6.
DAC-2014-NaeemiCKPIR #generative #scalability
BEOL Scaling Limits and Next Generation Technology Prospects (AN, AC, VK, CP, RMI, SR), p. 6.
DAC-2014-NahirDKRRSSW #validation
Post-Silicon Validation of the IBM POWER8 Processor (AN, MD, SK, KR, WR, KDS, KS, GW), p. 6.
DAC-2014-NandakumarM #analysis
System-Level Floorplan-Aware Analysis of Integrated CPU-GPUs (VSN, MMS), p. 6.
DAC-2014-NiKA #component #design #implementation
Design and Implementation of a Dynamic Component Model for Federated AUTOSAR Systems (ZN, AK, JA), p. 6.
DAC-2014-OderPG
Beyond ECDSA and RSA: Lattice-based Digital Signatures on Constrained Devices (TO, TP, TG), p. 6.
DAC-2014-OetjensBBBBCCDEGKKLM0MPPRRRSSTV #challenge #evaluation #prototype #research #safety #state of the art #using
Safety Evaluation of Automotive Electronics Using Virtual Prototypes: State of the Art and Research Challenges (JHO, NB, MB, OB, AB, MC, SC, RD, WE, KG, TK, CK, HML, MM, WM, DMG, FP, HP, SR, WR, SR, US, AvS, BAT, AV), p. 6.
DAC-2014-PanthSDL #3d #performance
Power-Performance Study of Block-Level Monolithic 3D-ICs Considering Inter-Tier Performance Variations (SP, KS, YD, SKL), p. 6.
DAC-2014-ParikhDB #configuration management #power management
Power-Aware NoCs through Routing and Topology Reconfiguration (RP, RD, VB), p. 6.
DAC-2014-PathaniaJPM #3d #cpu #game studies #gpu #mobile #power management
Integrated CPU-GPU Power Management for 3D Mobile Games (AP, QJ, AP, TM), p. 6.
DAC-2014-PengPL #optimisation #performance
Fast and Accurate Full-chip Extraction and Optimization of TSV-to-Wire Coupling (YP, DP, SKL), p. 6.
DAC-2014-PopovychLWLLW
Density-aware Detailed Placement with Instant Legalization (SP, HHL, CMW, YLL, WHL, TCW), p. 6.
DAC-2014-PrussKE #abstraction #equivalence #scalability #using #verification
Equivalence Verification of Large Galois Field Arithmetic Circuits using Word-Level Abstraction via Gröbner Bases (TP, PK, FE), p. 6.
DAC-2014-QiuLX #performance #power management
Write Mode Aware Loop Tiling for High Performance Low Power Volatile PCM (KQ, QL, CJX), p. 6.
DAC-2014-QuintonBHNNE #analysis #design #network
Typical Worst Case Response-Time Analysis and its Use in Automotive Network Design (SQ, TTB, JH, MN, MN, RE), p. 6.
DAC-2014-RahimiGLCBG #architecture #collaboration #compilation #energy
Energy-Efficient GPGPU Architectures via Collaborative Compilation and Memristive Memory-Based Computing (AR, AG, MALM, KTC, LB, RKG), p. 6.
DAC-2014-RahmanXFZST #generative #independence #named #random
TI-TRNG: Technology Independent True Random Number Generator (MTR, KX, DF, XZ, JS, MT), p. 6.
DAC-2014-RaiHST #detection #fault #framework #performance #realtime
An Efficient Real Time Fault Detection and Tolerance Framework Validated on the Intel SCC Processor (DR, PH, NS, LT), p. 6.
DAC-2014-RaoEST #multi #using
Protecting SRAM-based FPGAs Against Multiple Bit Upsets Using Erasure Codes (PMBR, ME, RS, MBT), p. 6.
DAC-2014-RaoGHC #artificial reality #design
Design Methods for Augmented Reality In-Vehicle Infotainment Systems (QR, CG, MH, SC), p. 6.
DAC-2014-RaoJDBDM #named #performance
VIX: Virtual Input Crossbar for Efficient Switch Allocation (SR, SJ, RD, DB, RGD, TNM), p. 6.
DAC-2014-RehmanKSSH #adaptation #code generation #dependence #named #process #reliability
dTune: Leveraging Reliable Code Generation for Adaptive Dependability Tuning under Process Variation and Aging-Induced Effects (SR, FK, DS, MS, JH), p. 6.
DAC-2014-RenMRZ #fault tolerance #network #using
Fault-tolerant Routing for On-chip Network Without Using Virtual Channels (PR, QM, XR, NZ), p. 6.
DAC-2014-RoyKCBC #streaming #using
Demand-Driven Mixture Preparation and Droplet Streaming using Digital Microfluidic Biochips (SR, SK, PPC, BBB, KC), p. 6.
DAC-2014-RoyMIT #multi #performance
Tile Before Multiplication: An Efficient Strategy to Optimize DSP Multiplier for Accelerating Prime Field ECC for NIST Curves (DBR, DM, MI, JT), p. 6.
DAC-2014-Rutenbar #automation #design #education
The First EDA MOOC: Teaching Design Automation to Planet Earth (RAR), p. 6.
DAC-2014-SagstetterAWLSCK #architecture #framework #integration
Schedule Integration Framework for Time-Triggered Automotive Architectures (FS, SA, PW, ML, HS, SC, AK), p. 6.
DAC-2014-SamalPSSDL #3d #modelling #optimisation #performance
Fast and Accurate Thermal Modeling and Optimization for Monolithic 3D ICs (SKS, SP, KS, MS, YD, SKL), p. 6.
DAC-2014-SamavatianAAS #architecture #performance
An Efficient STT-RAM Last Level Cache Architecture for GPUs (MHS, HA, MA, HSA), p. 6.
DAC-2014-SampathRR #c #validation
Translation Validation for Stateflow to C (PS, ACR, SR), p. 6.
DAC-2014-SarmaVD #distributed #middleware #mobile #perspective
Sense-making from Distributed and Mobile Sensing Data: A Middleware Perspective (SS, NV, ND), p. 6.
DAC-2014-SchaffnerGSKB #approximate #complexity #linear #realtime #video
An Approximate Computing Technique for Reducing the Complexity of a Direct-Solver for Sparse Linear Systems in Real-Time Video Processing (MS, FKG, AS, HK, LB), p. 6.
DAC-2014-SchneiderPP #agile #analysis #multi
MASH{fifo}: A Hardware-Based Multiple Cache Simulator for Rapid FIFO Cache Analysis (JS, JP, SP), p. 6.
DAC-2014-ShafiqueGHM #challenge #reliability #variability
The EDA Challenges in the Dark Silicon Era: Temperature, Reliability, and Variability Perspectives (MS, SG, JH, DM), p. 6.
DAC-2014-ShankarSWP #analysis #concept #design #specification
Ontology-guided Conceptual Analysis of Design Specifications (AS, BPS, FGW, CAP), p. 6.
DAC-2014-ShanSFZTXYL #algorithm #analysis #configuration management #encryption #multi
A Side-channel Analysis Resistant Reconfigurable Cryptographic Coprocessor Supporting Multiple Block Cipher Algorithms (WS, LS, XF, XZ, CT, ZX, JY, JL), p. 6.
DAC-2014-ShiBSBC #online #synthesis #trade-off
Datapath Synthesis for Overclocking: Online Arithmetic for Latency-Accuracy Trade-offs (KS, DB, EAS, SB, GAC), p. 6.
DAC-2014-ShinMP #modelling #statistics
Statistical Battery Models and Variation-Aware Battery Management (DS, EM, MP), p. 6.
DAC-2014-ShiWZXS #memory management #reduction
Retention Trimming for Wear Reduction of Flash Memory Storage Systems (LS, KW, MZ, CJX, EHMS), p. 6.
DAC-2014-ShrivastavaRJW #analysis #control flow #fault
Quantitative Analysis of Control Flow Checking Mechanisms for Soft Errors (AS, AR, RJ, CJW), p. 6.
DAC-2014-SiyoumGC #analysis #data flow
Symbolic Analysis of Dataflow Applications Mapped onto Shared Heterogeneous Resources (FS, MG, HC), p. 6.
DAC-2014-SlijepcevicKAQC #manycore #realtime
Time-Analysable Non-Partitioned Shared Caches for Real-Time Multicore Systems (MS, LK, JA, EQ, FJC), p. 6.
DAC-2014-SunBMCCBLLJ #health #monitoring #named #smarttech
eButton: A Wearable Computer for Health Monitoring and Personal Assistance (MS, LEB, ZHM, YC, HCC, YB, YL, CL, WJ), p. 6.
DAC-2014-SutariaRZRMC #modelling #random #simulation #validation
BTI-Induced Aging under Random Stress Waveforms: Modeling, Simulation and Silicon Validation (KS, AR, RZ, RR, YM, YC), p. 6.
DAC-2014-SwaminathanLLKSN #architecture #paradigm
Steep Slope Devices: Enabling New Architectural Paradigms (KS, HL, XL, MSK, JS, VN), p. 6.
DAC-2014-TsaiCYYHCCC #energy #using
Leveraging Data Lifetime for Energy-Aware Last Level Non-Volatile SRAM Caches using Redundant Store Elimination (HJT, CCC, KHY, TCY, LYH, CHC, MFC, TFC), p. 6.
DAC-2014-TsengHPK #energy #manycore #mobile #scheduling
User-Centric Energy-Efficient Scheduling on Multi-Core Mobile Devices (PHT, PCH, CCP, TWK), p. 6.
DAC-2014-UbalSMGUCSK #design #performance #reliability
Exploring the Heterogeneous Design Space for both Performance and Reliability (RU, DS, PM, XG, YU, ZC, GS, DRK), p. 6.
DAC-2014-VaidyanathanDP #detection #reliability #stack #using
Detecting Reliability Attacks during Split Fabrication using Test-only BEOL Stack (KV, BPD, LP), p. 6.
DAC-2014-WaeijenSCH #reduction
Reduction Operator for Wide-SIMDs Reconsidered (LW, DS, HC, YH), p. 6.
DAC-2014-WangA #constraints #data mining #mining
Data Mining In EDA — Basic Principles, Promises, and Constraints (LCW, MSA), p. 6.
DAC-2014-WangMZSS
Walking Pads: Managing C4 Placement for Transient Voltage Noise Minimization (KW, BHM, RZ, MRS, KS), p. 6.
DAC-2014-WangOC #optimisation #performance #polynomial #synthesis
Enabling Efficient Analog Synthesis by Coupling Sparse Regression and Polynomial Optimization (YW, MO, CC), p. 6.
DAC-2014-WangSYHC #functional
Practical Functional and Washing Droplet Routing for Cross-Contamination Avoidance in Digital Microfluidic Biochips (QW, YS, HY, TYH, YC), p. 6.
DAC-2014-WangX #on the #performance #simulation
On the Simulation of NBTI-Induced Performance Degradation Considering Arbitrary Temperature and Voltage Variations (TW, QX), p. 6.
DAC-2014-WasicekDL #aspect-oriented #cyber-physical #modelling
Aspect-oriented Modeling of Attacks in Automotive Cyber-Physical Systems (AW, PD, EAL), p. 6.
DAC-2014-WeiKPZTCUM #energy
Battery Management and Application for Energy-Efficient Buildings (TW, TK, SP, QZ, SXDT, NC, SU, MM), p. 6.
DAC-2014-WeiWNP #physics #reverse engineering #using
Reverse Engineering and Prevention Techniques for Physical Unclonable Functions Using Side Channels (SW, JBW, AN, MP), p. 6.
DAC-2014-WendtKP #identification
Techniques for Foundry Identification (JBW, FK, MP), p. 6.
DAC-2014-WenZMC #design #memory management #strict
State-Restrict MLC STT-RAM Designs for High-Reliable High-Performance Memory System (WW, YZ, MM, YC), p. 6.
DAC-2014-WietfeldID #mobile #performance
Resource Efficient Mobile Communications for Crowd-Sensing (CW, CI, BD), p. 6.
DAC-2014-WuWNBP #on the
On Timing Closure: Buffer Insertion for Hold-Violation Removal (PCW, MDFW, IN, SB, VP), p. 6.
DAC-2014-WuXKCH #named #simulation #statistics #towards
REscope: High-dimensional Statistical Circuit Simulation towards Full Failure Region Coverage (WW, WX, RK, YLC, LH), p. 6.
DAC-2014-XiaCK #algorithm #automation #detection #development
An Automobile Detection Algorithm Development for Automated Emergency Braking System (LX, TDC, KAAK), p. 6.
DAC-2014-XiangQZLYSL #generative
Row Based Dual-VDD Island Generation and Placement (HX, HQ, CZ, YSL, FY, AS, PFL), p. 6.
DAC-2014-XiaoDTWYWZ #self #verification
Directed Self-Assembly (DSA) Template Pattern Verification (ZX, YD, HT, MDFW, HY, HSPW, HZ), p. 6.
DAC-2014-XueQBYT #analysis #framework #manycore #platform #scalability
Disease Diagnosis-on-a-Chip: Large Scale Networks-on-Chip based Multicore Platform for Protein Folding Analysis (YX, ZQ, PB, FY, CYT), p. 6.
DAC-2014-YangHCLRX #behaviour #certification #framework #scalability #synthesis
Scalable Certification Framework for Behavioral Synthesis Front-End (ZY, KH, KC, LL, SR, FX), p. 6.
DAC-2014-YounGK #debugging #locality #probability #statistics
Probabilistic Bug Localization via Statistical Inference based on Partially Observed Data (SY, CG, JK), p. 6.
DAC-2014-YuP #composition #layout
Layout Decomposition for Quadruple Patterning Lithography and Beyond (BY, DZP), p. 6.
DAC-2014-YuSHEAB #metric #parametricity #using
Remembrance of Transistors Past: Compact Model Parameter Extraction Using Bayesian Inference and Incomplete New Measurements (LY, SS, CH, IAME, DAA, DSB), p. 6.
DAC-2014-ZhangKIBWH #configuration management #named #reliability
GUARD: GUAranteed Reliability in Dynamically Reconfigurable Systems (HZ, MAK, MEI, LB, HJW, JH), p. 6.
DAC-2014-ZhangPL #hardware #power management
Low Power GPGPU Computation with Imprecise Hardware (HZ, MP, JL), p. 6.
DAC-2014-ZhangWWCLS
Deterministic Crash Recovery for NAND Flash Based Storage Systems (CZ, YW, TW, RC, DL, ZS), p. 6.
DAC-2014-ZhangYYX #approximate #framework #named
ApproxIt: An Approximate Computing Framework for Iterative Methods (QZ, FY, RY, QX), p. 6.
DAC-2014-ZhanXS #fine-grained #named
NoC-Sprinting: Interconnect for Fine-Grained Sprinting in the Dark Silicon Era (JZ, YX, GS), p. 6.
DAC-2014-ZhaoJZX #process
SLC-enabled Wear Leveling for MLC PCM Considering Process Variation (MZ, LJ, YZ, CJX), p. 6.
DAC-2014-ZhengBB #analysis #identification #named #robust #towards
CACI: Dynamic Current Analysis Towards Robust Recycled Chip Identification (YZ, AB, SB), p. 6.
DAC-2014-ZhuangWLC #distributed #framework #named #network #simulation
MATEX: A Distributed Framework for Transient Simulation of Power Distribution Networks (HZ, SHW, JHL, CKC), p. 6.
DAC-2014-ZhuoGS #design #grid #modelling #optimisation #power management
Early-Stage Power Grid Design: Extraction, Modeling and Optimization (CZ, HG, WKS), p. 6.
DAC-2014-Bhushan #injection #predict #visual notation
A Rigorous Graphical Technique for Predicting Sub-harmonic Injection Locking in LC Oscillators (PB), p. 8.
DAC-2014-ReimannGTCGUWEA #architecture #integration
Advanced Diagnosis: SBST and BIST Integration in Automotive E/E Architectures (FR, MG, JT, AC, LRG, DU, HJW, PE, UA), p. 9.

Bibliography of Software Language Engineering in Generated Hypertext (BibSLEIGH) is created and maintained by Dr. Vadim Zaytsev.
Hosted as a part of SLEBOK on GitHub.