BibSLEIGH
BibSLEIGH corpus
BibSLEIGH tags
BibSLEIGH bundles
BibSLEIGH people
CC-BY
Open Knowledge
XHTML 1.0 W3C Rec
CSS 2.1 W3C CanRec
email twitter
Used together with:
design (38)
model (35)
softwar (32)
system (28)
use (26)

Stem earli$ (all stems)

260 papers:

WICSAWICSA-2015-PoortV #architecture #case study #cost analysis #experience
Architecting in a Solution Costing Context: Early Experiences with Solution-Based Estimating (EP, EvdV), pp. 127–130.
CASECASE-2015-JeongMS #composition #design #functional #requirements
Product modularity to jointly address functional and ecological requirements in the early design stage (MGJ, JRM, HWS), pp. 33–38.
DATEDATE-2015-VieiraFCC #estimation #metric
NFRs early estimation through software metrics (AV, PF, LC, ÉFC), pp. 329–332.
ICSMEICSME-2015-OliveiraVBS #developer #metric #validation
Validating metric thresholds with developers: An early result (PO, MTV, AB, AS), pp. 546–550.
STOCSTOC-2015-AbrahamD #complexity #polynomial
Byzantine Agreement with Optimal Early Stopping, Optimal Resilience and Polynomial Complexity (IA, DD), pp. 605–614.
CIAACIAA-J-2013-DebarbieuxGNSZ15 #automaton #query #word #xml #xpath
Early nested word automata for XPath query answering on XML streams (DD, OG, JN, TS, MZ), pp. 100–125.
CHICHI-2015-YannierILK #feedback #named
FeelSleeve: Haptic Feedback to Enhance Early Reading (NY, AI, JFL, RLK), pp. 1015–1024.
CSCWCSCW-2015-MaYFD #design #feedback #online
Exiting the Design Studio: Leveraging Online Participants for Early-Stage Design Feedback (XM, LY, JLF, SPD), pp. 676–685.
HCIDUXU-UI-2015-Crosby #user interface
Experiencing Early User Interfaces (MEC), pp. 184–195.
HCIHCI-UC-2015-BorsciLBJ #assessment #prototype
Early Prototype Assessment of a New Virtual System for Training Procedural Skills of Automotive Service Operators: LARTE Tool (SB, GL, MB, BJ), pp. 135–143.
HCIHIMI-IKD-2015-LawsonBTKHR #development
Human Factors to Consider During the Early Development and Dissemination of New Displays to Improve Spatial Orientation and Situation Awareness (BDL, JCB, LBIT, AMK, CRH, AHR), pp. 412–424.
HCILCT-2015-KobanLO #game studies #video
Good Newbie or Poor Newbie? Determinants of Video Game Skill Acquisition at an Early Stage (KK, BL, PO), pp. 608–619.
KDDKDD-2015-KumarSS #named #wiki
VEWS: A Wikipedia Vandal Early Warning System (SK, FS, VSS), pp. 607–616.
KDDKDD-2015-LiT
The Child is Father of the Man: Foresee the Success at the Early Stage (LL, HT), pp. 655–664.
KDDKDD-2015-ShaabaniASB #identification
Early Identification of Violent Criminal Gang Members (ES, AA, PS, JB), pp. 2079–2088.
KDDKDD-2015-SomanchiALEG #predict #using
Early Prediction of Cardiac Arrest (Code Blue) using Electronic Medical Records (SS, SA, AL, EE, RG), pp. 2119–2126.
SEKESEKE-2015-ValentimCEP #how #usability
How do software engineers apply an early usability inspection technique? A qualitative study (NMCV, TC, BJdSE, RP), pp. 686–691.
SIGIRSIGIR-2015-DijkTR #community #detection #topic
Early Detection of Topical Expertise in Community Question Answering (DvD, MT, MdR), pp. 995–998.
SIGIRSIGIR-2015-KharitonovVMSO #online #testing
Sequential Testing for Early Stopping of Online Experiments (EK, AV, CM, PS, IO), pp. 473–482.
SACSAC-2015-BarnPB #approach #evaluation #privacy #requirements
An approach to early evaluation of informational privacy requirements (BSB, GP, RB), pp. 1370–1375.
HPCAHPCA-2015-NairCRQ #latency #memory management
Reducing read latency of phase change memory via early read and Turbo Read (PJN, CCC, BR, MKQ), pp. 309–319.
ASEASE-2014-LiuSLZWDW #automation #case study #detection #documentation #fault
Automatic early defects detection in use case documents (SL, JS, YL, YZ, BW, JSD, XW), pp. 785–790.
DACDAC-2014-ZhuoGS #design #grid #modelling #optimisation #power management
Early-Stage Power Grid Design: Extraction, Modeling and Optimization (CZ, HG, WKS), p. 6.
DATEDATE-2014-BardizbanyanSWL #data flow #dependence #detection #energy
Reducing set-associative L1 data cache energy by early load data dependence detection (ELD3) (AB, MS, DBW, PLE), pp. 1–4.
DATEDATE-2014-FischerCM #analysis #design #modelling
Power modeling and analysis in early design phases (BF, CC, HM), pp. 1–6.
DATEDATE-2014-GanapathyCACGR #analysis #framework #memory management #named #robust
INFORMER: An integrated framework for early-stage memory robustness analysis (SG, RC, DA, EC, AG, AR), pp. 1–4.
DATEDATE-2014-SassolasSGAVBFP #architecture #design #evaluation
Early design stage thermal evaluation and mitigation: The locomotiv architectural case (TS, CS, AG, AA, PV, HB, LF, NP), pp. 1–2.
ITiCSEITiCSE-2014-KohNBR #analysis #validation
Early validation of computational thinking pattern analysis (KHK, HN, ARB, AR), pp. 213–218.
FASEFASE-2014-LanduytJ #architecture #requirements
Modularizing Early Architectural Assumptions in Scenario-Based Requirements (DVL, WJ), pp. 170–184.
PEPMPEPM-2014-0008E #c++ #detection #fault
Early detection of type errors in C++ templates (SC, ME), pp. 133–144.
FMFM-2014-RoySS #case study #experience #industrial #process
Diagnosing Industrial Business Processes: Early Experiences (SR, ASMS, SS), pp. 703–717.
HCIDUXU-TMT-2014-BertholdoSMKS #agile #usability
Agile Usability Patterns for UCD Early Stages (APOB, TSdS, CdOM, FK, MSS), pp. 33–44.
HCIHCI-AIMT-2014-BomsdorfB #3d #design #interactive #prototype
Early Prototyping of 3D-Gesture Interaction within the Presentation-Gesture-Dialog Design Space (BB, RB), pp. 12–23.
HCIHCI-AS-2014-CharfiEK #evaluation #mobile #user interface #visual notation
Evaluation Based Graphical Controls: A Contribution to Mobile User Interface Early Evaluation (SC, HE, CK), pp. 114–123.
HCILCT-TRE-2014-MartinezMLLC #3d #interactive #learning
Supporting Learning with 3D Interactive Applications in Early Years (ACM, MJMS, MLS, DCPL, MC), pp. 11–22.
CIKMCIKM-2014-ChenHH #named #predict #video
Clairvoyant: An Early Prediction System For Video Hits (HC, QH, LH), pp. 2054–2056.
ICPRICPR-2014-Dahllof #classification
Scribe Attribution for Early Medieval Handwriting by Means of Letter Extraction and Classification and a Voting Procedure for Larger Pieces (MD), pp. 1910–1915.
ICPRICPR-2014-HuiZ #image #recognition #representation
A Bio-Inspired Early-Level Image Representation and Its Contribution to Object Recognition (WH, QZ), pp. 4263–4268.
ICPRICPR-2014-WangWJ14a #markov #modelling #recognition #using
Early Facial Expression Recognition Using Hidden Markov Models (JW, SW, QJ), pp. 4594–4599.
ICPRICPR-2014-WeberLSSU #recognition
LSTM-Based Early Recognition of Motion Patterns (MW, ML, DS, CS, SU), pp. 3552–3557.
ICPRICPR-2014-WuHYWT #image #network #segmentation
Early Hierarchical Contexts Learned by Convolutional Networks for Image Segmentation (ZW, YH, YY, LW, TT), pp. 1538–1543.
KDDKDD-2014-GhalwashRO #nondeterminism
Utilizing temporal patterns for estimating uncertainty in interpretable early decision making (MFG, VR, ZO), pp. 402–411.
RERE-2014-BadgerTC #analysis #design #named #requirements #verification
VARED: Verification and analysis of requirements and early designs (JMB, DT, CC), pp. 325–326.
RERE-2014-HorkoffSCS #nondeterminism
Supporting early decision-making in the presence of uncertainty (JH, RS, MC, ADS), pp. 33–42.
SACSAC-2014-GraziadioDSSUME #game studies #identification #video
Bespoke video games to provide early response markers to identify the optimal strategies for maximizing rehabilitation (SG, RD, KS, KMAS, GU, GM, JAE), pp. 20–24.
CASECASE-2013-SustoJOM #multi #predict #process
Virtual metrology enabled early stage prediction for enhanced control of multi-stage fabrication processes (GAS, ABJ, PGO, SFM), pp. 201–206.
DACDAC-2013-AgrawalRHSPC #architecture #clustering #framework #multi
Early exploration for platform architecture instantiation with multi-mode application partitioning (PA, PR, MH, NS, LVdP, FC), p. 8.
DACDAC-2013-MallikZLCBBBCRBMV #analysis #evaluation #framework #named
TEASE: a systematic analysis framework for early evaluation of FinFET-based advanced technology nodes (AM, PZ, TTL, BC, BB, PRDB, RB, KC, JR, MB, AM, DV), p. 6.
DACDAC-2013-WagstaffGFT #architecture #partial evaluation #set
Early partial evaluation in a JIT-compiled, retargetable instruction set simulator generated from a high-level architecture description (HW, MG, BF, NPT), p. 6.
DACDAC-2013-WangZSLG #modelling #performance #reuse #scalability
Bayesian model fusion: large-scale performance modeling of analog and mixed-signal circuits by reusing early-stage data (FW, WZ, SS, XL, CG), p. 6.
DATEDATE-2013-BouhadibaMM #energy #modelling #validation
System-level modeling of energy in TLM for early validation of power and thermal management (TB, MM, FM), pp. 1609–1614.
DocEngDocEng-2013-TorabiDT #using
Early modern OCR project (eMOP) at Texas A&M University: using Aletheia to train Tesseract (KT, JD, BT), pp. 23–26.
ITiCSEITiCSE-2013-BuckD #authentication #education #modelling #using
Authentic object modeling in the early computer science curriculum using objektgraph (DB, ID), p. 363.
CSMRCSMR-2013-ScannielloGT #comprehension #diagrams #sequence chart #source code
An Early Investigation on the Contribution of Class and Sequence Diagrams in Source Code Comprehension (GS, CG, GT), pp. 367–370.
MSRMSR-2013-TsunodaTFKNU #development #estimation #process
Revisiting software development effort estimation based on early phase development activities (MT, KT, KF, YK, MN, NU), pp. 429–438.
CIAACIAA-2013-DebarbieuxGNSZ #automaton #query #word #xml #xpath
Early Nested Word Automata for XPath Query Answering on XML Streams (DD, OG, JN, TS, MZ), pp. 292–305.
SEFMSEFM-2013-KeshishzadehMM #automation #debugging #detection #domain-specific language #fault #smt #using
Early Fault Detection in DSLs Using SMT Solving and Automated Debugging (SK, AJM, MRM), pp. 182–196.
CHICHI-2013-VenkateshPDGA #named
TOBY: early intervention in autism through technology (SV, DQP, TVD, SG, BA), pp. 3187–3196.
CSCWCSCW-2013-PiperDH #comprehension #documentation #education
Going digital: understanding paper and photo documentation practices in early childhood education (AMP, SD, JDH), pp. 1319–1328.
HCIDHM-SET-2013-LiuZLSFG #behaviour #modelling #towards
Towards Early Status Warning for Driver’s Fatigue Based on Cognitive Behavior Models (YL, YZ, JL, JS, FF, JG), pp. 55–60.
HCIHIMI-HSM-2013-BattisteCMSVCS #automation #student #tool support
The Effects of Early Training with Automation Tools on the Air Traffic Management Strategies of Student ATCos (HB, WC, TM, KS, KPLV, DC, TZS), pp. 13–21.
ICEISICEIS-v2-2013-EldinMHZ #concept #effectiveness #information management
A Conceptual Model for Effective Early Warning Information Systems (EEWIS) (MSE, SAM, EEH, HZ), pp. 134–142.
ICEISICEIS-v3-2013-AmmarM #evaluation #framework #modelling #usability
Early Usability Evaluation in Model Driven Framework (LBA, AM), pp. 23–30.
ICMLICML-c3-2013-AppelFDP
Quickly Boosting Decision Trees — Pruning Underachieving Features Early (RA, TJF, PD, PP), pp. 594–602.
KDDKDD-2013-WeissDB #predict #quality
Improving quality control by early prediction of manufacturing outcomes (SMW, AD, RJB), pp. 1258–1266.
RERE-2013-LarburuWBHN #collaboration #elicitation #requirements
Early phase telemedicine requirements elicitation in collaboration with medical practitioners (NL, IW, RGAB, HJH, CN), pp. 273–278.
RERE-2013-WangLWYZL #debugging #dependence #integration #network #question #requirements
Can requirements dependency network be used as early indicator of software integration bugs? (JW, JL, QW, DY, HZ, ML), pp. 185–194.
ESEC-FSEESEC-FSE-2013-PetkeYCH #combinator #detection #fault #interactive #performance #testing
Efficiency and early fault detection with lower and higher strength combinatorial interaction testing (JP, SY, MBC, MH), pp. 26–36.
QoSAQoSA-2012-Brebner #architecture #assessment #case study #experience #lifecycle #modelling #performance
Experiences with early life-cycle performance modeling for architecture assessment (PB), pp. 149–154.
WICSA-ECSAWICSA-ECSA-2012-LanduytTJ #architecture #requirements
Documenting Early Architectural Assumptions in Scenario-Based Requirements (DVL, ET, WJ), pp. 329–333.
CASECASE-2012-ReeseWLDOOBE #modelling
Early warning system modeling for patient bispectral index prognosis in anesthesia and the operating room (JR, YW, LL, HD, EO, MSO, VLB, GE), pp. 297–302.
DACDAC-2012-KumarBKV #analysis #predict #source code #using
Early prediction of NBTI effects using RTL source code analysis (JAK, KMB, HK, SV), pp. 808–813.
DATEDATE-2012-GanPGM #design #distributed #flexibility #realtime #robust
Robust and flexible mapping for real-time distributed applications during the early design phases (JG, PP, FG, JM), pp. 935–940.
HTHT-2012-NakajimaZIN #analysis #detection #scalability
Early detection of buzzwords based on large-scale time-series analysis of blog entries (SN, JZ, YI, RYN), pp. 275–284.
VLDBVLDB-2012-LaptevZZ #pipes and filters
Early Accurate Results for Advanced Analytics on MapReduce (NL, KZ, CZ), pp. 1028–1039.
ITiCSEITiCSE-2012-Rabin #student
Never too early to begin: computer science for high-school students (MOR), p. 1.
IFMIFM-2012-HoomanMW #abstraction #detection #fault #industrial #modelling #using
Early Fault Detection in Industry Using Models at Various Abstraction Levels (JH, AJM, HvW), pp. 268–282.
CSCWCSCW-2012-LiCPT #communication #design #flexibility #named #sketching
SketchComm: a tool to support rich and flexible asynchronous communication of early design ideas (GL, XC, SP, FT), pp. 359–368.
CSCWCSCW-2012-PaoMCR #approach #collaboration #design
A need-driven design approach: addressing latent needs in collaboration rooted in early childhood (SYP, SM, KC, AR), pp. 829–832.
CIKMCIKM-2012-MeleBG #graph #recommendation
The early-adopter graph and its application to web-page recommendation (IM, FB, AG), pp. 1682–1686.
CIKMCIKM-2012-ShiMWG #in the cloud #online #query
You can stop early with COLA: online processing of aggregate queries in the cloud (YS, XM, FW, YG), pp. 1223–1232.
ICPRICPR-2012-BeinruckerDB #feature model
Early stopping for mutual information based feature selection (AB, UD, GB), pp. 975–978.
ICPRICPR-2012-LiF #approach #named #process #recognition
ARMA-HMM: A new approach for early recognition of human activity (KL, YF), pp. 1779–1782.
ICPRICPR-2012-ShiT
Background subtraction via early recurrence in dynamic scenes (XS, JKT), pp. 3172–3175.
AMTAMT-2012-TisoRL #case study #experience #model transformation #testing
Early experiences on model transformation testing (AT, GR, ML), pp. 15–20.
SACSAC-2012-MbarekKPA #design #modelling #power management #using
Using model driven engineering to reliably accelerate early Low Power Intent Exploration for a system-on-chip design (OM, AK, AP, MA), pp. 1580–1587.
SACSAC-2012-SardinhaYNR #aspect-oriented #identification #named #traceability
EA-tracer: identifying traceability links between code aspects and early aspects (AS, YY, NN, AR), pp. 1035–1042.
FSEFSE-2012-EsfahaniRM #architecture #nondeterminism
Dealing with uncertainty in early software architecture (NE, KR, SM), p. 21.
ICSEICSE-2012-GuimaraesS #detection
Improving early detection of software merge conflicts (MLG, ARS), pp. 342–352.
ISSTAISSTA-2012-CaballeroGMN #detection #named #pointer
Undangle: early detection of dangling pointers in use-after-free and double-free vulnerabilities (JC, GG, MM, AN), pp. 133–143.
DATEDATE-2011-ShinDLWJ
Early chip planning cockpit (JS, JAD, GL, AJW, CLJ), pp. 863–866.
DRRDRR-2011-RamirezO
OMR of early plainchant manuscripts in square notation: a two-stage system (CR, JO), pp. 1–10.
HCIHCI-ITE-2011-SpiesBLWBH #concept #development #industrial #metric
Measurement of Driver’s Distraction for an Early Prove of Concepts in Automotive Industry at the Example of the Development of a Haptic Touchpad (RS, AB, CL, MW, KB, WH), pp. 125–132.
HCIHIMI-v1-2011-FriedemannRTSS #modelling #visualisation
Explicit Modeling and Visualization of Imperfect Information in the Context of Decision Support for Tsunami Early Warning in Indonesia (MF, UR, ST, TS, CS), pp. 201–210.
KDDKDD-2011-ChattopadhyayYPFD #adaptation #detection #multi
Multi-source domain adaptation and its application to early detection of fatigue (RC, JY, SP, WF, ID), pp. 717–725.
ECMFAECMFA-2011-ColomboKL #analysis #design #generative #modelling #problem #requirements #using
Generating Early Design Models from Requirements Analysis Artifacts Using Problem Frames and SysML (PC, FK, LL), pp. 97–114.
ECMFAECMFA-2011-JainKP #development #modelling #validation
A SysML Profile for Development and Early Validation of TLM 2.0 Models (VJ, AK, PRP), pp. 299–311.
MODELSMoDELS-2011-KulkarniBR #agile #approach #experience #modelling
Early Experience with Agile Methodology in a Model-Driven Approach (VK, SB, UR), pp. 578–590.
MODELSMoDELS-2011-KulkarniBR #agile #approach #experience #modelling
Early Experience with Agile Methodology in a Model-Driven Approach (VK, SB, UR), pp. 578–590.
RERE-2011-FitzgeraldLF #feature model #predict
Early failure prediction in feature request management systems (CF, EL, AF), pp. 229–238.
ICSEICSE-2011-LaymanBZF #case study #process #safety
A case study of measuring process risk for early insights into software safety (LL, VRB, MVZ, KLF), pp. 623–632.
HPCAHPCA-2011-JacobsonBBAE #abstraction #architecture #modelling #scalability
Abstraction and microarchitecture scaling in early-stage power modeling (HMJ, AB, PB, EA, RJE), pp. 394–405.
DACDAC-2010-NalamBMC #design #optimisation #prototype
Virtual prototyper (ViPro): an early design space exploration and optimization tool for SRAM designers (SN, MB, KM, BHC), pp. 138–143.
DATEDATE-2010-MichailidisSRHK #development
Test front loading in early stages of automotive software development based on AUTOSAR (AM, US, TR, BH, SK), pp. 435–440.
DATEDATE-2010-NassarBDDG #evaluation #named
BCDL: A high speed balanced DPL for FPGA with global precharge and no early evaluation (MN, SB, JLD, GD, SG), pp. 849–854.
DATEDATE-2010-ShafiqueMH #adaptation #complexity #reduction #using #video
An HVS-based Adaptive Computational Complexity Reduction Scheme for H.264/AVC video encoder using Prognostic Early Mode Exclusion (MS, BM, JH), pp. 1713–1718.
SIGMODSIGMOD-2010-ChenGN #named #statistics
PR-join: a non-blocking join achieving higher early result rate with statistical guarantees (SC, PBG, SN), pp. 147–158.
ITiCSEITiCSE-2010-Aberg #challenge #education #human-computer #student
Challenges with teaching HCI early to computer students (), pp. 3–7.
ICPRICPR-2010-TsuchidaYT #development #image #multi
Development of a High-Definition and Multispectral Image Capturing System for Digital Archiving of Early Modern Tapestries of Kyoto Gion Festival (MT, KY, HTT), pp. 2828–2831.
MODELSMoDELS-v2-2010-SilvaBBG #detection #modelling #process
Early Deviation Detection in Modeling Activities of MDE Processes (MAAdS, RB, XB, MPG), pp. 303–317.
SACSAC-2010-AntonelliRL #domain model #identification
Early identification of crosscutting concerns in the domain model guided by states (LA, GR, JCSdPL), pp. 275–280.
SACSAC-2010-CappelliCGL #analysis #requirements #security
Transparency versus security: early analysis of antagonistic requirements (CC, HdSC, BGB, JCSdPL), pp. 298–305.
SACSAC-2010-ChowdhuryZ #complexity #metric #question
Can complexity, coupling, and cohesion metrics be used as early indicators of vulnerabilities? (IC, MZ), pp. 1963–1969.
SACSAC-2010-FlegelHM
Cooperation enablement for centralistic early warning systems (UF, JH, MM), pp. 2001–2008.
HPDCHPDC-2010-HillLMRH #performance
Early observations on the performance of Windows Azure (ZH, JL, MM, ARA, MH), pp. 367–376.
HPDCHPDC-2010-WiebelitzBKS #grid
Early defense: enabling attribute-based authorization in Grid firewalls (JW, MB, CK, MS), pp. 336–339.
LICSLICS-2010-Moore #proving #theorem proving #verification
Theorem Proving for Verification: The Early Days (JSM), p. 283.
DACDAC-2009-BufistovCOJK #evaluation
Retiming and recycling for elastic systems with early evaluation (DB, JC, MGO, JJ, MK), pp. 288–291.
DATEDATE-2009-KahngLPS #design #performance
ORION 2.0: A fast and accurate NoC power and area model for early-stage design space exploration (ABK, BL, LSP, KS), pp. 423–428.
DATEDATE-2009-RichterJE #framework #learning #verification
Learning early-stage platform dimensioning from late-stage timing verification (KR, MJ, RE), pp. 851–857.
DATEDATE-2009-TrautmannMBDUDPC #case study #framework #simulation
Simulation framework for early phase exploration of SDR platforms: A case study of platform dimensioning (MT, SM, BB, JD, EU, AD, LVdP, FC), pp. 312–315.
CHICHI-2009-SharminBCH #comprehension #design #information management #process #reuse
Understanding knowledge management practices for early design activity and its implications for reuse (MS, BPB, CC, KH), pp. 2367–2376.
HCIHCI-NT-2009-HaesenMLC #design #multi #using
Supporting Multidisciplinary Teams and Early Design Stages Using Storyboards (MH, JM, KL, KC), pp. 616–623.
HCIHCI-VAD-2009-CharissisPV #case study #development #interface
Interface Development for Early Notification Warning System: Full Windshield Head-Up Display Case Study (VC, SP, GV), pp. 683–692.
RecSysRecSys-2009-FreyneJGG #recommendation
Increasing engagement through early recommender intervention (JF, MJ, IG, WG), pp. 85–92.
SEKESEKE-2009-ChangL #design
Supporting Good Decision Making at Early Stage of Software Design (HFC, SCYL), pp. 493–498.
SEKESEKE-2009-ConejeroHJCR #analysis #composition #product line
Early Analysis of Modularity in Software Product Lines (JMC, JH, EJ, PJC, RR), pp. 721–736.
TOOLSTOOLS-EUROPE-2009-ConejeroFGHJ #metric #predict
Early Crosscutting Metrics as Predictors of Software Instability (JMC, EF, AG, JH, EJ), pp. 136–156.
REFSQREFSQ-2009-KhurumGAF #empirical #requirements
A Controlled Experiment of a Method for Early Requirements Triage Utilizing Product Strategies (MK, TG, LA, RF), pp. 22–36.
REFSQREFSQ-2009-TunYLN #approach #identification #interactive #problem
Early Identification of Problem Interactions: A Tool-Supported Approach (TTT, YY, RCL, BN), pp. 74–88.
SACSAC-2009-WidyaBBJHSSV #assessment #requirements
Early phase requirements assessment of a teletreatment trial (IW, BJvB, RB, VMJ, HJH, LS, LS, MHAHiV), pp. 395–396.
GTTSEGTTSE-2009-MoreiraA #aspect-oriented
The Need for Early Aspects (AMDM, JA), pp. 386–407.
ASPLOSASPLOS-2009-DiceLMN #experience #hardware #implementation #memory management #transaction
Early experience with a commercial hardware transactional memory implementation (DD, YL, MM, DN), pp. 157–168.
QoSAQoSA-2008-MalletR #analysis #distributed #model transformation
Style-Based Model Transformation for Early Extrafunctional Analysis of Distributed Systems (JM, SR), pp. 55–70.
DACDAC-2008-HoTDDGS #identification #logic #verification
Early formal verification of conditional coverage points to identify intrinsically hard-to-verify logic (RCH, MT, MMD, ROD, JG, DES), pp. 268–271.
DACDAC-2008-SauerGL #framework #functional #modelling #named #performance #using
SystemClick: a domain-specific framework for early exploration using functional performance models (CS, MG, HPL), pp. 480–485.
CHICHI-2008-LinL #design #prototype #user interface
Employing patterns and layers for early-stage design and prototyping of cross-device user interfaces (JL, JAL), pp. 1313–1322.
CAiSECAiSE-2008-MatuleviciusMMDHG #adaptation #development #information management #risk management #security
Adapting Secure Tropos for Security Risk Management in the Early Phases of Information Systems Development (RM, NM, HM, ED, PH, NG), pp. 541–555.
ICPRICPR-2008-UchidaA #classification #recognition
Early recognition of sequential patterns by classifier combination (SU, KA), pp. 1–4.
SACSAC-2008-AmatoCCMTVGLGM #analysis #detection
A web-based system for the collection and analysis of spectra signals for early detection of voice alterations (FA, MC, CC, FM, GT, PV, AG, NL, SG, CM), pp. 1405–1409.
ICSEICSE-2008-CheungRMG #component #predict #reliability
Early prediction of software component reliability (LC, RR, NM, LG), pp. 111–120.
ICSEICSE-2008-DorrKLGDH #quality #requirements
Supporting requirements engineering for medical products: early consideration of user-perceived quality (JD, DK, DL, CG, CD, AH), pp. 639–648.
DACDAC-2007-CortadellaK #evaluation
Synchronous Elastic Circuits with Early Evaluation and Token Counterflow (JC, MK), pp. 416–419.
DACDAC-2007-KamhiMMNWKMKC #design #power management #question #validation
Early Power-Aware Design & Validation: Myth or Reality? (GK, SM, SBM, WN, YCW, JK, EM, SVK, SC), pp. 210–211.
VLDBVLDB-2007-MoroBT
Early Profile Pruning on XML-aware Publish/Subscribe Systems (MMM, PB, VJT), pp. 866–877.
CSEETCSEET-2007-CarverHHHR #programming #re-engineering #student #using
Increased Retention of Early Computer Science and Software Engineering Students Using Pair Programming (JCC, LH, LH, JEH, DSR), pp. 115–122.
ITiCSEITiCSE-2007-Martin #case study #collaboration #design #named #object-oriented #sketching
Scribbles: an exploratory study of sketch based support for early collaborative object oriented design (CJM), pp. 286–290.
CHICHI-2007-RamachandranKCCF #co-evolution #design #social
Social dynamics of early stage co-design in developing regions (DR, MK, JC, JFC, JFF), pp. 1087–1096.
HCIHCI-IDU-2007-AnastassovaMB #analysis #design #evaluation #prototype
Prototype Evaluation and User-Needs Analysis in the Early Design of Emerging Technologies (MA, CM, JMB), pp. 383–392.
HCIHCI-IDU-2007-TarbyERTLK #architecture #aspect-oriented #comparison #evaluation #interactive #programming #usability #using
Traces Using Aspect Oriented Programming and Interactive Agent-Based Architecture for Early Usability Evaluation: Basic Principles and Comparison (JCT, HE, JR, CDT, PL, CK), pp. 632–641.
HCIHCI-IPT-2007-WangGQ #interface #recognition #sketching
Freehand Sketching Interfaces: Early Processing for Sketch Recognition (SxW, MTG, LhQ), pp. 161–170.
ICEISICEIS-DISI-2007-RayG #using
A method for early correspondence discovery using instance data (IR, CJMG), pp. 258–263.
OOPSLAOOPSLA-2007-Rinard #termination #using
Using early phase termination to eliminate load imbalances at barrier synchronization points (MCR), pp. 369–386.
RERE-2007-WegmannJRPR #requirements
Early Requirements and Business-IT Alignment with SEAM for Business (AW, PJ, GR, OP, IR), pp. 111–114.
ICSEICSE-2007-DammL #detection #fault #implementation #metric
Company-Wide Implementation of Metrics for Early Software Fault Detection (LOD, LL), pp. 560–570.
ICSEICSE-2007-ElbaumPDJ #debugging #testing
Bug Hunt: Making Early Software Testing Lessons Engaging and Affordable (SGE, SP, JD, MJ), pp. 688–697.
CBSECBSE-2006-GrassiMS #analysis #approach #component #model transformation #performance #reliability
A Model Transformation Approach for the Early Performance and Reliability Analysis of Component-Based Systems (VG, RM, AS), pp. 270–284.
DACDAC-2006-FengH #equivalence #verification
Early cutpoint insertion for high-level software vs. RTL formal combinational equivalence verification (XF, AJH), pp. 1063–1068.
DATEDATE-2006-KempfKWALM #estimation #fine-grained #framework #performance #using
A SW performance estimation framework for early system-level-design using fine-grained instrumentation (TK, KK, SW, GA, RL, HM), pp. 468–473.
ITiCSEITiCSE-2006-ByrnesHMPZ
Technological confidence at an early age (JB, TH, TM, LP, ZZ), p. 365.
ICPCICPC-2006-ZaidmanBD #comprehension #how #metric #mining
How Webmining and Coupling Metrics Improve Early Program Comprehension (AZ, BDB, SD), pp. 74–78.
ICSMEICSM-2006-TomaszewskiGL #fault #predict
A Method for an Accurate Early Prediction of Faults in Modified Classes (PT, HG, LL), pp. 487–496.
ICPRICPR-v2-2006-BertolamiB #classification #integration #multi #recognition
Early feature stream integration versus decision level combination in a multiple classifier system for text line recognition (RB, HB), pp. 845–848.
ICPRICPR-v3-2006-MoriUKTHS #gesture #predict #recognition
Early Recognition and Prediction of Gestures (AM, SU, RK, RiT, TH, HS), pp. 560–563.
SIGIRSIGIR-2006-Tomlinson #feedback #metric #precise
Early precision measures: implications from the downside of blind feedback (ST), pp. 705–706.
MODELSMoDELS-2006-BertolinoBAS #estimation #modelling #network #performance
Modeling and Early Performance Estimation for Network Processor Applications (AB, AB, GDA, ALSV), pp. 753–767.
MODELSMoDELS-2006-BertolinoBAS #estimation #modelling #network #performance
Modeling and Early Performance Estimation for Network Processor Applications (AB, AB, GDA, ALSV), pp. 753–767.
ECOOPECOOP-2006-Yonezawa #concurrent #mobile
Early Concurrent/Mobile Objects (AY), pp. 198–202.
RERE-2006-Cleland-HuangSZS #aspect-oriented #classification #detection #non-functional #requirements
The Detection and Classification of Non-Functional Requirements with Application to Early Aspects (JCH, RS, XZ, PS), pp. 36–45.
CASECASE-2005-PanSY #approach #optimisation #problem
A new optimization approach to the general single machine earliness-tardiness problem (YP, LS, HY), pp. 43–48.
VLDBVLDB-2005-Lawrence #algorithm #configuration management #performance
Early Hash Join: A Configurable Algorithm for the Efficient and Early Production of Join Results (RL), pp. 841–852.
ITiCSEITiCSE-2005-BerginWCGK #education #morphism #polymorphism
Teaching polymorphism early (JB, EW, MEC, MG, MK), pp. 342–343.
ITiCSEITiCSE-2005-Liew #development #education #re-engineering
Teaching software development skills early in the Curriculum through software engineering (CWL), pp. 133–137.
ITiCSEITiCSE-2005-MarreroS #programming #testing
Testing first: emphasizing testing in early programming courses (WM, AS), pp. 4–8.
STOCSTOC-2005-GafniGP #adaptation #bound #complexity #set
From a static impossibility to an adaptive lower bound: the complexity of early deciding set agreement (EG, RG, BP), pp. 714–722.
ICEISICEIS-v2-2005-Liu
Defending Against Business Crises with the Help of Intelligent Agent Based Early Warning Solutions (SL), pp. 58–65.
ICEISICEIS-v3-2005-CechichP #case study #detection #functional #off the shelf
Early Detection of Cots Functional Suitability for an E-Payment Case Study (AC, MP), pp. 11–28.
ICEISICEIS-v3-2005-SilvaC #detection #non-functional #requirements
Functional and Non-Functional Application Software Requirements: Early Conflict Detection (PSMS, LC), pp. 343–348.
RERE-2005-SampaioRR #approach #aspect-oriented #identification #named #requirements
Early-AIM: An Approach for Identifying Aspects in Requirements (AS, AR, PR), pp. 487–488.
ICSEICSE-2005-NagappanB05a #fault #static analysis #tool support
Static analysis tools as early indicators of pre-release defect density (NN, TB), pp. 580–586.
HPCAHPCA-2005-KirmanKCM
Checkpointed Early Load Retirement (NK, MK, MC, JFM), pp. 16–27.
AMOSTAMOST-2005-SherriffNWV #estimation #fault #haskell #metric #using
Early estimation of defect density using an in-process Haskell metrics model (MS, NN, LAW, MAV).
DACDAC-2004-CaiGG #agile #design #profiling
Retargetable profiling for rapid, early system-level design space exploration (LC, AG, DG), pp. 281–286.
DATEDATE-v1-2004-LeveugleA #fault #injection
Early SEU Fault Injection in Digital, Analog and Mixed Signal Circuits: A Global Flow (RL, AA), pp. 590–595.
ICSMEICSM-2004-GirbaDL #evolution #reverse engineering
Yesterday’s Weather: Guiding Early Reverse Engineering Efforts by Summarizing the Evolution of Changes (TG, SD, ML), pp. 40–49.
ICEISICEIS-v1-2004-Gilb #metric #multi #performance #project management #quality
Evolutionary Project Management: Multiple Performance, Quality and Cost Metrics for Early and Continuous Stakeholder Value Delivery (TG), p. VII.
ICPRICPR-v2-2004-MiyamotoUHIO #comparison #predict
Comparison of Microarray-Based Predictive Systems for Early Recurrence of Cancer (TM, SU, YH, NI, MO), pp. 347–350.
ICPRICPR-v3-2004-GurevichM #analysis #image
Method for Early Diagnostics of Lymphatic System Tumors on the Basis of the Analysis of Chromatin Constitution in Cell Nucleus Images (IBG, DM), pp. 806–809.
KDDKDD-2004-Donoho #detection
Early detection of insider trading in option markets (SD), pp. 420–429.
KDDKDD-2004-RusmevichientongZS #identification
Identifying early buyers from purchase data (PR, SZ, DS), pp. 671–677.
ECOOPECOOP-2004-McCamantE #component #identification #multi
Early Identification of Incompatibilities in Multi-component Upgrades (SM, MDE), pp. 440–464.
RERE-2004-RegevW #approach #requirements
Defining Early IT System Requirements with Regulation Principles: The Lightswitch Approach (GR, AW), pp. 144–153.
ICSEICSE-2004-Nagappan #metric #reliability #testing #towards
Toward a Software Testing and Reliability Early Warning Metric Suite (NN), pp. 60–62.
SATSAT-2004-LewisSB #detection #satisfiability
Early Conflict Detection Based BCP for SAT Solving (MDTL, TS, BB), pp. 29–36.
PODSPODS-2003-DittrichSTW #on the
On producing join results early (JPD, BS, DST, PW), pp. 134–142.
ITiCSEITiCSE-2003-NevisonW #case study #design pattern #education #java #using
Teaching objects early and design patterns in Java using case studies (CN, BW), pp. 94–98.
CHICHI-2003-BaileyK #design #multi #tool support
Are informal tools better?: comparing DEMAIS, pencil and paper, and authorware for early multimedia design (BPB, JAK), pp. 313–320.
CAiSECAiSE-2003-KolpGM #analysis #requirements
Organizational Patterns for Early Requirements Analysis (MK, PG, JM), pp. 617–632.
SEKESEKE-2003-BarberHB #architecture #multi #performance
Early Multi-Level Software Architecture Performance Evaluations (KSB, JH, GB), pp. 561–569.
RERE-2003-CysneirosK #development #usability
Bringing Usability to the Early Stages of Software Development (LMC, AK), p. 359–?.
RERE-2003-FuxmanLPRM #requirements #specification
Specifying and Analyzing Early Requirements: Some Experimental Results (AF, LL, MP, MR, JM), p. 105–?.
ICSEICSE-2003-PrecheltH #architecture #co-evolution #component #experience #scalability
The Co-Evolution of a Hype and a Software Architecture: Experience of Component-Producing Large-Scale EJB Early Adopters (LP, DJH), pp. 553–556.
ICSEICSE-2003-RussellJ #architecture #embedded #evaluation #performance
Embedded Architect: A Tool for Early Performance Evaluation of Embedded Software (JTR, MFJ), pp. 824–825.
CCCC-2003-TouatiE #pipes and filters
Early Control of Register Pressure for Software Pipelined Loops (SAAT, CE), pp. 17–32.
HPCAHPCA-2003-MemikRM
Just Say No: Benefits of Early Cache Miss Determinatio (GM, GR, WHMS), pp. 307–316.
ASEASE-2002-BarberGH #architecture #evaluation #non-functional #using
Enabling Iterative Software Architecture Derivation Using Early Non-Functional Property Evaluation (KSB, TJG, JH), pp. 172–182.
ASEASE-2002-MartinezESP #approach #prototype #requirements #user interface
From Early Requirements to User Interface Prototyping: A Methodological Approach (AM, HE, JS, OP), pp. 257–260.
DATEDATE-2002-LuZKC
Flip-Flop and Repeater Insertion for Early Interconnect Planning (RL, GZ, CKK, KYC), pp. 690–695.
DATEDATE-2002-ThorntonFRT #evaluation #self
Generalized Early Evaluation in Self-Timed Circuits (MAT, KF, RBR, CT), pp. 255–259.
CSEETCSEET-2002-DemuthFH #experience #re-engineering
Experience in Early and Late Software Engineering Project Courses (BD, MF, HH), p. 241–?.
ICSMEICSM-2002-LuciaPSV #estimation #maintenance #process
Early Effort Estimation of Massive Maintenance Processes (ADL, MDP, SS, GV), pp. 234–237.
AdaSIGAda-2002-CareyAW #ada #experience #scalability
The national ignition facility: early operational experience with a large Ada control system (RWC, PJVA, JPW), p. 11.
ICPRICPR-v3-2002-BoomgaardW #analysis #equivalence #estimation #on the #robust
On the Equivalence of Local-Mode Finding, Robust Estimation and Mean-Shift Analysis as Used in Early Vision Tasks (RvdB, JvdW), pp. 927–930.
RERE-2002-FritzhannsK #approach #assessment
Product Management Assessment — A New Approach to Optimize the Early Phases (TF, FK), pp. 124–126.
RERE-2002-RashidSMA #aspect-oriented #requirements
Early Aspects: A Model for Aspect-Oriented Requirements Engineerin (AR, PS, AMDM, JA), pp. 199–202.
ASPLOSASPLOS-2002-JuangOWMPR #case study #design #energy #experience #trade-off
Energy-efficient computing for wildlife tracking: design tradeoffs and early experiences with ZebraNet (PJ, HO, YW, MM, LSP, DR), pp. 96–107.
ASEASE-2001-BarberGH #architecture #automation #development #feedback #model checking
Providing Early Feedback in the Development Cycle Through Automated Application of Model Checking to Software Architectures (KSB, TJG, JH), pp. 341–345.
DACDAC-2001-AlpertHSV #resource management
A Practical Methodology for Early Buffer and Wire Resource Allocation (CJA, JH, SSS, PV), pp. 189–194.
SIGIRSIGIR-2001-VoKM #effectiveness #ranking #termination
Vector-Space Ranking with Effective Early Termination (VNA, OdK, AM), pp. 35–42.
RERE-2001-DorfmanC #experience #industrial #requirements #traceability
Early Experience with Requirements Traceability in an Industrial Environment (MD, RC), p. 265.
RERE-2001-FuxmanMPT #model checking #requirements #specification
Model Checking Early Requirements Specifications in Tropos (AF, JM, MP, PT), pp. 174–181.
DATEDATE-2000-ZuoD #concept #design
An Integrated Design Environment for Early Stage Conceptual Design (JZ, SWD), p. 754.
CSEETCSEET-2000-SobelSSH #education #formal method #re-engineering
Teaching Formal Methods Early in the Software Engineering Curriculum (panel) (AEKS, HS, AMS, PBH), p. 55–?.
ICPRICPR-v2-2000-VarstaHMM #human-computer #interface #performance #set
Evaluating the Performance of Three Feature Sets for Brain-Computer Interfaces with an Early Stopping MLP Committee (MV, JH, JdRM, JM), pp. 2907–2910.
DATEDATE-1999-JacomePRL #design
The Design Space Layer: Supporting Early Design Space Exploration for Core-Based Designs (MFJ, HPP, AR, JCL), pp. 676–683.
KDDKDD-1999-AyanTA #algorithm #performance #scalability
An Efficient Algorithm to Update Large Itemsets with Early Pruning (NFA, AUT, MEA), pp. 287–291.
ICSEICSE-1999-BenlarbiM #metric #morphism #polymorphism #predict #risk management
Polymorphism Measures for Early Risk Prediction (SB, WLM), pp. 334–344.
ICTSSIWTCS-1999-CsurgayM #design #performance #testing
Performance Testing at Early Design Phases (PC, MM), pp. 317–330.
ITiCSEITiCSE-1998-RosenSB #induction #student
An augmented induction for HND computer studies students through an early integrated, inter-disciplinary assignment (CR, DS, JIB), pp. 219–222.
ICPRICPR-1998-RoningR #detection #image
Registration of nevi in successive skin images for early detection of melanoma (JR, MR), pp. 352–357.
ICLPJICSLP-1998-FordanY #towards
Towards Early Projection in CLP(R) (AF, RHCY), pp. 359–360.
KDDKDD-1997-BreitnerSW #database
Process-Based Database Support for the Early Indicator Method (CB, JS, RW), pp. 131–134.
RERE-1997-Yu #modelling #reasoning #requirements #towards
Towards Modeling and Reasoning Support for Early-Phase Requirements Engineering (ESKY), pp. 226–235.
ICSEICSE-1997-JacquotQ #approach #formal method #interface #specification #towards
Early Specification of User-Interfaces: Toward a Formal Approach (JPJ, DQ), pp. 150–160.
DACDAC-1996-LidskyR #web
Early Power Exploration — A World Wide Web Application (DL, JMR), pp. 27–32.
TACASTACAS-1996-Holzmann #detection #fault #tool support
Early Fault Detection Tools (GJH), pp. 1–13.
ICSMEICSM-1996-WildeC #comprehension #experience
Early field experience with the Software Reconnaissance technique for program comprehension (NW, CC), pp. 312–318.
WCREWCRE-1996-WildeC #comprehension #experience
Early Field Experience with the Software Recounaissance Technique for Program Comprehension (NW, CC), pp. 270–276.
ICPRICPR-1996-FellenzH #visual notation
Preattentive grouping and attentive selection for early visual computation (WAF, GH), pp. 340–345.
ICPRICPR-1996-GongM
Nonlinear diffusion for early vision (GG, SM), pp. 403–406.
ICPRICPR-1996-HuangHH #adaptation #estimation #performance #video
Adaptive early jump-out technique for fast motion estimation in video coding (HCH, YPH, WLH), pp. 864–868.
KDDKDD-1996-WirthR #approach #database #detection #multi
Detecting Early Indicator Cars in an Automotive Database: A Multi-Strategy Approach (RW, TPR), pp. 76–81.
SEKESEKE-1996-HsiaLK #approach #sequence #testing
An Early Warning Approach for Method Sequence Testing (PH, XL, DCK), pp. 330–337.
REICRE-1996-JonesB #elicitation #information management #interactive #multi #requirements
Early elicitation and definition of requirements for an interactive multimedia information system (SJ, CB), pp. 12–20.
ICLPJICSLP-1996-FordanGN #constraints #optimisation #problem #using
Optimizing Constraint-Intensive Problems Using Early Projection (Poster Abstract) (AF, UG, AN), p. 537.
CHICHI-1995-LandayM #design #interactive #sketching #user interface
Interactive Sketching for the Early Stages of User Interface Design (JAL, BAM), pp. 43–50.
HCIHCI-ACS-1993-HollywellH #set #validation #verification
A Tool Set for the Verification and Early Validation of a Control Room Computer-Based Display System for Sizewell “B” Nuclear Power Station (PDH, EMH), pp. 133–138.
HCIHCI-SHI-1993-Jones #3d #diagrams #estimation #information management
3-D Diagrams for Knowledge Engineering: An Early Estimation of Utility (SJ), pp. 561–566.
ICSEICSE-1993-BriandTH #development #modelling
Modeling and Managing Risk Early in Software Development (LCB, WMT, CJH), pp. 55–65.
PPoPPPPoPP-1993-KranzJAKL #experience #message passing
Integrating Message-Passing and Shared-Memory: Early Experience (DAK, KLJ, AA, JK, BHL), pp. 54–63.
TLCATLCA-1993-CastagnaGL #calculus #semantics
A Semantics for λ&-early: A Calculus with Overloading and Early Binding (GC, GG, GL), pp. 107–123.
CSEETSEI-1990-Santhanam #education #reuse
Teaching Reuse Early (VS), pp. 77–84.
ICSEICSE-1988-TerwilligerC
An Early Report on Encompass (RBT, RHC), pp. 344–355.
DACDAC-1986-Lamey #design #prototype #verification
Early verification of prototype tooling for IC designs (PL), pp. 819–822.
CSCWCSCW-1986-StefikBLTF #case study #experience #multi #user interface
WYSIWIS revised: early experiences with multi-user interfaces (MS, DGB, SL, DGT, GF), pp. 276–290.
DACDAC-1985-Simmons #design #prototype #tutorial #verification
Early verification of prototype tooling for IC designs (tutorial) (JPSJ), p. 161.
LISPLFP-1984-Stoyan #lisp
Early LISP History (1956-1959) (HS), pp. 299–310.
ICSEICSE-1982-ManoOT #case study #experience #multi #programming
Early Experiences with a Multi-Display Programming Environment (YM, KO, KT), pp. 422–423.
ICSEICSE-1981-WortmanC #case study #experience
Early Experiences with Euclid (DBW, JRC), pp. 27–33.
FMVDM-1978-Lucas #formal method #on the #programming language
On the Formalization of Programming Languages: Early History and Main Approaches (PL), pp. 1–23.

Bibliography of Software Language Engineering in Generated Hypertext (BibSLEIGH) is created and maintained by Dr. Vadim Zaytsev.
Hosted as a part of SLEBOK on GitHub.