BibSLEIGH
BibSLEIGH corpus
BibSLEIGH tags
BibSLEIGH bundles
BibSLEIGH people
CC-BY
Open Knowledge
XHTML 1.0 W3C Rec
CSS 2.1 W3C CanRec
email twitter
Used together with:
system (15)
specif (14)
softwar (13)
program (13)
design (11)

Stem synthes$ (all stems)

148 papers:

DATEDATE-2015-ThomasFCG #hardware
Transparent linking of compiled software and synthesized hardware (DBT, STF, GAC, DRG), pp. 1084–1089.
SIGMODSIGMOD-2015-RablDFSJ #big data
Just can’t get enough: Synthesizing Big Data (TR, MD, MF, SS, HAJ), pp. 1457–1462.
TACASTACAS-2015-DemasiCRMA #fault tolerance #named #source code #specification
syntMaskFT: A Tool for Synthesizing Masking Fault-Tolerant Programs from Deontic Specifications (RD, PFC, NR, TSEM, NA), pp. 188–193.
PLDIPLDI-2015-FeserCD #data type
Synthesizing data structure transformations from input-output examples (JKF, SC, ID), pp. 229–239.
PLDIPLDI-2015-PrountzosMP #automation #graph #parallel #source code
Synthesizing parallel graph programs via automated planning (DP, RM, KP), pp. 533–544.
PLDIPLDI-2015-SamakRJ #testing
Synthesizing racy tests (MS, MKR, SJ), pp. 175–185.
SASSAS-2015-GargR #integer #linear #programming
Synthesizing Heap Manipulations via Integer Linear Programming (AG, SR), pp. 109–127.
MoDELSMoDELS-2015-MannaSG #combinator #specification #testing
Synthesizing tests for combinatorial coverage of modal scenario specifications (VPLM, IS, JG), pp. 126–135.
OOPSLAOOPSLA-2015-GveroK #java #query
Synthesizing Java expressions from free-form queries (TG, VK), pp. 416–432.
ESEC-FSEESEC-FSE-2015-SamakR #detection #testing
Synthesizing tests for detecting atomicity violations (MS, MKR), pp. 131–142.
SPLCSPLC-2015-DudderRH #composition #design #staged #type safety #using
Synthesizing type-safe compositions in feature oriented software designs using staged composition (BD, JR, GTH), pp. 398–401.
VLDBVLDB-2014-LiXZJ #named #privacy
DPSynthesizer: Differentially Private Data Synthesizer for Privacy Preserving Data Sharing (HL, LX, LZ, XJ), pp. 1677–1680.
TACASTACAS-2014-GurfinkelBM #invariant
Synthesizing Safe Bit-Precise Invariants (AG, AB, JMS), pp. 93–108.
ICALPICALP-v1-2014-Kuncak #recursion #verification
Verifying and Synthesizing Software with Recursive Functions — (Invited Contribution) (VK), pp. 11–25.
SEFMSEFM-2014-ChristakisMW #detection #invariant #testing
Synthesizing Parameterized Unit Tests to Detect Object Invariant Violations (MC, PM, VW), pp. 65–80.
HCIHCI-AIMT-2014-PotidisS #composition #named #user interface
Spyractable: A Tangible User Interface Modular Synthesizer (SP, TS), pp. 600–611.
ICPRICPR-2014-PanagiotakisAM #animation #novel #segmentation
Temporal Segmentation and Seamless Stitching of Motion Patterns for Synthesizing Novel Animations of Periodic Dances (CP, AAA, DM), pp. 1892–1897.
ICSEICSE-2014-BagheriTS #automation #dynamic analysis #named
TradeMaker: automated dynamic analysis of synthesized tradespaces (HB, CT, KJS), pp. 106–116.
CAVCAV-2014-PaolettiYHWK #logic
Analyzing and Synthesizing Genomic Logic Functions (NP, BY, YH, CMW, HK), pp. 343–357.
ISSTAISSTA-2014-BaudryAM #program transformation #source code
Tailored source code transformations to synthesize computationally diverse program variants (BB, SA, MM), pp. 149–159.
ISSTAISSTA-2014-GalindoAABB #approach #sequence #testing #variability #video
A variability-based testing approach for synthesizing video sequences (JAG, MA, MA, BB, DB), pp. 293–303.
ASEASE-2013-Demasi #fault tolerance #logic #source code #specification
Synthesizing fault-tolerant programs from deontic logic specifications (RD), pp. 750–753.
ASEASE-2013-ZhangS #automation #query #sql
Automatically synthesizing SQL queries from input-output examples (SZ, YS), pp. 224–234.
CHICHI-2013-AndersenGP #education #framework
A trace-based framework for analyzing and synthesizing educational progressions (EA, SG, ZP), pp. 773–782.
SEKESEKE-2013-ChaoY #analysis #order #reachability #scalability
A Best Method to Synthesize Very Large K-th Order Systems without Reachability Analysis (S) (DYC, THY), pp. 417–420.
GPCEGPCE-2013-KurilovaR #data type #linked data #on the #open data
On the simplicity of synthesizing linked data structure operations (DK, DR), pp. 155–158.
ESEC-FSEESEC-FSE-2013-GreenyerBCHG #incremental #product line #specification
Incrementally synthesizing controllers from scenario-based product line specifications (JG, CB, MC, PH, EG), pp. 433–443.
DACDAC-2012-HaoRX #behaviour #equivalence #pipes and filters
Equivalence checking for behaviorally synthesized pipelines (KH, SR, FX), pp. 344–349.
FoSSaCSFoSSaCS-2012-NainV #probability
Synthesizing Probabilistic Composers (SN, MYV), pp. 421–436.
PLDIPLDI-2012-GrebenshchikovLPR #proving #verification
Synthesizing software verifiers from proof rules (SG, NPL, CP, AR), pp. 405–416.
FMFM-2012-NedunuriSC #algorithm #performance
Theory and Techniques for Synthesizing Efficient Breadth-First Search Algorithms (SN, DRS, WRC), pp. 308–325.
FMFM-2012-ZhaoZKL #approach #case study #hybrid #industrial
A “Hybrid” Approach for Synthesizing Optimal Controllers of Hybrid Systems: A Case Study of the Oil Pump Industrial Example (HZ, NZ, DK, KGL), pp. 471–485.
KRKR-2012-FelliGL #ltl #multi #protocol #specification
Synthesizing Agent Protocols From LTL Specifications Against Multiple Partially-Observable Environments (PF, GDG, AL).
SEKESEKE-2012-LobatoMNAM #risk management #synthesis
Synthesizing Evidence on Risk Management: A Narrative Synthesis of two Mapping Studies (LLL, IdCM, PAdMSN, ESdA, SRdLM), pp. 641–646.
OOPSLAOOPSLA-2012-PrountzosMP #concurrent #graph #named #source code
Elixir: a system for synthesizing concurrent graph programs (DP, RM, KP), pp. 375–394.
GPCEGPCE-2012-RaysideMLYXJ #abstraction
Synthesizing iterators from abstraction functions (DR, VM, FL, AY, KX, DJ), pp. 31–40.
ICSEICSE-2012-AstromskisJM #approach #modelling #named
Egidio: A non-invasive approach for synthesizing organizational models (SA, AJ, ARM), pp. 1465–1466.
ICSEICSE-2012-BuseW #api
Synthesizing API usage examples (RPLB, WW), pp. 782–792.
ICSEICSE-2012-KeenanCLCSMGPMHDMHH #named #research #traceability
TraceLab: An experimental workbench for equipping researchers to innovate, synthesize, and comparatively evaluate traceability solutions (EK, AC, GL, JCH, YS, EM, MG, DP, JIM, JHH, AD, DM, SH, DH), pp. 1375–1378.
CAVCAV-2012-BodikT #constraints #source code #theorem proving
Synthesizing Programs with Constraint Solvers (RB, ET), p. 3.
CAVCAV-2012-SinghG
Synthesizing Number Transformations from Input-Output Examples (RS, SG), pp. 634–651.
VMCAIVMCAI-2012-ChatterjeeR #contract #protocol
Synthesizing Protocols for Digital Contract Signing (KC, VR), pp. 152–168.
VMCAIVMCAI-2012-EssenJ #performance
Synthesizing Efficient Controllers (CvE, BJ), pp. 428–444.
DRRDRR-2011-ChengL #parametricity #variability
Parameter calibration for synthesizing realistic-looking variability in offline handwriting (WC, DPL), pp. 1–10.
VLDBVLDB-2011-NguyenFPFA #online
Synthesizing Products for Online Catalogs (HN, AF, SP, JF, RA), pp. 409–418.
PLDIPLDI-2011-GulwaniKT #geometry
Synthesizing geometry constructions (SG, VAK, AT), pp. 50–61.
CSCWCSCW-2011-GutwinSXB #distributed
Chalk sounds: the effects of dynamic synthesized audio on workspace awareness in distributed groupware (CG, OSS, RX, SAB), pp. 85–94.
SIGIRSIGIR-2011-JainOV #query #web
Synthesizing high utility suggestions for rare web search queries (AJ, UO, EV), pp. 805–814.
OOPSLAOOPSLA-2011-ThummalapentaXTHS #sequence #testing
Synthesizing method sequences for high-coverage testing (ST, TX, NT, JdH, ZS), pp. 189–206.
SACSAC-2011-YukselBK #algorithm #estimation #framework #parametricity #search-based
A software platform for genetic algorithms based parameter estimation on digital sound synthesizers (KAY, BB, HK), pp. 1088–1089.
ESEC-FSEESEC-FSE-2011-SinghS #data type
Synthesizing data structure manipulations from storyboards (RS, ASL), pp. 289–299.
ICSEICSE-2011-McMillan #source code
Searching, selecting, and synthesizing source code (CM), pp. 1124–1125.
SPLCSPLC-2011-ZhangHM #comparison #difference #modelling #product line
Model Comparison to Synthesize a Model-Driven Software Product Line (XZ, ØH, BMP), pp. 90–99.
ASPLOSASPLOS-2011-NguyenP #algorithm #concurrent
Synthesizing concurrent schedulers for irregular algorithms (DN, KP), pp. 333–344.
CAVCAV-2011-HangMP #architecture #constraints #cyber-physical #modelling #realtime
Synthesizing Cyber-Physical Architectural Models with Real-Time Constraints (CH, PM, VP), pp. 441–456.
CAVCAV-2011-KuglerPR #biology
Synthesizing Biological Theories (HK, CP, AR), pp. 579–584.
CSLCSL-2011-Madhusudan #source code
Synthesizing Reactive Programs (PM), pp. 428–442.
DATEDATE-2010-GeisNRRVC
An 11.6-19.3mW 0.375-13.6GHz CMOS frequency synthesizer with rail-to-rail operation (AG, PN, JR, YR, GV, JC), pp. 697–701.
PLDIPLDI-2010-LeeWHGM #debugging #detection #interface #named
Jinn: synthesizing dynamic bug detectors for foreign language interfaces (BL, BW, MH, RG, KSM), pp. 36–49.
SEFMSEFM-2010-GallerWW #contract #design
Synthesize It: From Design by Contract to Meaningful Test Input Data (SJG, MW, FW), pp. 286–295.
ICSEICSE-2010-MusilSWB #education #game studies #prototype #what
Synthesized essence: what game jams teach about prototyping of new software products (JM, AS, DW, SB), pp. 183–186.
ICSEICSE-2010-Zhang #product line
Synthesize software product line (XZ), pp. 341–342.
CAVCAV-2010-ChatterjeeHJS #probability
Measuring and Synthesizing Systems in Probabilistic Environments (KC, TAH, BJ, RS), pp. 380–395.
ICSTSAT-2010-FuhsS #linear #satisfiability #source code #using
Synthesizing Shortest Linear Straight-Line Programs over GF(2) Using SAT (CF, PSK), pp. 71–84.
DACDAC-2009-RaabeB #hardware #sketching
Synthesizing hardware from sketches (AR, RB), pp. 623–624.
ICDARICDAR-2009-Rodriguez-SerranoP #image #query #retrieval #word
Handwritten Word Image Retrieval with Synthesized Typed Queries (JARS, FP), pp. 351–355.
FMFM-2009-BonakdarpourK #bound #complexity #on the
On the Complexity of Synthesizing Relaxed and Graceful Bounded-Time 2-Phase Recovery (BB, SSK), pp. 660–675.
ESEC-FSEESEC-FSE-2009-KrkaBEM #behaviour #component #modelling #specification
Synthesizing partial component-level behavior models from system specifications (IK, YB, GE, NM), pp. 305–314.
ICSEICSE-2009-GhezziMM #behaviour #graph transformation #modelling
Synthesizing intensional behavior models by graph transformation (CG, AM, MM), pp. 430–440.
VMCAIVMCAI-2009-TalyGT #constraints #logic #theorem proving #using
Synthesizing Switching Logic Using Constraint Solving (AT, SG, AT), pp. 305–319.
CASECASE-2008-Kress-GazitP #automation #challenge
Automatically synthesizing a planning and control subsystem for the DARPA urban challenge (HKG, GJP), pp. 766–771.
DATEDATE-2008-HooverB #network
Synthesizing Synchronous Elastic Flow Networks (GH, FB), pp. 306–311.
PODSPODS-2008-FanGGNP #complexity #composition #web #web service
Complexity and composition of synthesized web services (WF, FG, WG, FN, AP), pp. 231–240.
CHICHI-2008-EliasEFH
Do I live in a flood basin?: synthesizing ten thousand maps (ME, JE, DF, JH), pp. 255–264.
CHICHI-2008-Murray-SmithWHQ #named
Stane: synthesized surfaces for tactile input (RMS, JW, SH, TQ), pp. 1299–1302.
ICPRICPR-2008-RothausRJ #3d
Synthesizing 3D videos by a motion-conditioned background mosaic (SR, KR, XJ), pp. 1–4.
ICPRICPR-2008-ZengLH #analysis
Analysis on two fishermethods and a synthesized discriminant projection (ZZ, CL, LH), pp. 1–4.
ASEASE-2007-CaiGH #crawling #modelling #performance #web
Synthesizing client load models for performance engineering via web crawling (YC, JCG, JGH), pp. 353–362.
DACDAC-2007-FettBR
Synthesizing Stochasticity in Biochemical Systems (BF, JB, MDR), pp. 640–645.
DACDAC-2007-LongS #verification
Synthesizing SVA Local Variables for Formal Verification (JL, AS), pp. 75–80.
DATEDATE-2007-HuangMW #design #modelling #simulation
Modeling and simulation to the design of SigmaDelta fractional-N frequency synthesizer (SH, HM, ZW), pp. 291–296.
CAVCAV-2007-MalerNP #bound #on the
On Synthesizing Controllers from Bounded-Response Properties (OM, DN, AP), pp. 95–107.
ICEISICEIS-ISAS-2006-GervaisBFL #database #named #relational #transaction
EB3TG: A Tool Synthesizing Relational Database Transactions from EB3 Attribute Definitions (FG, PB, MF, RL), pp. 44–51.
ICPRICPR-v1-2006-DjiouaOP #interactive #recognition #verification
An interactive trajectory synthesizer to study outlier patterns in handwriting recognition and signature verification (MD, CO, RP), pp. 1124–1127.
ICPRICPR-v2-2006-CaoF
Synthesizing Reflections of Inserted Objects (XC, HF), pp. 1225–1228.
LCTESLCTES-2006-PandeyW #component #constraints #named #scalability
BOTS: a constraint-based component system for synthesizing scalable software systems (RP, JW), pp. 189–198.
LCTESLCTES-2006-ProchnowTH #state machine
Synthesizing safe state machines from Esterel (SP, CT, RvH), pp. 113–124.
ICDARICDAR-2005-MiyaoMNH #online #recognition
Off-Line Handwritten Character Recognition by SVM on the Virtual Examples Synthesized from On-Line Characters (HM, MM, YN, TH), pp. 494–498.
IFMIFM-2005-GervaisFL #specification
Synthesizing B Specifications from EB3 Attribute Definitions (FG, MF, RL), pp. 207–226.
DACDAC-2004-MohiyuddinPAW
Synthesizing interconnect-efficient low density parity check codes (MM, AP, AA, WW), pp. 488–491.
DATEDATE-v2-2004-LanD #analysis #modelling #synthesis
Synthesized Compact Models (SCM) of Substrate Noise Coupling Analysis and Synthesis in Mixed-Signal ICs (HL, RWD), pp. 836–843.
HPCAHPCA-2004-ZhangSFGZN
Synthesizing Representative I/O Workloads for TPC-H (JZ, AS, HF, NG, YZ, SN), pp. 142–151.
DACDAC-2003-LauP #algorithm #design #using
Fractional-N frequency synthesizer design at the transfer function level using a direct closed loop realization algorithm (CYL, MHP), pp. 526–531.
DACDAC-2003-RenG #performance
Synthesizing optimal filters for crosstalk-cancellation for high-speed buses (JR, MRG), pp. 592–597.
DATEDATE-2003-ChangKWH #named
G-MAC: An Application-Specific MAC/Co-Processor Synthesizer (ACYC, WAK, ACHW, TH), pp. 11134–11135.
FMFME-2003-RosuELM #equation #proving
Certifying and Synthesizing Membership Equational Proofs (GR, SE, PL, JM), pp. 359–380.
ASEASE-2002-RosuW #towards
Towards Certifying Domain-Specific Properties of Synthesized Code (GR, JW), pp. 289–294.
DACDAC-2002-Perrott #behaviour #performance #simulation
Fast and accurate behavioral simulation of fractional-N frequency synthesizers and other PLL/DLL circuits (MHP), pp. 498–503.
TACASTACAS-2002-HavelundR #monitoring #safety
Synthesizing Monitors for Safety Properties (KH, GR), pp. 342–356.
CIAACIAA-J-2000-HarelK02 #object-oriented #specification
Synthesizing State-Based Object Systems from LSC Specifications (DH, HK), pp. 5–51.
FMFME-2002-WhalenSF
Synthesizing Certified Code (MWW, JS, BF), pp. 431–450.
ICPRICPR-v3-2002-MizunoOTY
Improvement of the Virtual Printing Scheme for Synthesizing Ukiyo-e (SM, MO, JiT, SY), p. 1043–?.
LOPSTRLOPSTR-2002-Martin-MateosAHR #framework #verification
Verification in ACL2 of a Generic Framework to Synthesize SAT-Provers (FJMM, JAA, MJH, JLRR), pp. 182–198.
DACDAC-2001-JaniszewskiHM #design #performance #reuse
VHDL-Based Design and Design Methodology for Reusable High Performance Direct Digital Frequency Synthesizers (IJ, BH, HM), pp. 573–578.
DATEDATE-2001-GarnicaLH #power management #pseudo
A pseudo delay-insensitive timing model to synthesizing low-power asynchronous circuits (OG, JL, RH), p. 810.
DATEDATE-2001-TeicaRV #automation #design #on the #using #verification
On the verification of synthesized designs using automatically generated transformational witnesses (ET, RR, RV), p. 798.
ICSEICSE-2001-MakinenS #behaviour #interactive #modelling #named #uml
MAS — An Interactive Synthesizer to Support Behavioral Modeling in UML (EM, TS), pp. 15–24.
LICSLICS-2001-KupfermanV #distributed
Synthesizing Distributed Systems (OK, MYV), pp. 389–398.
WCREWCRE-2000-GannodML #adaptation #approach #architecture #legacy
An Architectural-based Approach for Synthesizing and Integrating Adapters for Legacy Software (GCG, SVM, TEL), p. 128–?.
CIAACIAA-2000-HarelK #object-oriented #specification
Synthesizing State-Based Object Systems from LSC Specifications (DH, HK), pp. 1–33.
DACDAC-1999-HuangL #embedded #named
ICEBERG: An Embedded In-Circuit Emulator Synthesizer for Microcontrollers (IJH, TAL), pp. 580–585.
DATEDATE-1999-NicoliciA #hardware #performance
Efficient BIST Hardware Insertion with Low Test Application Time for Synthesized Data Paths (NN, BMAH), p. 289–?.
DATEDATE-1999-Wakabayashi #behaviour #case study #experience #synthesis
C-based Synthesis Experiences with a Behavior Synthesizer, “Cyber” (KW), p. 390–?.
HCIHCI-EI-1999-ChenYL #image
Synthesizing Ideal Product Shapes by Image Morphing (LLC, SHY, JWL), pp. 730–734.
HCIHCI-EI-1999-LuSUI
A New Method to Synthesize Japanese Sign Language Based on Intuitive Motion Primitives (SL, HS, TU, SI), pp. 441–445.
ECOOPECOOP-1999-CzarneckiE
Synthesizing Objects (KC, UWE), pp. 18–42.
ASEASE-1998-BaalenRLP
Explaining Synthesized Software (JVB, PR, MRL, TP), pp. 240–248.
ASEASE-1998-LeueMR #architecture #sequence chart #specification
Synthesizing Software Architecture Descriptions from Message Sequence Chart Specifications (SL, LM, MR), pp. 192–195.
DACDAC-1998-PandaDENB #design #incremental #migration #named
Migration: A New Technique to Improve Synthesized Designs Through Incremental Customization (RP, AD, TE, JN, DB), pp. 388–391.
DACDAC-1998-SeawrightM #clustering #optimisation
Partitioning and Optimizing Controllers Synthesized from Hierarchical High-Level Descriptions (AS, WM), pp. 770–775.
DATEDATE-1998-HamiltonO #concurrent #fault #latency
Concurrent Error Recovery with Near-Zero Latency in Synthesized ASICs (SNH, AO), pp. 604–609.
DATEDATE-1998-TanFY #design
The Design of an Asynchronous VHDL Synthesizer (SYT, SBF, WFY), pp. 44–51.
ECOOPECOOP-1998-KrishnamurthiFF #design #functional #object-oriented
Synthesizing Object-Oriented and Functional Design to Promote Re-Use (SK, MF, DPF), pp. 91–113.
LOPSTRLOPSTR-1998-Wolper #algorithm
Algorithms for Synthesizing Reactive Systems: A Perspective (Abstract) (PW), p. 308.
ASEASE-1997-SrivastavaKM #approach #specification
A Structured Approach for Synthesizing Planners from Specifications (BS, SK, ADM), pp. 18–27.
HPCAHPCA-1997-AugustCGH #architecture #branch #predict
Architectural Support for Compiler-Synthesized Dynamic Branch Prediction Strategies: Rationale and Initial Results (DIA, DAC, JCG, WmWH), pp. 84–93.
DACDAC-1996-KudvaGJ #distributed
A Technique for Synthesizing Distributed Burst-mode Circuits (PK, GG, HMJ), pp. 67–70.
DACDAC-1995-ParulkarGB #design
Data Path Allocation for Synthesizing RTL Designs with Low BIST Area Overhead (IP, SKG, MAB), pp. 395–401.
ASEKBSE-1994-Balmas #concept #pattern matching #source code
An Augmented Pattern Matcher as a Tool to Synthesize Conceptual Descriptions of Programs (FB), pp. 150–157.
ASEKBSE-1994-MintonW #machine learning #source code #using
Using Machine Learning to Synthesize Search Programs (SM, SRW), pp. 31–38.
CHIINTERCHI-1993-Gaver
Synthesizing auditory icons (WWG), pp. 228–235.
ICLPILPS-1993-Bsaies #automation #logic programming #performance #source code
Automated Derivation of Efficient Logic Programs by Synthesizing Eureka Properties (KB), p. 633.
DACDAC-1991-PaterasR #correlation #generative #multi #random #testing
Generation of Correlated Random Patterns for the Complete Testing of Synthesized Multi-level Circuits (SP, JR), pp. 347–352.
DACDAC-1991-WilliamsUM #network #testing
The Interdependence Between Delay-Optimization of Synthesized Networks and Testing (TWW, BU, MRM), pp. 87–92.
ASEKBSE-1991-Setliff #using
Using Domain Knowledge to Synthesize Routing Software (DES), pp. 77–82.
ICMLML-1991-OliveiraS #concept #learning #network
Learning Concepts by Synthesizing Minimal Threshold Gate Networks (ALO, ALSV), pp. 193–197.
ICLPISLP-1991-Kawamura #logic programming #performance #source code
Derivation of Efficient Logic Programs by Synthesizing New Predicates (TK), pp. 611–625.
DACDAC-1990-Chakravarty #identification #on the
On Synthesizing and Identifying Stuck-Open Testable CMOS Combinational Circuits (extended abstract) (SC), pp. 736–739.
VLDBVLDB-1990-Qian #database #transaction
Synthesizing Database Transactions (XQ), pp. 552–565.
CAVCAV-1990-Wong-ToiD #process #specification
Synthesizing Processes and Schedulers from Temporal Specifications (HWT, DLD), pp. 272–281.
DACDAC-1989-ChenC #automation #layout
The Layout Synthesizer: An Automatic Netlist-to-Layout System (CCC, SLC), pp. 232–238.
KRKR-1989-Rosenschein #automaton
Synthesizing Information-Tracking Automata from Environment Descriptions (SJR), pp. 386–393.
DACDAC-1988-HouOI #named
DECOMPOSER: A Synthesizer for Systolic Systems (PPH, RMO, MJI), pp. 650–653.
DACDAC-1987-Subrahmanyam #deduction #named
LCS — A Leaf Cell Synthesizer Employing Formal Deduction Techniques (PAS), pp. 459–465.
DACDAC-1986-GregoryBGH #automation #logic #named #optimisation
SOCRATES: a system for automatically synthesizing and optimizing combinational logic (DG, KAB, AJdG, GDH), pp. 79–85.
DACDAC-1985-RamayyaKP #automation #canonical
An automated data path synthesizer for a canonic structure, implementable in VLSI (KR, AK, SP), pp. 381–387.
DACDAC-1981-Brown #state machine
A State-Machine Synthesizer — SMS (DWB), pp. 301–305.
SIGMODSIGMOD-1979-BiskupDB #database #independence
Synthesizing Independent Database Schemas (JB, UD, PAB), pp. 143–151.

Bibliography of Software Language Engineering in Generated Hypertext (BibSLEIGH) is created and maintained by Dr. Vadim Zaytsev.
Hosted as a part of SLEBOK on GitHub.