BibSLEIGH
BibSLEIGH corpus
BibSLEIGH tags
BibSLEIGH bundles
BibSLEIGH people
CC-BY
Open Knowledge
XHTML 1.0 W3C Rec
CSS 2.1 W3C CanRec
email twitter
Used together with:
use (27)
base (23)
algorithm (18)
arm (17)
power (17)

Stem dual$ (all stems)

231 papers:

CASECASE-2015-PanZQ #clustering #how #process #tool support
How to start-up dual-arm cluster tools involving a wafer revisiting process (CP, MZ, YQ), pp. 1194–1199.
DATEDATE-2015-BortolottiMBRSB #monitoring #power management
An ultra-low power dual-mode ECG monitor for healthcare and wellness (DB, MM, AB, RR, GS, LB), pp. 1611–1616.
ICALPICALP-v1-2015-BhattacharyaHI #algorithm #design
Design of Dynamic Algorithms via Primal-Dual Method (SB, MH, GFI), pp. 206–218.
HCILCT-2015-Chu #editing
Dual-Coding Strategy for the Chinese Characters Learners: Chinese PCS Editor (CNC), pp. 43–49.
ICMLICML-2015-BachHBG #learning #performance
Paired-Dual Learning for Fast Training of Latent Variable Hinge-Loss MRFs (SHB, BH, JLBG, LG), pp. 381–390.
ICMLICML-2015-CsibaQR #adaptation #coordination #probability
Stochastic Dual Coordinate Ascent with Adaptive Probabilities (DC, ZQ, PR), pp. 674–683.
ICMLICML-2015-HsiehYD #named #parallel #probability
PASSCoDe: Parallel ASynchronous Stochastic dual Co-ordinate Descent (CJH, HFY, ISD), pp. 2370–2379.
ICMLICML-2015-LeeR #distributed #linear #optimisation #polynomial
Distributed Box-Constrained Quadratic Optimization for Dual Linear SVM (CPL, DR), pp. 987–996.
ICMLICML-2015-MaSJJRT #distributed #optimisation
Adding vs. Averaging in Distributed Primal-Dual Optimization (CM, VS, MJ, MIJ, PR, MT), pp. 1973–1982.
ICMLICML-2015-Yang0JZ15a #random #reduction
Theory of Dual-sparse Regularized Randomized Reduction (TY, LZ, RJ, SZ), pp. 305–314.
ICMLICML-2015-ZhangL #coordination #empirical #probability
Stochastic Primal-Dual Coordinate Method for Regularized Empirical Risk Minimization (YZ, XL), pp. 353–361.
KDDKDD-2015-TranHXFB #coordination #probability #scalability
Scaling Up Stochastic Dual Coordinate Ascent (KT, SH, LX, TF, MB), pp. 1185–1194.
KDDKDD-2015-ZhuYH #clustering #optimisation #predict
Co-Clustering based Dual Prediction for Cargo Pricing Optimization (YZ, HY, JH), pp. 1583–1592.
SACSAC-2015-KimK #scalability #using
Dual region write buffering: making large-scale nonvolatile buffer using small capacitor in SSD (DK, SK), pp. 2039–2046.
ASPLOSASPLOS-2015-KimKSZX #comparison #execution #fine-grained #on the fly
Dual Execution for On the Fly Fine Grained Execution Comparison (DK, YK, WNS, XZ, DX), pp. 325–338.
CADECADE-2015-TiwariGD #synthesis #using
Program Synthesis Using Dual Interpretation (AT, AG, BD), pp. 482–497.
ICLPICLP-J-2015-FichteTW #logic programming #source code
Dual-normal logic programs — the forgotten class (JKF, MT, SW), pp. 495–510.
CASECASE-2014-CunninghamKSWKBW #mobile #named
Jamster: A mobile dual-arm assistive robot with Jamboxx control (AC, WKH, US, DW, DK, JB, JTW), pp. 509–514.
CASECASE-2014-LangJLX #estimation
A dual-infrared sensing system for occupant distribution estimation in buildings (ZL, QSJ, YL, XX), pp. 960–965.
CASECASE-2014-LiWGC #assembly #case study
A pilot study of dual 7-axis -arm robot in small part assembly application (QL, GW, HG, ZC), pp. 196–201.
DACDAC-2014-XiangQZLYSL #generative
Row Based Dual-VDD Island Generation and Placement (HX, HQ, CZ, YSL, FY, AS, PFL), p. 6.
STOCSTOC-2014-KesselheimTRV #online
Primal beats dual on online packing LPs in the random-order model (TK, KR, AT, BV), pp. 303–312.
DLTDLT-J-2013-DayRS14 #on the #problem
On the Dual Post Correspondence Problem (JDD, DR, JCS), pp. 1033–1048.
CHICHI-2014-SondereggerUPS #testing #usability
The influence of aesthetics in usability testing: the case of dual-domain products (AS, AU, MP, JSS), pp. 21–30.
HCIHCI-AIMT-2014-ErikssonLSSK #interface #question
Can User-Paced, Menu-free Spoken Language Interfaces Improve Dual Task Handling While Driving? (AE, AL, AS, AS, KK), pp. 394–405.
HCILCT-NLE-2014-Kim #feedback #learning #self #simulation
Simulation Training in Self-Regulated Learning: Investigating the Effects of Dual Feedback on Dynamic Decision-Making Tasks (JHK), pp. 419–428.
HCILCT-NLE-2014-UzunosmanogluC #collaboration #learning #online #paradigm
Examining an Online Collaboration Learning Environment with the Dual Eye-Tracking Paradigm: The Case of Virtual Math Teams (SDU, MPÇ), pp. 462–472.
CAiSECAiSE-2014-NeumayrJSS #concept #implementation
Dual Deep Instantiation and Its ConceptBase Implementation (BN, MAJ, MS, CGS), pp. 503–517.
CIKMCIKM-2014-FangQHZ #bibliography #composition #ranking #sentiment #summary #using
Ranking Sentiment Explanations for Review Summarization Using Dual Decomposition (LF, QQ, MH, XZ), pp. 1931–1934.
CIKMCIKM-2014-YaoTYXZSL #collaboration
Dual-Regularized One-Class Collaborative Filtering (YY, HT, GY, FX, XZ, BKS, JL), pp. 759–768.
ICMLICML-c1-2014-Shalev-Shwartz0 #coordination #probability
Accelerated Proximal Stochastic Dual Coordinate Ascent for Regularized Loss Minimization (SSS, TZ), pp. 64–72.
ICMLICML-c1-2014-Suzuki #coordination #multi #probability
Stochastic Dual Coordinate Ascent with Alternating Direction Method of Multipliers (TS), pp. 736–744.
ICMLICML-c2-2014-GaboardiAHRW #query
Dual Query: Practical Private Query Release for High Dimensional Data (MG, EJGA, JH, AR, ZSW), pp. 1170–1178.
ICPRICPR-2014-GienTCL #fuzzy #learning #multi #predict
Dual Fuzzy Hypergraph Regularized Multi-label Learning for Protein Subcellular Location Prediction (JG, YYT, CLPC, YL), pp. 512–516.
ICPRICPR-2014-MoeiniMAF #2d #3d #image #invariant #modelling #recognition #women
Makeup-Invariant Face Recognition by 3D Face: Modeling and Dual-Tree Complex Wavelet Transform from Women’s 2D Real-World Images (AM, HM, FA, KF), pp. 1710–1715.
KDDKDD-2014-RossCCD #clustering #process
Dual beta process priors for latent cluster discovery in chronic obstructive pulmonary disease (JCR, PJC, MHC, JGD), pp. 155–162.
KDDKDD-2014-YangH #learning #parametricity
Learning with dual heterogeneity: a nonparametric bayes model (HY, JH), pp. 582–590.
KEODKEOD-2014-LietoMPRF #architecture #ontology #process
A Dual Process Architecture for Ontology-based Systems (AL, AM, AP, DPR, MF), pp. 48–55.
SIGIRSIGIR-2014-LuoZY #game studies #probability
Win-win search: dual-agent stochastic game in session search (JL, SZ, HY), pp. 587–596.
SIGIRSIGIR-2014-YaoHHZ #modelling #recommendation #trust
Modeling dual role preferences for trust-aware recommendation (WY, JH, GH, YZ), pp. 975–978.
CASECASE-2013-KruseRW #framework
A sensor-based dual-arm tele-robotic manipulation platform (DK, RJR, JTW), pp. 350–355.
CASECASE-2013-QiaoWZ #clustering #scheduling #tool support
Scheduling of time constrained dual-arm cluster tools with wafer revisiting (YQ, NW, MZ), pp. 868–873.
DATEDATE-2013-BiWL #design
STT-RAM designs supporting dual-port accesses (XB, MAW, HL), pp. 853–858.
DATEDATE-2013-ChenL #2d #architecture #data access #memory management
Dual-addressing memory architecture for two-dimensional memory access patterns (YHC, YYL), pp. 71–76.
DATEDATE-2013-El-NacouziAPZJM #detection #scalability
A dual grain hit-miss detector for large die-stacked DRAM caches (MEN, IA, MP, JZ, NDEJ, AM), pp. 89–92.
DATEDATE-2013-GoultiaevaSB
Bridging the gap between dual propagation and CNF-based QBF solving (AG, MS, AB), pp. 811–814.
ICDARICDAR-2013-ShivramRSG #dataset #named
IBM_UB_1: A Dual Mode Unconstrained English Handwriting Dataset (AS, CR, SS, VG), pp. 13–17.
DLTDLT-2013-DayRS #on the #problem
On the Dual Post Correspondence Problem (JDD, DR, JCS), pp. 167–178.
ICALPICALP-v1-2013-AumullerD #clustering
Optimal Partitioning for Dual Pivot Quicksort — (Extended Abstract) (MA, MD), pp. 33–44.
ICALPICALP-v1-2013-BunT #approximate #bound
Dual Lower Bounds for Approximate Degree and Markov-Bernstein Inequalities (MB, JT), pp. 303–314.
ICALPICALP-v1-2013-MegowV #scheduling
Dual Techniques for Scheduling on a Machine with Varying Speed (NM, JV), pp. 745–756.
CHICHI-2013-HachisuK #interactive #named
HACHIStack: dual-layer photo touch sensing for haptic and auditory tapping interaction (TH, HK), pp. 1411–1420.
HCIHIMI-HSM-2013-ElliottMCMZP #communication #development #multi #navigation
Development of Dual Tactor Capability for a Soldier Multisensory Navigation and Communication System (LRE, BJPM, RWC, GRM, GAZ, RP), pp. 46–55.
HCIHIMI-LCCB-2013-HayashiMIN #design
Bodily Expression Media by Dual Domain Design of Shadow (NH, YM, SI, HN), pp. 195–202.
ICMLICML-c1-2013-Suzuki #multi #online
Dual Averaging and Proximal Gradient Descent for Online Alternating Direction Multiplier Method (TS), pp. 392–400.
ICMLICML-c3-2013-CurtinMRAGI #algorithm #independence
Tree-Independent Dual-Tree Algorithms (RRC, WBM, PR, DVA, AGG, CLI), pp. 1435–1443.
ICMLICML-c3-2013-KhanAFS #modelling #performance
Fast Dual Variational Inference for Non-Conjugate Latent Gaussian Models (MEK, AYA, MPF, MWS), pp. 951–959.
ICMLICML-c3-2013-RastegariCFHD #predict
Predictable Dual-View Hashing (MR, JC, SF, HDI, LSD), pp. 1328–1336.
ICMLICML-c3-2013-TakacBRS
Mini-Batch Primal and Dual Methods for SVMs (MT, ASB, PR, NS), pp. 1022–1030.
POPLPOPL-2013-Goyet #calculus
The λ λ-Bar calculus: a dual calculus for unconstrained strategies (AG), pp. 155–166.
SACSAC-PL-J-2010-PopeeaC13 #analysis #debugging #proving #safety
Dual analysis for proving safety and finding bugs (CP, WNC), pp. 390–411.
ICSEICSE-2013-PosnettDDF #development #metric
Dual ecological measures of focus in software development (DP, RMD, PTD, VF), pp. 452–461.
HPCAHPCA-2013-RhuE #control flow #execution #gpu #performance
The dual-path execution model for efficient GPU control flow (MR, ME), pp. 591–602.
CASECASE-2012-DoPK
Dual arm robot for packaging and assembling of IT products (HD, CP, JHK), pp. 1067–1070.
CASECASE-2012-MatsumotoN #approach #clustering #composition #concurrent #petri net #scheduling #tool support
Petri net decomposition approach to deadlock-free scheduling for dual-armed cluster tools (IM, TN), pp. 194–199.
CASECASE-2012-QiaoWZ #analysis #clustering #petri net #scheduling #tool support
Petri net-based scheduling analysis of dual-arm cluster tools with wafer revisiting (YQ, NW, MZ), pp. 206–211.
CASECASE-2012-RyuOC #using
COM control of dual arm robot using COM Jacobian (KR, YO, MC), pp. 1071–1073.
CASECASE-2012-TonkeL #clustering #independence #scheduling
Scheduling of a dual-armed cluster tool with two independent robot arms (DT, TEL), pp. 200–205.
CASECASE-2012-YangTCHC
A dual-forecast scheme for production output with paired/unpaired WIP data (HCY, THT, CYC, MHH, FTC), pp. 581–586.
CASECASE-2012-YouKLKLH #algorithm #assembly #development
Development of manipulation planning algorithm for a dual-arm robot assembly task (JSY, DHK, SJL, SPK, JYL, CSH), pp. 1061–1066.
DACDAC-2012-LiuH12a #named #optimisation
ComPLx: A Competitive Primal-dual Lagrange Optimization for Global Placement (MCK, ILM), pp. 747–752.
DATEDATE-2012-LinC #adaptation #garbage collection
Dual Greedy: Adaptive garbage collection for page-mapping solid-state disks (WHL, LPC), pp. 117–122.
DATEDATE-2012-PourshaghaghiFG
Sliding-Mode Control to Compensate PVT Variations in dual core systems (HRP, HF, JPdG), pp. 1048–1053.
DocEngDocEng-2012-HuMBL #clustering #documentation #personalisation
Personalized document clustering with dual supervision (YH, EEM, JB, SL), pp. 161–170.
TACASTACAS-2012-JiangPMAM #modelling #verification
Modeling and Verification of a Dual Chamber Implantable Pacemaker (ZJ, MP, SM, RA, RM), pp. 188–203.
LATALATA-2012-Yoshinaka #context-free grammar #integration #learning
Integration of the Dual Approaches in the Distributional Learning of Context-Free Grammars (RY), pp. 538–550.
CHICHI-2012-KimCZT #concurrent
Enabling concurrent dual views on common LCD screens (SK, XC, HZ, DST), pp. 2175–2184.
CIKMCIKM-2012-JuLSZHL #classification #documentation #sentiment #word
Dual word and document seed selection for semi-supervised sentiment classification (SJ, SL, YS, GZ, YH, XL), pp. 2295–2298.
ICPRICPR-2012-BurgessKA12a #estimation
Pose estimation from minimal dual-receiver configurations (SB, YK, ), pp. 2553–2556.
ICPRICPR-2012-JiS12a #3d #estimation #learning #robust
Robust 3D human pose estimation via dual dictionaries learning (HJ, FS), pp. 3370–3373.
ICPRICPR-2012-RattaniMGR #approach #automation #classification
A dual-staged classification-selection approach for automated update of biometric templates (AR, GLM, EG, FR), pp. 2972–2975.
ICPRICPR-2012-TuH #invariant #matrix #recognition
Dual subspace nonnegative matrix factorization for person-invariant facial expression recognition (YHT, CTH), pp. 2391–2394.
KDDKDD-2012-MatsushimaVS #linear
Linear support vector machines via dual cached loops (SM, SVNV, AJS), pp. 177–185.
SIGIRSIGIR-2012-XuJW #community #recommendation
Dual role model for question recommendation in community question answering (FX, ZJ, BW), pp. 771–780.
SACSAC-2012-HuMB #clustering #documentation
Semi-supervised document clustering with dual supervision through seeding (YH, EEM, JB), pp. 144–151.
CASECASE-2011-AranovskiyBB #identification #metric
The method of identification for the “motor-dual-section device” system through output signal measurements (SA, AAB, VB), pp. 346–350.
CASECASE-2011-QiaoWZ #analysis #clustering #modelling #tool support
Modeling and analysis of dual-arm cluster tools for wafer fabrication with revisiting (YQ, NW, MZ), pp. 90–95.
CIAACIAA-J-2010-AllauzenCM11 #algorithm #coordination #kernel
A Dual Coordinate Descent Algorithm for SVMs Combined with Rational Kernels (CA, CC, MM), pp. 1761–1779.
DLTDLT-2011-Yoshinaka #concept #context-free grammar #learning #towards
Towards Dual Approaches for Learning Context-Free Grammars Based on Syntactic Concept Lattices (RY), pp. 429–440.
ICALPICALP-v1-2011-Moldenhauer #algorithm #approximate #graph
Primal-Dual Approximation Algorithms for Node-Weighted Steiner Forest on Planar Graphs (CM), pp. 748–759.
HCIHIMI-v2-2011-StegmanLS #comparison #monitoring #performance
A Comparison between Single and Dual Monitor Productivity and the Effects of Window Management Styles on Performance (AS, CL, RLS), pp. 84–93.
ICMLICML-2011-LeeW #identification #learning #online #probability
Manifold Identification of Dual Averaging Methods for Regularized Stochastic Online Learning (SL, SJW), pp. 1121–1128.
KDDKDD-2011-KongFY #classification #graph
Dual active feature and sample selection for graph classification (XK, WF, PSY), pp. 654–662.
SEKESEKE-2011-CassellAG #approach #clustering #refactoring
A Dual Clustering Approach to the Extract Class Refactoring (KC, PA, LG), pp. 77–82.
SIGIRSIGIR-2011-WangHND #classification #information management #matrix #using #web
Cross-language web page classification via dual knowledge transfer using nonnegative matrix tri-factorization (HW, HH, FN, CHQD), pp. 933–942.
CASECASE-2010-WuZ #bound #clustering #petri net #process #scheduling #tool support
Petri net-based scheduling of time-constrained dual-arm cluster tools with bounded activity time variation (NW, MZ), pp. 465–470.
DACDAC-2010-SridharanM #embedded #power management #realtime #reliability
Reliability aware power management for dual-processor real-time embedded systems (RS, RNM), pp. 819–824.
DACDAC-2010-XiongW #algorithm #constraints #grid #linear #performance #power management #verification
An efficient dual algorithm for vectorless power grid verification under linear current constraints (XX, JW), pp. 837–842.
DATEDATE-2010-ChenLJC #distributed #manycore #memory management #using
Supporting Distributed Shared Memory on multi-core Network-on-Chips using a dual microcoded controller (XC, ZL, AJ, SC), pp. 39–44.
DATEDATE-2010-DeHonQC #challenge #energy #optimisation #reliability
Vision for cross-layer optimization to address the dual challenges of energy and reliability (AD, HMQ, NPC), pp. 1017–1022.
DATEDATE-2010-EconomakosXKS #component #configuration management #synthesis
Construction of dual mode components for reconfiguration aware high-level synthesis (GE, SX, IK, DS), pp. 1357–1360.
DATEDATE-2010-TieDWC #performance #reduction #scheduling
Dual-Vth leakage reduction with Fast Clock Skew Scheduling Enhancement (MT, HD, TW, XC), pp. 520–525.
DATEDATE-2010-VadlamaniZBT #adaptation #composition #fault #manycore #using
Multicore soft error rate stabilization using adaptive dual modular redundancy (RV, JZ, WPB, RT), pp. 27–32.
DATEDATE-2010-YuZQB #behaviour #design #power management
Behavioral level dual-vth design for reduced leakage power with thermal awareness (JY, QZ, GQ, JB), pp. 1261–1266.
CHICHI-2010-HornofZH #multimodal
Knowing where and when to look in a time-critical multimodal dual task (AJH, YZ, TH), pp. 2103–2112.
CIKMCIKM-2010-ZhuangLSHXSX #classification #collaboration #mining #multi
Collaborative Dual-PLSA: mining distinction and commonality across multiple domains for text classification (FZ, PL, ZS, QH, YX, ZS, HX), pp. 359–368.
ICMLICML-2010-JojicGK #composition
Accelerated dual decomposition for MAP inference (VJ, SG, DK), pp. 503–510.
ICMLICML-2010-MeshiSJG #approximate #learning
Learning Efficiently with Approximate Inference via Dual Losses (OM, DS, TSJ, AG), pp. 783–790.
ICPRICPR-2010-JagerPCC #3d #analysis #using
Dual Channel Colocalization for Cell Cycle Analysis Using 3D Confocal Microscopy (SJ, KP, CSCD, MCC), pp. 2580–2583.
ICPRICPR-2010-PanMSHN #adaptation #estimation #using #video
A Dual Pass Video Stabilization System Using Iterative Motion Estimation and Adaptive Motion Smoothing (PP, AM, JS, YH, SN), pp. 2298–2301.
SACSAC-2010-Niu #energy #realtime #scalability
Energy-aware dual-mode voltage scaling for weakly hard real-time systems (LN), pp. 321–325.
SACSAC-2010-PopeeaC #analysis #debugging #proving #safety
Dual analysis for proving safety and finding bugs (CP, WNC), pp. 2137–2143.
SACSAC-2010-SardanaJ #network
Dual-level defense for networks under DDoS attacks (AS, RCJ), pp. 733–734.
ISSTAISSTA-2010-WeeratungeZSJ #concurrent #debugging #slicing #using
Analyzing concurrency bugs using dual slicing (DW, XZ, WNS, SJ), pp. 253–264.
CASECASE-2009-WuCLZCH
Advanced studies of selection schemes for dual virtual-metrology outputs (WMW, FTC, THL, DLZ, JFC, MHH), pp. 421–426.
DACDAC-2009-ShinPS #synthesis #using
Register allocation for high-level synthesis using dual supply voltages (IS, SP, YS), pp. 937–942.
DATEDATE-2009-ChenL #design
Performance-driven dual-rail insertion for chip-level pre-fabricated design (FWC, YYL), pp. 308–311.
ICDARICDAR-2009-ArdizzonePDMN #fault #taxonomy
A Dual Taxonomy for Defects in Digitized Historical Photos (EA, ADP, HD, GM, CN), pp. 1166–1170.
CHICHI-2009-BiB #scalability
Comparing usage of a large high-resolution display to single or dual desktop displays for daily work (XB, RB), pp. 1005–1014.
CHICHI-2009-HinckleyDSGB #named #tablet
Codex: a dual screen tablet computer (KH, MD, RS, FG, RB), pp. 1933–1942.
HCIHCI-NIMT-2009-ChenN #named #scalability
VTouch: A Vision-Base Dual Finger Touched Inputs for Large Displays (CHC, CXN), pp. 426–434.
HCIIDGD-2009-RizvanogluO #case study #comprehension
Cross-Cultural Understanding of the Dual Structure of Metaphorical Icons: An Explorative Study with French and Turkish Users on an E-Learning Site (KR, ÖÖ), pp. 89–98.
AdaSIGAda-2009-Gardinier #ada #development #open source #safety
Open source development of a safety critical dual redundant (Ada95/C++) signal control program environment (SCOPE) (MG), pp. 23–30.
ICMLICML-2009-SindhwaniML #design #nondeterminism
Uncertainty sampling and transductive experimental design for active dual supervision (VS, PM, RDL), pp. 953–960.
ICMLICML-2009-ZhuX #markov #network #on the
On primal and dual sparsity of Markov networks (JZ, EPX), pp. 1265–1272.
SACSAC-2009-LeePR #ad hoc #mobile #network #realtime
A real-time message scheduler support for dual-sink mobile ad-hoc sensor networks (JL, GLP, SuR), pp. 305–309.
RTARTA-2009-KimuraT #calculus #induction
Dual Calculus with Inductive and Coinductive Types (DK, MT), pp. 224–238.
CASECASE-2008-PaekL #clustering #scheduling #strict #tool support
Optimal scheduling of dual-armed cluster tools without swap restriction (JHP, TEL), pp. 103–108.
CASECASE-2008-WuCZLC
Developing a selection scheme for dual virtual-metrology outputs (WMW, FTC, DLZ, THL, JFC), pp. 230–235.
CASECASE-2008-WuZPCC #clustering #constraints #modelling #petri net #process #realtime #tool support
Petri net modeling and real-time control of dual-arm cluster tools with residency time constraint and activity time variations (NW, MZ, SP, FC, CC), pp. 109–114.
DACDAC-2008-BijanskyA #named
TuneFPGA: post-silicon tuning of dual-Vdd FPGAs (SB, AA), pp. 796–799.
DATEDATE-2008-ChenL #architecture
Wire Sizing Alternative — An Uniform Dual-rail Routing Architecture (FWC, YYL), pp. 796–799.
DATEDATE-2008-TumeoBCCMPFS #multi #realtime
A Dual-Priority Real-Time Multiprocessor System on FPGA for Automotive Applications (AT, MB, LC, MC, MM, GP, FF, DS), pp. 1039–1044.
DATEDATE-2008-XueSSQ #clustering #constraints #effectiveness #memory management #scheduling
Effective Loop Partitioning and Scheduling under Memory and Register Dual Constraints (CJX, EHMS, ZS, MQ), pp. 1202–1207.
CHICHI-2008-ChenGDLA #navigation
Navigation techniques for dual-display e-book readers (NC, FG, MD, CL, MA), pp. 1779–1788.
CIKMCIKM-2008-HsuC08a #matrix #order #performance #web
Efficient web matrix processing based on dual reordering (CMH, MSC), pp. 1389–1390.
CIKMCIKM-2008-VaidyaL #database #query
Characterization of TPC-H queries for a column-oriented database on a dual-core amd athlon processor (PV, JJL), pp. 1411–1412.
CIKMCIKM-2008-WangYPY #assurance #encryption #query
Dual encryption for query integrity assurance (HW, JY, CSP, PSY), pp. 863–872.
ICMLICML-2008-HsiehCLKS #coordination #linear #scalability
A dual coordinate descent method for large-scale linear SVM (CJH, KWC, CJL, SSK, SS), pp. 408–415.
ICPRICPR-2008-Baradarani #segmentation #using
Moving object segmentation using the 9/7-10/8 dual-tree complex filter bank (AB), pp. 1–4.
ICPRICPR-2008-ChengWL #categorisation #clustering #sequence
Dual clustering for categorization of action sequences (JC, LW, CL), pp. 1–4.
ICPRICPR-2008-PengXXD #recognition #using
Face recognition using anisotropic dual-tree complex wavelet packets (YP, XX, WX, QD), pp. 1–4.
ICPRICPR-2008-WuWW #approach #estimation
Motion estimation approach based on dual-tree complex wavelets (CW, QW, HW), pp. 1–4.
KDDKDD-2008-KeerthiSCHL #linear #multi #scalability
A sequential dual method for large scale multi-class linear svms (SSK, SS, KWC, CJH, CJL), pp. 408–416.
CASECASE-2007-ChengHK #development
Development of a Dual-Phase Virtual Metrology Scheme (FTC, HCH, CAK), pp. 270–275.
DATEDATE-2007-LinH #interactive #reduction #statistics
Interactive presentation: Statistical dual-Vdd assignment for FPGA interconnect power reduction (YL, LH), pp. 636–641.
STOCSTOC-2007-AroraK #approach #combinator #source code
A combinatorial, primal-dual approach to semidefinite programs (SA, SK), pp. 227–236.
CHICHI-2007-BrumbyHS #constraints #trade-off
A cognitive constraint model of dual-task trade-offs in a highly dynamic driving task (DPB, AH, DDS), pp. 233–242.
HCIHCI-MIE-2007-DaimotoTFTKY
Effects of a Dual-Task Tracking on Eye Fixation Related Potentials (EFRP) (HD, TT, KF, HT, MK, AY), pp. 599–604.
CIKMCIKM-2007-NamataSGS #approach #interactive #network #visualisation
A dual-view approach to interactive network visualization (GN, BS, LG, BS), pp. 939–942.
MLDMMLDM-2007-HanKK #performance #sequence #using
Efficient Subsequence Matching Using the Longest Common Subsequence with a Dual Match Index (TSH, SKK, JK), pp. 585–600.
SACSAC-2007-KarwaczynskiKMN #peer-to-peer #proximity
Dual proximity neighbour selection method for peer-to-peer-based discovery service (PK, DK, JM, MN), pp. 590–591.
SACSAC-2007-LeeKS #network #scheduling
A fair scheduling scheme for a time-sensitive traffic over the dual-channel wireless network (JL, MK, AS), pp. 1131–1135.
SACSAC-2007-LiuD #performance #web #web service
An efficient dual caching strategy for web service-enabled PDAs (XL, RD), pp. 788–794.
SACSAC-2007-YanWZ #ad hoc #mobile #network #protocol
Dual agreement virtual subnet protocol for mobile ad-hoc networks (KQY, SCW, GYZ), pp. 953–954.
PPoPPPPoPP-2007-BarrettAV #evaluation #performance
Performance evaluation of the cray XT3 configured with dual core opteron processors (RFB, SRA, JSV), pp. 148–149.
ICSTSAT-2007-PorschenS #algorithm #problem #satisfiability
Algorithms for Variable-Weighted 2-SAT and Dual Problems (SP, ES), pp. 173–186.
CASECASE-2006-WuZ #clustering #constraints #petri net #scheduling #tool support
Schedulability and Scheduling of Dual-Arm Cluster Tools with Residency Time Constraints Based on Petri Net (NW, MZ), pp. 87–92.
DACDAC-2006-HuLHT #reduction
Simultaneous time slack budgeting and retiming for dual-Vdd FPGA power reduction (YH, YL, LH, TT), pp. 478–483.
DACDAC-2006-PanCHCLCLLHWLLTYMCCPHCH #ram
A CMOS SoC for 56/18/16 CD/DVD-dual/RAM applications (JSP, HCC, BYH, HCC, RL, CHC, YCL, CL, LH, CLW, MHL, CYL, SNT, JNY, CPM, YC, SHC, HCP, PCH, BC, AH), pp. 290–291.
DATEDATE-2006-AmelifardFP #using
Reducing the sub-threshold and gate-tunneling leakage of SRAM cells using Dual-Vt and Dual-Tox assignment (BA, FF, MP), pp. 995–1000.
CHICHI-2006-BlaskoNF #interactive #mobile #prototype #string
Prototyping retractable string-based interaction techniques for dual-display mobile devices (GB, CN, SF), pp. 369–372.
CHICHI-2006-WilsonA #game studies #using
Text entry using a dual joystick game controller (ADW, MA), pp. 475–478.
ICPRICPR-v4-2006-FengFDW #locality
Iris Localization with Dual Coarse-to-fine Strategy (XF, CF, XD, YW), pp. 553–556.
CSLCSL-2006-AtassiBT #logic #system f #verification
Verification of Ptime Reducibility for System F Terms Via Dual Light Affine Logic (VA, PB, KT), pp. 150–166.
DACDAC-2005-LinH #performance #reduction
Leakage efficient chip-level dual-Vdd assignment with time slack allocation for FPGA power reduction (YL, LH), pp. 720–725.
DACDAC-2005-TamH
Power optimal dual-Vdd buffered tree considering buffer stations and blockages (KHT, LH), pp. 497–502.
DACDAC-2005-TangZB #library #optimisation #power management #synthesis
Leakage power optimization with dual-Vth library in high-level synthesis (XT, HZ, PB), pp. 202–207.
ICALPICALP-2005-BorodinCM #algorithm #how #question
How Well Can Primal-Dual and Local-Ratio Algorithms Perform? (AB, DC, AM), pp. 943–955.
ICALPICALP-2005-KonemannLSZ #problem
From Primal-Dual to Cost Shares and Back: A Stronger LP Relaxation for the Steiner Forest Problem (JK, SL, GS, SHMvZ), pp. 930–942.
ICMLICML-2005-GeurtsW #modelling
Closed-form dual perturb and combine for tree-based models (PG, LW), pp. 233–240.
ICMLICML-2005-Tsuda
Propagating distributions on a hypergraph by dual information regularization (KT), pp. 920–927.
SIGIRSIGIR-2005-HuangHW #information management #information retrieval
A dual index model for contextual information retrieval (XH, YRH, MW), pp. 613–614.
RTARTA-2005-Wadler #call-by
Call-by-Value Is Dual to Call-by-Name — Reloaded (PW), pp. 185–203.
DACDAC-2004-LiLH #configuration management #reduction #using
FPGA power reduction using configurable dual-Vdd (FL, YL, LH), pp. 735–740.
DACDAC-2004-SrivastavaSB #optimisation #power management #process #statistics #using
Statistical optimization of leakage power considering process variations using dual-Vth and sizing (AS, DS, DB), pp. 773–778.
DACDAC-2004-SrivastavaSB04a #power management #using
Power minimization using simultaneous gate sizing, dual-Vdd and dual-Vth assignment (AS, DS, DB), pp. 783–787.
DACDAC-2004-SultaniaSS #trade-off
Tradeoffs between date oxide leakage and delay for dual Tox circuits (AKS, DS, SSS), pp. 761–766.
DACDAC-2004-TakayanagiSPSL
A dual-core 64b ultraSPARC microprocessor for dense server applications (TT, JLS, BP, JS, ASL), pp. 673–677.
DATEDATE-v1-2004-SehgalC #architecture #composition #performance #testing #using
Efficient Modular Testing of SOCs Using Dual-Speed TAM Architectures (AS, KC), pp. 422–427.
STOCSTOC-2004-LeviRS #algorithm #problem
Primal-dual algorithms for deterministic inventory problems (RL, RR, DBS), pp. 353–362.
CHICHI-2004-KuzuokaYYKSH #communication #coordination
Dual ecologies of robot as communication media: thoughts on coordinating orientations and projectability (HK, KY, AY, JK, YS, CH), pp. 183–190.
CSCWCSCW-2004-KuzuokaKYSYLH
Mediating dual ecologies (HK, JK, KY, YS, AY, PL, CH), pp. 477–486.
STOCSTOC-2003-KonemannR #approximate #bound
Primal-dual meets local search: approximating MST’s with nonuniform degree bounds (JK, RR), pp. 389–395.
ICFPICFP-2003-Wadler #call-by
Call-by-value is dual to call-by-name (PW), pp. 189–201.
DACDAC-2002-JungKK #logic
Low-swing clock domino logic incorporating dual supply and dual threshold voltages (SOJ, KWK, SMK), pp. 467–472.
DACDAC-2002-KarnikYTWBGDB #optimisation #performance
Total power optimization by simultaneous dual-Vt allocation and device sizing in high performance microprocessors (TK, YY, JT, LW, SMB, VG, VD, SB), pp. 486–491.
DATEDATE-2002-JungKK #logic #performance #synthesis
Dual Threshold Voltage Domino Logic Synthesis for High Performance with Noise and Power Constrain (SOJ, KWK, SMK), pp. 260–265.
STOCSTOC-2002-JainV #algorithm
Equitable cost allocations via primal-dual-type algorithms (KJ, VVV), pp. 313–321.
ICMLICML-2002-KeerthiDSP #algorithm #kernel #performance
A Fast Dual Algorithm for Kernel Logistic Regression (SSK, KD, SKS, ANP), pp. 299–306.
KDDKDD-2002-BucilaGKW #algorithm #constraints #named
DualMiner: a dual-pruning algorithm for itemsets with constraints (CB, JG, DK, WMW), pp. 42–51.
DACDAC-2001-KimJSLK #optimisation #using
Coupling Delay Optimization by Temporal Decorrelation using Dual Threshold Voltage Technique (KWK, SOJ, PS, CLL, SMK), pp. 732–737.
DATEDATE-2001-VareaA #embedded #modelling #petri net #specification
Dual transitions petri net based modelling technique for embedded systems specification (MV, BMAH), pp. 566–571.
ICDARICDAR-2001-WangL #documentation
Dual-Binarization and Anisotropic Diffusion of Chinese Characters in Calligraphy Documents (SZW, HJL), pp. 271–275.
SACSAC-2001-LuZP #multi #transaction #version control
A dual copy method for transaction separation with multiversion control for read-only transactions (BL, QZ, WP), pp. 290–294.
STOCSTOC-2000-Vygen #algorithm #on the
On dual minimum cost flow algorithms (extended abstract) (JV), pp. 117–125.
ICALPICALP-2000-Blomer
Closest Vectors, Successive Minima, and Dual HKZ-Bases of Lattices (JB), pp. 248–259.
ICPRICPR-v3-2000-JeonY #adaptation #image #using
Image Restoration Using Dual Adaptive Regularization Operators (WJ, TY), pp. 3049–3052.
LCTESLCTES-2000-ParkLLHK #embedded #performance
A Power Efficient Cache Structure for Embedded Processors Based on the Dual Cache Structure (GHP, KWL, JHL, TDH, SDK), pp. 162–177.
DACDAC-1999-SundararajanP #power management #synthesis #using
Synthesis of Low Power CMOS VLSI Circuits Using Dual Supply Voltages (VS, KKP), pp. 72–75.
DACDAC-1999-YehCCJ #design
Gate-Level Design Exploiting Dual Supply Voltages for Power-Driven Applications (CWY, MCC, SCC, WBJ), pp. 68–71.
DACDAC-1999-YehKSW #design #layout
Layout Techniques Supporting the Use of Dual Supply Voltages for Cell-based Designs (CWY, YSK, SJS, JSW), pp. 62–67.
ICDARICDAR-1999-Viard-GaudinLBK #database
The IRESTE On/Off (IRONOFF) Dual Handwriting Database (CVG, PML, PB, SK), pp. 455–458.
AGTIVEAGTIVE-1999-EnglertK #graph #image
Image Structure from Monotonic Dual Graph Contraction (RE, WGK), pp. 297–308.
ICLPICLP-1999-AlferesPS #abduction #source code
Well-founded Abduction via Tabled Dual Programs (JJA, LMP, TS), pp. 426–440.
DACDAC-1998-WeiCJRD #design #optimisation #performance
Design and Optimization of Low Voltage High Performance Dual Threshold CMOS Circuits (LW, ZC, MJ, KR, VD), pp. 489–494.
ICMLICML-1998-SaundersGV #algorithm #learning
Ridge Regression Learning Algorithm in Dual Variables (CS, AG, VV), pp. 515–521.
ICALPICALP-1997-Fujito #approach #approximate #problem
A Primal-Dual Approach to Approximation of Node-Deletion Problems for Matroidal Properties (TF), pp. 749–759.
ICSMEICSM-1996-WeisskopfIMAE #maintenance #process #re-engineering
Maintenance In a Dual-Lifecycle Software Engineering Process (MW, CWI, CM, CA, DE), pp. 142–151.
CHICHI-1996-RobertsonWAF #design #interactive #user interface
Dual Device User Interface Design: PDAs and Interactive Television (SPR, CW, CA, MF), pp. 79–86.
ICMLICML-1996-GoetzKM #adaptation #learning #online
On-Line Adaptation of a Signal Predistorter through Dual Reinforcement Learning (PG, SK, RM), pp. 175–181.
ICPRICPR-1996-DaniilidisB #approach
The dual quaternion approach to hand-eye calibration (KD, EBC), pp. 318–322.
ASPLOSASPLOS-1996-SaghirCL
Exploiting Dual Data-Memory Banks in Digital Signal Processors (MARS, PC, CGL), pp. 234–243.
HPDCHPDC-1996-KumaranQ #automation #network #parallel
Automatic Exploitation of Dual Level Parallelism on a Network of Multiprocessors (SK, MJQ), pp. 616–625.
ISSTAISSTA-1996-MarreB
Unconstrained Duals and Their Use in Achieving All-Uses Coverage (MM, AB), pp. 147–157.
CHICHI-1995-SavidisS #interface
Developing Dual Interfaces for Integrating Blind and Sighted Users: The HOMER UIMS (AS, CS), pp. 106–113.
HPCAHPCA-1995-LlosaVA
Non-Consistent Dual Register Files to Reduce Register Pressure (JL, MV, EA), pp. 22–31.
VLDBVLDB-1994-KemperK
Dual-Buffering Strategies in Object Bases (AK, DK), pp. 427–438.
REICRE-1994-BucciCNT #object-oriented #specification
An object-oriented dual language for specifying reactive systems (GB, MC, PN, MT), pp. 6–15.
STOCSTOC-1993-WilliamsonGMV #algorithm #approximate #network #problem
A primal-dual approximation algorithm for generalized Steiner network problems (DPW, MXG, MM, VVV), pp. 708–717.
ICALPICALP-1993-GargVY #algorithm #approximate #multi #set
Primal-Dual Approximation Algorithms for Integral Flow and Multicut in Trees, with Applications to Matching and Set Cover (NG, VVV, MY), pp. 64–75.
HCIHCI-ACS-1993-Harendt #design
Dual Design of Computer-Based Air Traffic Control Systems — Examples from European Sir Traffic (BH), pp. 398–403.
DACDAC-1992-Sur-KolayB #canonical
Canonical Embedding of Rectangular Duals with Applications to VLSI Floorplanning (SSK, BBB), pp. 69–74.
SEKESEKE-1989-ChenC
Assignment of Objects in a Dual Processor System with Limited Objects (HLC, SKC), pp. 85–92.
VLDBVLDB-1987-GuntherW #geometry #representation
A Dual Space Representation for Geometric Data (OG, EW), pp. 501–506.
DACDAC-1986-BhaskerS #algorithm #graph #linear
A linear algorithm to find a rectangular dual of a planar triangulated graph (JB, SS), pp. 108–114.
DACDAC-1986-NandyR #design #representation
Dual quadtree representation for VLSI designs (SKN, LVR), pp. 663–666.
STOCSTOC-1985-AharoniEL #integer #linear #source code
Dual Integer Linear Programs and the Relationship between their Optima (RA, PE, NL), pp. 476–483.
DACDAC-1984-KozminskiK #algorithm #graph
An algorithm for finding a rectangular dual of a planar graph for use in area planning for VLSI integrated circuits (KK, EK), pp. 655–656.
ICSEICSE-1982-PetroneLS #interactive #named #source code
DUAL: An Interactive Tool for Developing Documented Programs by Step-Wise Refinements (LP, ADL, FS), pp. 350–359.
SIGIRSIGIR-1973-ClarkS #database
A Dual Structured Data Base System (WOC, LLS), pp. 131–143.

Bibliography of Software Language Engineering in Generated Hypertext (BibSLEIGH) is created and maintained by Dr. Vadim Zaytsev.
Hosted as a part of SLEBOK on GitHub.