BibSLEIGH
BibSLEIGH corpus
BibSLEIGH tags
BibSLEIGH bundles
BibSLEIGH people
CC-BY
Open Knowledge
XHTML 1.0 W3C Rec
CSS 2.1 W3C CanRec
email twitter
Used together with:
model (75)
fast (57)
base (42)
simul (41)
time (40)

Stem accur$ (all stems)

362 papers:

CASECASE-2015-JiaJCS #locality #named #using
SoundLoc: Accurate room-level indoor localization using acoustic signatures (RJ, MJ, ZC, CJS), pp. 186–193.
DATEDATE-2015-BringmannEGGMSS #generative #prototype #simulation
The next generation of virtual prototyping: ultra-fast yet accurate simulation of HW/SW systems (OB, WE, AG, AG, DMG, PS, SS), pp. 1698–1707.
DATEDATE-2015-DoustiPP #generative #modelling
Accurate electrothermal modeling of thermoelectric generators (MJD, AP, MP), pp. 1603–1606.
DATEDATE-2015-FaravelonFP #branch #performance #predict #simulation
Fast and accurate branch predictor simulation (AF, NF, FP), pp. 317–320.
DATEDATE-2015-HoqueMS #analysis #approach #maintenance #model checking #probability #reliability #towards
Towards an accurate reliability, availability and maintainability analysis approach for satellite systems based on probabilistic model checking (KAH, OAM, YS), pp. 1635–1640.
DATEDATE-2015-LeeJG #functional #hardware #performance #simulation
Dynamic power and performance back-annotation for fast and accurate functional hardware simulation (DL, LKJ, AG), pp. 1126–1131.
SIGMODSIGMOD-2015-PaparrizosG #clustering #named #performance
k-Shape: Efficient and Accurate Clustering of Time Series (JP, LG), pp. 1855–1870.
ICSMEICSME-2015-DongAS #fault #static analysis #using
Practical and accurate pinpointing of configuration errors using static analysis (ZD, AA, KS), pp. 171–180.
ICSMEICSME-2015-XiaLWY #analysis #bibliography #recommendation
Who should review this change?: Putting text and file location analyses together for more accurate recommendations (XX, DL, XW, XY), pp. 261–270.
CHICHI-2015-SharpKRTSKRLVWF #flexibility #realtime #robust
Accurate, Robust, and Flexible Real-time Hand Tracking (TS, CK, DPR, JT, JS, DK, CR, IL, AV, YW, DF, PK, EK, AWF, SI), pp. 3633–3642.
ICEISICEIS-v1-2015-FolinoGP #behaviour #modelling #on the #process
On the Discovery of Explainable and Accurate Behavioral Models for Complex Lowly-structured Business Processes (FF, MG, LP), pp. 206–217.
ICMLICML-2015-HayashiMF
Rebuilding Factorized Information Criterion: Asymptotically Accurate Marginal Likelihood (KH, SiM, RF), pp. 1358–1366.
ICMLICML-2015-LimKPJ #performance #scalability #set
Double Nyström Method: An Efficient and Accurate Nyström Scheme for Large-Scale Data Sets (WL, MK, HP, KJ), pp. 1367–1375.
KDDKDD-2015-LimK #graph #named
MASCOT: Memory-efficient and Accurate Sampling for Counting Local Triangles in Graph Streams (YL, UK), pp. 685–694.
RecSysRecSys-2015-ChristoffelPNB #random #recommendation #scalability
Blockbusters and Wallflowers: Accurate, Diverse, and Scalable Recommendations with Random Walks (FC, BP, CN, AB), pp. 163–170.
SIGIRSIGIR-2015-ChenLZLS #approximate #matrix #named #recommendation #scalability
WEMAREC: Accurate and Scalable Recommendation through Weighted and Ensemble Matrix Approximation (CC, DL, YZ, QL, LS), pp. 303–312.
OOPSLAOOPSLA-2015-ZhengBB #compilation #profiling
Accurate profiling in the presence of dynamic compilation (YZ, LB, WB), pp. 433–450.
ESEC-FSEESEC-FSE-2015-AllamanisBBS
Suggesting accurate method and class names (MA, ETB, CB, CAS), pp. 38–49.
ICSEICSE-v2-2015-LutellierCGTRMK #architecture #dependence #using
Comparing Software Architecture Recovery Techniques Using Accurate Dependencies (TL, DC, JG, LT, DR, NM, RK), pp. 69–78.
ISSTAISSTA-2015-WangGMC #android #approach #clone detection #detection #named #scalability
WuKong: a scalable and accurate two-phase approach to Android app clone detection (HW, YG, ZM, XC), pp. 71–82.
ASEASE-2014-FalleriMBMM #difference #fine-grained #source code
Fine-grained and accurate source code differencing (JRF, FM, XB, MM, MM), pp. 313–324.
CASECASE-2014-MahlerKLSMKPWFAG #learning #process #using
Learning accurate kinematic control of cable-driven surgical robots using data cleaning and Gaussian Process Regression (JM, SK, ML, SS, AM, BK, SP, JW, MF, PA, KYG), pp. 532–539.
DACDAC-2014-MunawarS #functional #modelling #scalability
Scalable Co-Simulation of Functional Models With Accurate Event Exchange (AM, SS), p. 6.
DACDAC-2014-PengPL #optimisation #performance
Fast and Accurate Full-chip Extraction and Optimization of TSV-to-Wire Coupling (YP, DP, SKL), p. 6.
DACDAC-2014-SamalPSSDL #3d #modelling #optimisation #performance
Fast and Accurate Thermal Modeling and Optimization for Monolithic 3D ICs (SKS, SP, KS, MS, YD, SKL), p. 6.
DATEDATE-2014-AlaghiH #performance #probability #using
Fast and accurate computation using stochastic circuits (AA, JPH), pp. 1–4.
DATEDATE-2014-GholipourCSC #modelling #scalability
Highly accurate SPICE-compatible modeling for single- and double-gate GNRFETs with studies on technology scaling (MG, YYC, AS, DC), pp. 1–6.
DATEDATE-2014-NelsonNMKG #composition #kernel #named #predict #realtime
CoMik: A predictable and cycle-accurately composable real-time microkernel (AN, ABN, AMM, MK, KG), pp. 1–4.
DATEDATE-2014-NoursPB #architecture #evaluation #manycore #performance
A dynamic computation method for fast and accurate performance evaluation of multi-core architectures (SLN, AP, NWB), pp. 1–6.
DATEDATE-2014-ZhangDGLZXS #framework #named #simulation
MSim: A general cycle accurate simulation platform for memcomputing studies (CZ, PD, HG, JL, QZ, JX, YS), pp. 1–5.
SIGMODSIGMOD-2014-WangKFGKM #framework #performance #query
A sample-and-clean framework for fast and accurate query processing on dirty data (JW, SK, MJF, KG, TK, TM), pp. 469–480.
SANERCSMR-WCRE-2014-XiaFLCW #behaviour #learning #multi #towards
Towards more accurate multi-label software behavior learning (XX, YF, DL, ZC, XW), pp. 134–143.
ICPCICPC-2014-ZhouXLTW #api #categorisation #towards
Towards more accurate content categorization of API discussions (BZ, XX, DL, CT, XW), pp. 95–105.
PLDIPLDI-2014-MitraLABSG #analysis #debugging #parallel #scalability
Accurate application progress analysis for large-scale parallel debugging (SM, IL, DHA, SB, MS, TG), p. 23.
CHICHI-2014-KierasH #modelling #predict #towards #visual notation
Towards accurate and practical predictive models of active-vision-based visual search (DEK, AJH), pp. 3875–3884.
CIKMCIKM-2014-LimCK #data type #performance
Fast, Accurate, and Space-efficient Tracking of Time-weighted Frequent Items from Data Streams (YL, JC, UK), pp. 1109–1118.
CIKMCIKM-2014-SongG #difference #named #privacy #query
Aroma: A New Data Protection Method with Differential Privacy and Accurate Query Answering (CS, TG), pp. 1569–1578.
ICMLICML-c2-2014-0001NKA #estimation #probability
GEV-Canonical Regression for Accurate Binary Class Probability Estimation when One Class is Rare (AA, HN, SK, SA), pp. 1989–1997.
ICPRICPR-2014-AghdamPS #adaptation #probability #segmentation
Adaptive Probabilistic Thresholding Method for Accurate Breast Region Segmentation in Mammograms (HHA, DP, AS), pp. 3357–3362.
ICPRICPR-2014-DingM #approach #detection #image
An Accurate Approach for Microaneurysm Detection in Digital Fundus Images (SD, WM), pp. 1846–1851.
ICPRICPR-2014-LeoMD #segmentation
Highly Usable and Accurate Iris Segmentation (ML, TDM, CD), pp. 2489–2494.
ICPRICPR-2014-LiangLLFL #detection #modelling
Accurate Facial Landmarks Detection for Frontal Faces with Extended Tree-Structured Models (AL, WL, LL, MRF, VL), pp. 538–543.
ICPRICPR-2014-NakayamaHSSY #estimation
Accurate Camera Pose Estimation for KinectFusion Based on Line Segment Matching by LEHF (YN, TH, HS, MS, NY), pp. 2149–2154.
ICPRICPR-2014-WangFH0KH #detection #image #performance
Fast and Accurate Text Detection in Natural Scene Images with User-Intention (LW, WF, YH, JS, YK, YH), pp. 2920–2925.
ICPRICPR-2014-ZamunerBB #adaptation
A Pose-Adaptive Constrained Local Model for Accurate Head Pose Tracking (LZ, KB, EB), pp. 2525–2530.
KDDKDD-2014-ChenC14a #spreadsheet
Integrating spreadsheet data via accurate and low-effort extraction (ZC, MJC), pp. 1126–1135.
KDDKDD-2014-Cohen #distance #performance #query
Distance queries from sampled data: accurate and efficient (EC), pp. 681–690.
KDDKDD-2014-PrabhuV #classification #learning #multi #named #performance
FastXML: a fast, accurate and stable tree-classifier for extreme multi-label learning (YP, MV), pp. 263–272.
SEKESEKE-2014-DoranGD #coordination #estimation #social #social media
Accurate Local Estimation of Geo-Coordinates for Social Media Posts (DD, SSG, AD), pp. 642–647.
CBSECBSE-2013-YusufS #design pattern #fault tolerance
Parameterised architectural patterns for providing cloud service fault tolerance with accurate costings (IIY, HWS), pp. 121–130.
DACDAC-2013-LiP #framework #modelling
An accurate semi-analytical framework for full-chip TSV-induced stress modeling (YL, DZP), p. 8.
DACDAC-2013-XuWHRT #estimation #on the #performance #robust
On robust task-accurate performance estimation (YX, BW, RH, RR, JT), p. 6.
DATEDATE-2013-BoleyCAC #analysis #estimation #performance
Leveraging sensitivity analysis for fast, accurate estimation of SRAM dynamic write VMIN (JB, VC, RCA, BHC), pp. 1819–1824.
DATEDATE-2013-CevreroEAILBS #estimation #performance
Fast and accurate BER estimation methodology for I/O links based on extreme value theory (AC, NEE, CA, PI, YL, AB, GIS), pp. 503–508.
DATEDATE-2013-HelmstetterCGMV #performance #simulation #using
Fast and accurate TLM simulations using temporal decoupling for FIFO-based communications (CH, JC, BG, MM, PV), pp. 1185–1188.
DATEDATE-2013-HillebrechtKEWB #generative
Accurate QBF-based test pattern generation in presence of unknown values (SH, MAK, DE, HJW, BB), pp. 436–441.
DATEDATE-2013-LiD #approach #debugging #hybrid #performance
A hybrid approach for fast and accurate trace signal selection for post-silicon debug (ML, AD), pp. 485–490.
DATEDATE-2013-PiriouDRR #architecture #estimation #performance #programmable #reduction
A fast and accurate methodology for power estimation and reduction of programmable architectures (EP, RD, FR, SR), pp. 1054–1055.
DATEDATE-2013-WangH #embedded #modelling #performance #simulation
Fast and accurate cache modeling in source-level simulation of embedded software (ZW, JH), pp. 587–592.
DATEDATE-2013-WangSCC #design #embedded #estimation #performance #reliability
Accurate and efficient reliability estimation techniques during ADL-driven embedded processor design (ZW, KS, CC, AC), pp. 547–552.
DRRDRR-2013-RicquebourgCG #evaluation #recognition #robust #verification #word
Evaluation of lexicon size variations on a verification and rejection system based on SVM, for accurate and robust recognition of handwritten words (YR, BC, LG).
FASEFASE-2013-TrippPCCG #analysis #named #scalability #security #web
Andromeda: Accurate and Scalable Security Analysis of Web Applications (OT, MP, PC, RC, SG), pp. 210–225.
ICSMEICSM-2013-AnandEKSBK #abstraction #analysis #bytecode #framework #memory management #stack
An Accurate Stack Memory Abstraction and Symbolic Analysis Framework for Executables (KA, KE, AK, MS, RB, ADK), pp. 90–99.
ICSMEICSM-2013-MengMWB #mining #repository
Mining Software Repositories for Accurate Authorship (XM, BPM, WRW, ARB), pp. 250–259.
ICSMEICSM-2013-SemenenkoDS #image #machine learning #named #testing
Browserbite: Accurate Cross-Browser Testing via Machine Learning over Image Features (NS, MD, TS), pp. 528–531.
MSRMSR-2013-AlipourHS #approach #debugging #detection #towards
A contextual approach towards more accurate duplicate bug report detection (AA, AH, ES), pp. 183–192.
WCREWCRE-2013-XiaLWZ #debugging #developer #recommendation
Accurate developer recommendation for bug resolution (XX, DL, XW, BZ), pp. 72–81.
CIKMCIKM-2013-BogdanovS #effectiveness #nearest neighbour #network #scalability
Accurate and scalable nearest neighbors in large networks based on effective importance (PB, AKS), pp. 1009–1018.
KDDKDD-2013-LouCGH #interactive #modelling
Accurate intelligible models with pairwise interactions (YL, RC, JG, GH), pp. 623–631.
RecSysRecSys-2013-KoenigsteinK #recommendation #scalability #towards
Towards scalable and accurate item-oriented recommendations (NK, YK), pp. 419–422.
SIGIRSIGIR-2013-YinYHH #detection #image #retrieval #robust
Accurate and robust text detection: a step-in for text retrieval in natural scene images (XCY, XY, KH, HWH), pp. 1091–1092.
ICSEICSE-2013-ChoudharyPO #identification #named #web
X-PERT: accurate identification of cross-browser issues in web applications (SRC, MRP, AO), pp. 702–711.
ASEASE-2012-YuanG #approach #clone detection #detection #named #scalability
Boreas: an accurate and scalable token-based approach to code clone detection (YY, YG), pp. 286–289.
DACDAC-2012-AadithyaR #abstraction #automation #automaton #generative #logic #named
DAE2FSM: automatic generation of accurate discrete-time logical abstractions for continuous-time circuit dynamics (KVA, JSR), pp. 311–316.
DACDAC-2012-FangMZLHZCLZ #manycore #named
Transformer: a functional-driven cycle-accurate multicore simulator (ZF, QM, KZ, YL, YH, WZ, HC, JL, BZ), pp. 106–114.
DACDAC-2012-GuoYSCZ #classification #distance #metric
Improved tangent space based distance metric for accurate lithographic hotspot classification (JG, FY, SS, CC, XZ), pp. 1173–1178.
DACDAC-2012-YuCSJC #design #detection #using
Accurate process-hotspot detection using critical design rule extraction (YTY, YCC, SS, IHRJ, CC), pp. 1167–1172.
DACDAC-2012-ZhaoF #on the fly #performance #simulation #towards
Towards efficient SPICE-accurate nonlinear circuit simulation with on-the-fly support-circuit preconditioners (XZ, ZF), pp. 1119–1124.
DATEDATE-2012-LuMS #abstraction #modelling #prototype #transaction
Accurately timed transaction level models for virtual prototyping at high abstraction level (KL, DMG, US), pp. 135–140.
DATEDATE-2012-PellegriniSCFHJAAB #evaluation
CrashTest’ing SWAT: Accurate, gate-level evaluation of symptom-based resiliency solutions (AP, RS, LC, XF, SKSH, JJ, SVA, TMA, VB), pp. 1106–1109.
DATEDATE-2012-PontesCV #design #reliability
An accurate Single Event Effect digital design flow for reliable system level design (JJHP, NC, PV), pp. 224–229.
DATEDATE-2012-TtofisT #adaptation #algorithm #hardware #implementation #realtime #towards
Towards accurate hardware stereo correspondence: A real-time FPGA implementation of a segmentation-based adaptive support weight algorithm (CT, TT), pp. 703–708.
DATEDATE-2012-WangH #compilation #embedded #optimisation #simulation
Accurate source-level simulation of embedded software with respect to compiler optimizations (ZW, JH), pp. 382–387.
HTHT-2012-FlockVS #detection #wiki
Revisiting reverts: accurate revert detection in wikipedia (FF, DV, ES), pp. 3–12.
VLDBVLDB-2012-LaptevZZ #pipes and filters
Early Accurate Results for Advanced Analytics on MapReduce (NL, KZ, CZ), pp. 1028–1039.
VLDBVLDB-2012-LiM #adaptation #difference #privacy #query
An Adaptive Mechanism for Accurate Query Answering under Differential Privacy (CL, GM), pp. 514–525.
WCREWCRE-2012-GuerroujGGAP #algorithm #identifier #named #performance
TRIS: A Fast and Accurate Identifiers Splitting and Expansion Algorithm (LG, PG, YGG, GA, MDP), pp. 103–112.
CHICHI-2012-GajosRH #metric #performance
Accurate measurements of pointing performance from in situ observations (KG, KR, CH), pp. 3157–3166.
CIKMCIKM-2012-WelchSD #incremental #knowledge base #performance
Fast and accurate incremental entity resolution relative to an entity knowledge base (MJW, AS, CD), pp. 2667–2670.
ECIRECIR-2012-ToramanC #categorisation #performance
Squeezing the Ensemble Pruning: Faster and More Accurate Categorization for News Portals (CT, FC), pp. 508–511.
ICMLICML-2012-BelletHS #classification #learning #linear #similarity
Similarity Learning for Provably Accurate Sparse Linear Classification (AB, AH, MS), p. 193.
ICMLICML-2012-MenonJVEO #predict #ranking
Predicting accurate probabilities with a ranking loss (AKM, XJ, SV, CE, LOM), p. 88.
ICPRICPR-2012-BagdanovBLM #detection #multi
Multi-pose face detection for accurate face logging (ADB, ADB, GL, IM), pp. 2448–2451.
ICPRICPR-2012-HaraKMM #3d #generative #using #video
Fast-accurate 3D face model generation using a single video camera (TH, HK, AM, SM), pp. 1269–1272.
ICPRICPR-2012-LinL #bottom-up #process #top-down
Integrating bottom-up and top-down processes for accurate pedestrian counting (YL, NL), pp. 2508–2511.
ICPRICPR-2012-LiST #locality #using
Accurate iris localization using contour segments (HL, ZS, TT), pp. 3398–3401.
ICPRICPR-2012-PhamDBR #detection #image #re-engineering
Accurate junction detection and reconstruction in line-drawing images (TAP, MD, SB, JYR), pp. 693–696.
ICPRICPR-2012-TangS #independence #learning #network #performance #testing #using
Efficient and accurate learning of Bayesian networks using chi-squared independence tests (YT, SNS), pp. 2723–2726.
ICPRICPR-2012-UchidaTS #effectiveness #estimation #image #parametricity #retrieval
An alternative to IDF: Effective scoring for accurate image retrieval with non-parametric density ratio estimation (YU, KT, SS), pp. 1285–1288.
ICPRICPR-2012-UddinCKS #using
Accurate genomic signal recovery using compressed sensing (BU, MEC, HAK, GS), pp. 3144–3147.
ICPRICPR-2012-XiaDG #detection #invariant
An accurate and contrast invariant junction detector (GSX, JD, YG), pp. 2780–2783.
ICPRICPR-2012-YlimakiKHHB #multi #re-engineering #robust
Robust and accurate multi-view reconstruction by prioritized matching (MY, JK, JH, JH, SSB), pp. 2673–2676.
KDDKDD-2012-ZhangTLCJWL #web
Harnessing the wisdom of the crowds for accurate web page clipping (LZ, LT, PL, EC, LJ, MW, GL), pp. 570–578.
ICSEICSE-2012-ZhouZL #debugging #information retrieval #locality
Where should the bugs be fixed? More accurate information retrieval-based bug localization based on bug reports (JZ, HZ, DL), pp. 14–24.
CGOCGO-2012-VankaT #data flow #dependence #performance #profiling #using
Efficient and accurate data dependence profiling using software signatures (RV, JT), pp. 186–195.
ASEASE-2011-SunLKJ #debugging #retrieval #towards
Towards more accurate retrieval of duplicate bug reports (CS, DL, SCK, JJ), pp. 253–262.
CASECASE-2011-ColP #detection #performance #recursion
Fast and accurate object detection by means of recursive monomial feature elimination and cascade of SVM (LDC, FAP), pp. 304–309.
DACDAC-2011-KungHSS #optimisation
Thermal signature: a simple yet accurate thermal index for floorplan optimization (JK, IH, SSS, YS), pp. 108–113.
DACDAC-2011-StattelmannBR #optimisation #performance #simulation
Fast and accurate source-level simulation of software timing considering complex code optimizations (SS, OB, WR), pp. 486–491.
DATEDATE-2011-AadithyaDVR #modelling #named #random #simulation
SAMURAI: An accurate method for modelling and simulating non-stationary Random Telegraph Noise in SRAMs (KVA, AD, SV, JSR), pp. 1113–1118.
DATEDATE-2011-BaiDCD #automation #modelling #network #performance
Automated construction of fast and accurate system-level models for wireless sensor networks (LSB, RPD, PHC, PAD), pp. 1083–1088.
DATEDATE-2011-GrammatikakisPSP #estimation #using
System-level power estimation methodology using cycle- and bit-accurate TLM (MDG, SP, JPS, CP), pp. 1125–1126.
DATEDATE-2011-IndrusiakS #performance #transaction
Fast and accurate transaction-level model of a wormhole network-on-chip with priority preemptive virtual channel arbitration (LSI, OMdS), pp. 1089–1094.
DATEDATE-2011-KimCY #distributed #predict #simulation
A new distributed event-driven gate-level HDL simulation by accurate prediction (DK, MJC, SY), pp. 547–550.
DATEDATE-2011-LoCWT #modelling #performance #simulation
Cycle-count-accurate processor modeling for fast and accurate system-level simulation (CKL, LCC, MHW, RST), pp. 341–346.
DATEDATE-2011-StattelmannBR #analysis #manycore #performance #simulation
Fast and accurate resource conflict simulation for performance analysis of multi-core systems (SS, OB, WR), pp. 210–215.
VLDBVLDB-2011-CohenCD #flexibility #summary
Structure-Aware Sampling: Flexible and Accurate Summarization (EC, GC, NGD), pp. 819–830.
VLDBVLDB-2011-MachanavajjhalaKS #personalisation #question #recommendation #social
Personalized Social Recommendations — Accurate or Private? (AM, AK, ADS), pp. 440–450.
VLDBVLDB-2011-YosefHBSW #ambiguity #named #online
AIDA: An Online Tool for Accurate Disambiguation of Named Entities in Text and Tables (MAY, JH, IB, MS, GW), pp. 1450–1453.
SASSAS-2011-MisailovicRR #program transformation
Probabilistically Accurate Program Transformations (SM, DMR, MCR), pp. 316–333.
CHICHI-2011-AmershiLKMC #named #network #performance
CueT: human-guided fast and accurate network alarm triage (SA, BL, AK, RM, BC), pp. 157–166.
CIKMCIKM-2011-MalikBF #information management
Accurate information extraction for quantitative financial events (HHM, VSB, HF), pp. 2497–2500.
RecSysRecSys-2011-BarbieriCMO #approach #modelling #recommendation
Modeling item selection and relevance for accurate recommendations: a bayesian approach (NB, GC, GM, RO), pp. 21–28.
SACSAC-2011-ChenB #documentation #image
Pixel accurate document image content extraction (SC, HSB), pp. 245–251.
SACSAC-2011-ShinLSL #concurrent #monitoring #multi #predict #scheduling #thread
Predictable multithread scheduling with cycle-accurate thread progress monitor (YS, SL, MS, SL), pp. 627–628.
CGOCGO-2011-XieX #detection #named #performance
Acculock: Accurate and efficient detection of data races (XX, JX), pp. 201–212.
CASECASE-2010-KumarKST #predict #programming
A mathematical programming for predicting molecular formulas in accurate mass spectrometry (SK, MK, RS, KT), pp. 246–251.
DATEDATE-2010-HaastregtHK #cost analysis #modelling #multi
Cost modeling and cycle-accurate co-simulation of heterogeneous multiprocessor systems (SvH, EH, BK), pp. 1297–1300.
DATEDATE-2010-HsuYC #architecture #framework #refinement
An accurate system architecture refinement methodology with mixed abstraction-level virtual platform (ZMH, JCY, IYC), pp. 568–573.
DATEDATE-2010-HwangSAG #modelling #transaction
Accurate timed RTOS model for transaction level modeling (YH, GS, SA, DDG), pp. 1333–1336.
DATEDATE-2010-LiuFG #optimisation #performance
An accurate and efficient yield optimization method for analog circuits based on computing budget allocation and memetic search technique (BL, FVF, GGEG), pp. 1106–1111.
DATEDATE-2010-LongM #bias #monitoring #network #optimisation
Optimization of the bias current network for accurate on-chip thermal monitoring (JL, SOM), pp. 1365–1368.
ESOPESOP-2010-AdjeGG #invariant #policy #static analysis
Coupling Policy Iteration with Semi-definite Relaxation to Compute Accurate Numerical Invariants in Static Analysis (AA, SG, EG), pp. 23–42.
PLDIPLDI-2010-Loitsch #float #integer
Printing floating-point numbers quickly and accurately with integers (FL), pp. 233–243.
CHICHI-2010-Li #gesture #named #performance
Protractor: a fast and accurate gesture recognizer (YL), pp. 2169–2172.
CIKMCIKM-2010-GubichevBSW #estimation #graph #performance #scalability
Fast and accurate estimation of shortest paths in large graphs (AG, SJB, SS, GW), pp. 499–508.
ICPRICPR-2010-AnYB #documentation #segmentation #using
Document Segmentation Using Pixel-Accurate Ground Truth (CA, DY, HSB), pp. 245–248.
ICPRICPR-2010-Coeurjolly #approximate #performance
Fast and Accurate Approximation of the Euclidean Opening Function in Arbitrary Dimension (DC), pp. 229–232.
ICPRICPR-2010-ForsbergAK #estimation #image #parallel
Parallel Scales for More Accurate Displacement Estimation in Phase-Based Image Registration (DF, MTA, HK), pp. 2329–2332.
ICPRICPR-2010-Mattoccia #consistency
Accurate Dense Stereo by Constraining Local Consistency on Superpixels (SM), pp. 1832–1835.
KDDKDD-2010-JahrerTL #predict #recommendation
Combining predictions for accurate recommender systems (MJ, AT, RAL), pp. 693–702.
KDIRKDIR-2010-DesaiSP #named #performance #robust #scalability
SEAR — Scalable, Efficient, Accurate, Robust kNN-based Regression (AD, HS, VP), pp. 392–395.
ICSEICSE-2010-SunLWJK #approach #debugging #retrieval
A discriminative model approach for accurate duplicate bug report retrieval (CS, DL, XW, JJ, SCK), pp. 45–54.
DACDAC-2009-ChauhanGHMS #equivalence
Non-cycle-accurate sequential equivalence checking (PC, DG, GH, AM, NS), pp. 460–465.
DACDAC-2009-ZhangS #estimation #using
Accurate temperature estimation using noisy thermal sensors (YZ, AS), pp. 472–477.
DATEDATE-2009-AlimohammadFC #algorithm #architecture #development #flexibility #verification
A flexible layered architecture for accurate digital baseband algorithm development and verification (AA, SFF, BFC), pp. 45–50.
DATEDATE-2009-KahngLPS #design #performance
ORION 2.0: A fast and accurate NoC power and area model for early-stage design space exploration (ABK, BL, LSP, KS), pp. 423–428.
DATEDATE-2009-LadharMB #fault #performance
Efficient and accurate method for intra-gate defect diagnoses in nanometer technology and volume data (AL, MM, LB), pp. 988–993.
DATEDATE-2009-MollCRB #modelling #performance #protocol #using
Fast and accurate protocol specific bus modeling using TLM 2.0 (HWMvM, HC, VR, MB), pp. 316–319.
DATEDATE-2009-WangM #using
An accurate interconnect thermal model using equivalent transmission line circuit (BW, PM), pp. 280–283.
VLDBVLDB-2009-ChaudhuriGX #approximate #documentation #mining
Mining Document Collections to Facilitate Accurate Approximate Entity Matching (SC, VG, DX), pp. 395–406.
VLDBVLDB-2009-CohenDKLT #composition #scalability #set #summary
Composable, Scalable, and Accurate Weight Summarization of Unaggregated Data Sets (EC, NGD, HK, CL, MT), pp. 431–442.
FASEFASE-2009-NguyenNPAN #clone detection #detection #feature model #performance
Accurate and Efficient Structural Characteristic Feature Extraction for Clone Detection (HAN, TTN, NHP, JMAK, TNN), pp. 440–455.
HCIHCI-NIMT-2009-TongW #recognition #speech
Compensate the Speech Recognition Delays for Accurate Speech-Based Cursor Position Control (QT, ZW), pp. 752–760.
CIKMCIKM-2009-PenevW #framework #mobile
Framework for timely and accurate ads on mobile devices (AP, RKW), pp. 1067–1076.
SACSAC-2009-LeeBPCLN #configuration management #named #precise
CPS-SIM: configurable and accurate clock precision solid state drive simulator (JL, EB, HP, JC, DL, SHN), pp. 318–325.
SACSAC-2009-WangDLL #performance #process
An efficient and accurate lattice for pricing derivatives under a jump-diffusion process (CJW, TSD, YDL, YCL), pp. 966–970.
ESEC-FSEESEC-FSE-2009-MaiaKUM #modelling #probability #refinement #towards #using
Towards accurate probabilistic models using state refinement (PHMM, JK, SU, NCM), pp. 281–284.
ICSEICSE-2009-NandaS #analysis #interprocedural #java
Accurate Interprocedural Null-Dereference Analysis for Java (MGN, SS), pp. 133–143.
ICSEICSE-2009-PhamNNAN #clone detection #detection #graph #modelling
Complete and accurate clone detection in graph-based models (NHP, HAN, TTN, JMAK, TNN), pp. 276–286.
HPCAHPCA-2009-LiRKHA #architecture #fault #hardware #modelling
Accurate microarchitecture-level fault modeling for studying hardware faults (MLL, PR, URK, SKSH, SVA), pp. 105–116.
DACDAC-2008-GoelV #analysis #modelling #standard #statistics
Statistical waveform and current source based standard cell models for accurate timing analysis (AG, SBKV), pp. 227–230.
DACDAC-2008-LiuTCC #correlation #modelling #statistics
Accurate and analytical statistical spatial correlation modeling for VLSI DFM applications (JHL, MFT, LC, CCPC), pp. 694–697.
DACDAC-2008-RajaVBG #analysis #modelling #performance
Transistor level gate modeling for accurate and fast timing, noise, and power analysis (SR, FV, MRB, JG), pp. 456–461.
DATEDATE-2008-GoelV #analysis #standard
Current source based standard cell model for accurate signal integrity and timing analysis (AG, SBKV), pp. 574–579.
DATEDATE-2008-KwonHYMCE #communication
An Open-Loop Flow Control Scheme Based on the Accurate Global Information of On-Chip Communication (WCK, SMH, SY, BM, KMC, SKE), pp. 1244–1249.
SIGMODSIGMOD-2008-XiaoT #analysis #privacy #statistics
Dynamic anonymization: accurate statistical analysis with privacy preservation (XX, YT), pp. 107–120.
ICPCICPC-2008-RoyC08a #detection #flexibility #named #normalisation #pretty-printing #using
NICAD: Accurate Detection of Near-Miss Intentional Clones Using Flexible Pretty-Printing and Code Normalization (CKR, JRC), pp. 172–181.
SCAMSCAM-2008-GondowKI #analysis #approach #automation #behaviour #c #named #preprocessor
TBCppA: A Tracer Approach for Automatic Accurate Analysis of C Preprocessor’s Behaviors (KG, HK, TI), pp. 35–44.
CHICHI-2008-JonssonHN #how #information management
How accurate must an in-car information system be?: consequences of accurate and inaccurate information in cars (IMJ, HH, CN), pp. 1665–1674.
CHICHI-2008-KarrerWLB #interface #named #navigation #video
DRAGON: a direct manipulation interface for frame-accurate in-scene video navigation (TK, MW, EL, JOB), pp. 247–250.
ICMLICML-2008-SarawagiG
Accurate max-margin training for structured output spaces (SS, RG), pp. 888–895.
ICPRICPR-2008-BridsonA #analysis #approach #evaluation #geometry #layout #performance
A geometric approach for accurate and efficient performance evaluation of layout analysis methods (DB, AA), pp. 1–4.
ICPRICPR-2008-DonateWLC #performance
Efficient and accurate subpixel path based stereo matching (AD, YW, XL, EGCJ), pp. 1–4.
ICPRICPR-2008-El-BazGFE #3d #analysis #approach #automation #detection #image #monitoring
A new approach for automatic analysis of 3D low dose CT images for accurate monitoring the detected lung nodules (AEB, GLG, RF, MAEG), pp. 1–4.
ICPRICPR-2008-HajOGV #automation #robust
Automatic face and facial features initialization for robust and accurate tracking (MAH, JO, JG, JV), pp. 1–4.
ICPRICPR-2008-LiL #incremental #segmentation
An incremental method for accurate iris segmentation (PL, XL), pp. 1–4.
ICPRICPR-2008-WeiLNA #realtime
Real-time accurate optical flow-based motion sensor (ZW, DJL, BEN, JKA), pp. 1–4.
ICPRICPR-2008-XuZW #detection #feature model #semantics
Semantic feature extraction for accurate eye corner detection (CX, YZ, ZW), pp. 1–4.
SACSAC-2008-FilhoH #summary #xml
Accurate histogram-based XML summarization (JdAMF, TH), pp. 998–1002.
ICSEICSE-2008-RuthruffPMER #approach #predict #static analysis
Predicting accurate and actionable static analysis warnings: an experimental approach (JRR, JP, JDM, SGE, GR), pp. 341–350.
ASPLOSASPLOS-2008-ChoiPT #branch #predict #thread
Accurate branch prediction for short threads (BC, LP, DMT), pp. 125–134.
CGOCGO-2008-SalverdakZ #predict #random
Accurate critical path prediction via random trace construction (PS, CT, CBZ), pp. 64–73.
LCTESLCTES-2008-LeeKJKEKH #architecture #embedded #named #performance
FaCSim: a fast and cycle-accurate architecture simulator for embedded systems (JL, JK, CJ, SK, BE, KK, SH), pp. 89–100.
CASECASE-2007-FontanelliRS #algorithm #locality #metric #performance #using
A Fast RANSAC-Based Registration Algorithm for Accurate Localization in Unknown Environments using LIDAR Measurements (DF, LR, SS), pp. 597–602.
CASECASE-2007-JacksonCM
A Rationale for the use of Optical Mice Chips for Economic and Accurate Vehicle Tracking (JDJ, DWC, JM), pp. 939–944.
DACDAC-2007-RamalingamSNOP #analysis #composition #modelling #using
Accurate Waveform Modeling using Singular Value Decomposition with Applications to Timing Analysis (AR, AKS, SRN, MO, DZP), pp. 148–153.
DATEDATE-2007-ChoudhuryM #analysis #logic #reliability #scalability
Accurate and scalable reliability analysis of logic circuits (MRC, KM), pp. 1454–1459.
DATEDATE-2007-KimHG #multi #named #simulation #transaction
CATS: cycle accurate transaction-driven simulation with multiple processor simulators (DK, SH, RG), pp. 749–754.
DATEDATE-2007-LiuDSY #estimation #power management
Accurate temperature-dependent integrated circuit leakage power estimation is easy (YL, RPD, LS, HY), pp. 1526–1531.
DATEDATE-2007-SpindlerJ #estimation #performance
Fast and accurate routing demand estimation for efficient routability-driven placement (PS, FMJ), pp. 1226–1231.
DATEDATE-2007-SrivastavaR #agile
Rapid and accurate latch characterization via direct Newton solution of setup/hold times (SS, JSR), pp. 1006–1011.
DATEDATE-2007-TadesseSLBG #analysis #modelling #satisfiability #using
Accurate timing analysis using SAT and pattern-dependent delay models (DT, DS, EL, RIB, JG), pp. 1018–1023.
DATEDATE-2007-ZhangOSFKB #analysis #approach #named #parametricity #process
CMCal: an accurate analytical approach for the analysis of process variations with non-gaussian parameters and nonlinear functions (MZ, MO, DS, MF, HK, EB), pp. 243–248.
ICDARICDAR-2007-HuangSHFN #approach #difference #recognition #using
An SVM-Based High-accurate Recognition Approach for Handwritten Numerals by Using Difference Features (KH, JS, YH, KF, SN), pp. 589–593.
ICDARICDAR-2007-LuWT #detection #documentation #performance
Fast and Accurate Detection of Document Skew and Orientation (SJL, JW, CLT), pp. 684–688.
SIGMODSIGMOD-2007-MorseP #performance #similarity
An efficient and accurate method for evaluating time series similarity (MDM, JMP), pp. 569–580.
PASTEPASTE-2007-ZhangR #dependence
Discovering accurate interclass test dependences (WZ, BGR), pp. 55–62.
PLDIPLDI-2007-HardekopfL #analysis #performance #pointer
The ant and the grasshopper: fast and accurate pointer analysis for millions of lines of code (BH, CL), pp. 290–299.
HCIHCI-AS-2007-LiYG #classification #network #realtime #towards
Traffic Classification — Towards Accurate Real Time Network Applications (ZL, RY, XG), pp. 67–76.
SACSAC-2007-CheliusFF #development #framework #named #network #performance
Worldsens: a fast and accurate development framework for sensor network applications (GC, AF, EF), pp. 222–226.
SACSAC-2007-HiserDW #design #embedded #memory management #performance
Fast, accurate design space exploration of embedded systems memory configurations (JH, JWD, DBW), pp. 699–706.
ICSEICSE-2007-BaresiGM #architecture #automation #on the #verification
On Accurate Automatic Verification of Publish-Subscribe Architectures (LB, CG, LM), pp. 199–208.
ICSEICSE-2007-JiangMSG #detection #named #scalability
DECKARD: Scalable and Accurate Tree-Based Detection of Code Clones (LJ, GM, ZS, SG), pp. 96–105.
CCCC-2007-BakerCPV #garbage collection #lazy evaluation #pointer
Accurate Garbage Collection in Uncooperative Environments with Lazy Pointer Stacks (JB, AC, FP, JV), pp. 64–79.
CAVCAV-2007-KahlonYSG #concurrent #detection #performance #source code
Fast and Accurate Static Data-Race Detection for Concurrent Programs (VK, YY, SS, AG), pp. 226–239.
ASEASE-2006-ArthoG #model checking
Accurate Centralization for Applying Model Checking on Networked Applications (CA, PLG), pp. 177–188.
CASECASE-2006-SaiK #automation #reliability
Highly Reliable and Accurate Level Radar for Automated Legal Custody Transfer and Inventory Management (BS, BK), pp. 346–350.
DACDAC-2006-BhardwajVGC #analysis #modelling #optimisation #process
Modeling of intra-die process variations for accurate analysis and optimization of nano-scale circuits (SB, SBKV, PG, YC), pp. 791–796.
DACDAC-2006-HuebbersDI #parametricity #performance #process
Computation of accurate interconnect process parameter values for performance corners under process variations (FH, AD, YII), pp. 797–800.
DATEDATE-2006-StreubuhrFHTDS #architecture #modelling #multi #performance #realtime
Task-accurate performance modeling in SystemC for real-time multi-processor architectures (MS, JF, CH, JT, RD, TS), pp. 480–481.
ICSMEICSM-2006-TomaszewskiGL #fault #predict
A Method for an Accurate Early Prediction of Faults in Modified Classes (PT, HG, LL), pp. 487–496.
SCAMSCAM-2006-ZhangR #graph #java #library
Constructing Accurate Application Call Graphs For Java To Model Library Callbacks (WZ, BGR), pp. 63–74.
PLDIPLDI-2006-ZhuangSCC #adaptation #performance #profiling
Accurate, efficient, and adaptive calling context profiling (XZ, MJS, HWC, JDC), pp. 263–271.
ICEISICEIS-DISI-2006-Vemuri #agile
The Benefits of Accurate, and Timely Data in Lean Production Environments — RFID in Supply Chain Management (VKV), pp. 221–225.
ICPRICPR-v1-2006-ShafaitKB #documentation #evaluation #image #representation #segmentation
Pixel-Accurate Representation and Evaluation of Page Segmentation in Document Images (FS, DK, TMB), pp. 872–875.
ICPRICPR-v1-2006-XuS #robust
A Robust and Accurate Method for Pupil Features Extra (ZX, PS), pp. 437–440.
ICPRICPR-v2-2006-OmachiIUK #invariant #recognition
Affine Invariant Information Embedment for Accurate Camera-Based Character Recognition (SO, MI, SU, KK), pp. 1098–1101.
ICPRICPR-v3-2006-YuOWC #3d
Accurate 3-D Motion Tracking with an Application to Super-Resolution (YKY, SHO, KhW, MMYC), pp. 730–733.
ICPRICPR-v4-2006-LuC #3d
Accurate 3D Scanning of Swaying Human Body Parts by One Projection Based on OIMP Technique (CL, GC), pp. 1–4.
ICPRICPR-v4-2006-ZaimQSIT #clustering #image #robust #segmentation #using
A Robust and Accurate Segmentation of Iris Images Using Optimal Partitioning (AZ, MKQ, JS, JI, RT), pp. 578–581.
KDDKDD-2006-FanMY #framework #performance #random #summary
A general framework for accurate and fast regression by data summarization in random decision trees (WF, JM, PSY), pp. 136–146.
KDDKDD-2006-Forman #classification #fault #roadmap
Quantifying trends accurately despite classifier error and class imbalance (GF), pp. 157–166.
SACSAC-2006-ShapiraTM
Study of the usefulness of known and new implicit indicators and their optimal combination for accurate inference of users interests (BS, MTM, AM), pp. 1118–1119.
FSEFSE-2006-LoK #mining #named #robust #scalability #specification #towards
SMArTIC: towards building an accurate, robust and scalable specification miner (DL, SCK), pp. 265–275.
ASPLOSASPLOS-2006-EyermanEKS #architecture #component #performance
A performance counter architecture for computing accurate CPI components (SE, LE, TK, JES), pp. 175–184.
ASPLOSASPLOS-2006-LeeB #architecture #modelling #performance #predict
Accurate and efficient regression modeling for microarchitectural performance and power prediction (BCL, DMB), pp. 185–194.
CCCC-2006-GuoRW #execution #fault #locality
Accurately Choosing Execution Runs for Software Fault Localization (LG, AR, TW), pp. 80–95.
PPoPPPPoPP-2006-WangS #concurrent #detection #fault #performance #runtime #source code
Accurate and efficient runtime detection of atomicity errors in concurrent programs (LW, SDS), pp. 137–146.
DACDAC-2005-ChowdharyRVCTPH #how #question
How accurately can we model timing in a placement engine? (AC, KR, SV, TC, VT, YP, BH), pp. 801–806.
DACDAC-2005-GuardianiBDMM #effectiveness #process
An effective DFM strategy requires accurate process and IP pre-characterization (CG, MB, ND, MM, PM), pp. 760–761.
DACDAC-2005-KhandelwalS #analysis #correlation #framework #statistics
A general framework for accurate statistical timing analysis considering correlations (VK, AS), pp. 89–94.
DACDAC-2005-SrivastavaSASBD #correlation #estimation #parametricity #performance #power management
Accurate and efficient gate-level parametric yield estimation considering correlated variations in leakage power and performance (AS, SS, KA, DS, DB, SWD), pp. 535–540.
DACDAC-2005-TennakoonS #modelling #performance
Efficient and accurate gate sizing with piecewise convex delay models (HT, CS), pp. 807–812.
DATEDATE-2005-AsadiT #estimation #probability
An Accurate SER Estimation Method Based on Propagation Probability (GA, MBT), pp. 306–307.
DATEDATE-2005-ForzanP #analysis #behaviour #library #modelling
Modeling the Non-Linear Behavior of Library Cells for an Accurate Static Noise Analysis (CF, DP), pp. 982–983.
DATEDATE-2005-KimKKSCCKE #architecture #modelling #performance #transaction
Fast and Accurate Transaction Level Modeling of an Extended AMBA2.0 Bus Architecture (YTK, TK, YK, CS, EYC, KMC, JTK, SKE), pp. 138–139.
DATEDATE-2005-KrishnaswamyVMH #evaluation #matrix #probability #reliability
Accurate Reliability Evaluation and Enhancement via Probabilistic Transfer Matrices (SK, GFV, ILM, JPH), pp. 282–287.
DATEDATE-2005-ReshadiD #generative #modelling #performance #pipes and filters
Generic Pipelined Processor Modeling and High Performance Cycle-Accurate Simulator Generation (MR, NDD), pp. 786–791.
DATEDATE-2005-SchnerrBR #agile #prototype #simulation
Cycle Accurate Binary Translation for Simulation Acceleration in Rapid Prototyping of SoCs (JS, OB, WR), pp. 792–797.
WCREWCRE-2005-SuttonM #c++ #modelling #reverse engineering #uml
Mappings for Accurately Reverse Engineering UML Class Models from C++ (AS, JIM), pp. 175–184.
IFLIFL-2005-HopeH
Accurate Step Counting (CH, GH), pp. 91–105.
CHICHI-2005-BlackmonKP #effectiveness #navigation #predict #problem
Tool for accurately predicting website navigation problems, non-problems, problem severity, and effectiveness of repairs (MHB, MK, PGP), pp. 31–40.
CIKMCIKM-2005-JiangZ #markov #modelling #using
Accurately extracting coherent relevant passages using hidden Markov models (JJ, CZ), pp. 289–290.
CIKMCIKM-2005-TaoWMZ #documentation #estimation
Accurate language model estimation with document expansion (TT, XW, QM, CZ), pp. 273–274.
SIGIRSIGIR-2005-JoachimsGPHG #feedback
Accurately interpreting clickthrough data as implicit feedback (TJ, LAG, BP, HH, GG), pp. 154–161.
CGOCGO-2005-GuoBTORA #analysis #low level #pointer
Practical and Accurate Low-Level Pointer Analysis (BG, MJB, ST, GO, ER, DIA), pp. 291–302.
HPCAHPCA-2005-SundaresanM #energy #modelling
Accurate Energy Dissipation and Thermal Modeling for Nanometer-Scale Buses (KS, NRM), pp. 51–60.
CAVCAV-2005-CookKS #named #proving #theorem proving #verification
Cogent: Accurate Theorem Proving for Program Verification (BC, DK, NS), pp. 296–300.
DACDAC-2004-AgarwalSYV #modelling #performance
Fast and accurate parasitic capacitance models for layout-aware (AA, HS, VY, RV), pp. 145–150.
DACDAC-2004-YangM #adaptation #higher-order #modelling
An Essentially Non-Oscillatory (ENO) high-order accurate Adaptive table model for device modeling (BY, BM), pp. 864–867.
DACDAC-2004-YoshidaDB #estimation #standard
Accurate pre-layout estimation of standard cell characteristics (HY, KD, VB), pp. 208–211.
DATEDATE-DF-2004-DaglioIRRS #component #performance #simulation
Building the Hierarchy from a Flat Netlist for a Fast and Accurate Post-Layout Simulation with Parasitic Components (PD, DI, DR, CR, SS), pp. 336–337.
DATEDATE-v2-2004-AgarwalSYV #estimation
Accurate Estimation of Parasitic Capacitances in Analog Circuits (AA, HS, VY, RV), pp. 1364–1365.
DATEDATE-v2-2004-WangMR #automation #megamodelling #predict
Automated, Accurate Macromodelling of Digital Aggressors for Power/Ground/Substrate Noise Prediction (ZW, RM, JSR), pp. 824–829.
VLDBVLDB-2004-EsterKS #crawling #performance
Accurate and Efficient Crawling for Relevant Websites (ME, HPK, MS), pp. 396–407.
ICPRICPR-v2-2004-Ikeda #approximate #re-engineering #using
An Accurate Shape Reconstruction from Photometric Stereo Using Four Approximations of Surface Normal (OI), pp. 220–223.
ICPRICPR-v4-2004-IshiyamaS #3d #estimation #performance
Fast and Accurate Facial Pose Estimation by Aligning a 3D Appearance Model (RI, SS), pp. 388–391.
SIGIRSIGIR-2004-McLaughlinH #algorithm #collaboration #evaluation #experience #metric #user interface
A collaborative filtering algorithm and evaluation metric that accurately model the user experience (MRM, JLH), pp. 329–336.
HPCAHPCA-2004-ChenYFM #effectiveness #predict
Accurate and Complexity-Effective Spatial Pattern Prediction (CFC, SHY, BF, AM), pp. 276–287.
DACDAC-2003-FummiPGPMR #embedded #modelling #simulation
A timing-accurate modeling and simulation environment for networked embedded systems (FF, GP, PG, MP, SM, FR), pp. 42–47.
DACDAC-2003-LiMR #analysis #interactive #modelling
Accurate timing analysis by modeling caches, speculation and their interaction (XL, TM, AR), pp. 466–471.
DACDAC-2003-MukhopadhyayRR #estimation #logic #modelling
Accurate estimation of total leakage current in scaled CMOS logic circuits based on compact current modeling (SM, AR, KR), pp. 169–174.
DACDAC-2003-VasilyevRW #algorithm #generative #modelling
A TBR-based trajectory piecewise-linear algorithm for generating accurate low-order models for nonlinear analog circuits and MEMS (DV, MR, JW), pp. 490–495.
DATEDATE-2003-RebaudengoRV #analysis #fault #pipes and filters
An Accurate Analysis of the Effects of Soft Errors in the Instruction and Data Caches of a Pipelined Microprocessor (MR, MSR, MV), pp. 10602–10607.
DATEDATE-2003-YooBBPJ #abstraction #hardware #modelling #performance #simulation
Building Fast and Accurate SW Simulation Models Based on Hardware Abstraction Layer and Simulation Environment Abstraction Layer (SY, IB, AB, YP, AAJ), pp. 10550–10555.
DATEDATE-2003-ZivkovicKWD #architecture #multi #performance #source code
Fast and Accurate Multiprocessor Architecture Exploration with Symbolic Programs (VDZ, EAdK, PvdW, EFD), pp. 10656–10661.
ICDARICDAR-2003-LuT03a #approach #documentation #estimation #nearest neighbour
Improved Nearest Neighbor Based Approach to Accurate Document Skew Estimation (YL, CLT), pp. 503–507.
VLDBVLDB-2003-MeekPK #biology #named #online #sequence
OASIS: An Online and Accurate Technique for Local-alignment Searches on Biological Sequences (CM, JMP, SK), pp. 910–921.
CIKMCIKM-2003-Infantes-MorrisBFFS #evaluation #industrial #information retrieval
Industrial evaluation of a highly-accurate academic IR system (TIM, PJB, KLF, GJF, KS), pp. 500–503.
ICMLICML-2003-MooreW #learning #network
Optimal Reinsertion: A New Search Operator for Accelerated and More Accurate Bayesian Network Structure Learning (AWM, WKW), pp. 552–559.
KDDKDD-2003-GamaRM #data type #mining #performance
Accurate decision trees for mining high-speed data streams (JG, RR, PM), pp. 523–528.
HPCAHPCA-2003-NarayanasamySSCV
Catching Accurate Profiles in Hardwar (SN, TS, SS, BC, GV), pp. 269–280.
ICLPICLP-2003-LagoonMS #analysis #termination
Termination Analysis with Types Is More Accurate (VL, FM, PJS), pp. 254–268.
DACDAC-2002-Perrott #behaviour #performance #simulation
Fast and accurate behavioral simulation of fractional-N frequency synthesizers and other PLL/DLL circuits (MHP), pp. 498–503.
DATEDATE-2002-DingM02a #modelling #using
Accurate Estimating Simultaneous Switching Noises by Using Application Specific Device Modeling (LD, PM), pp. 1038–1043.
DATEDATE-2002-NayakHCB
Accurate Area and Delay Estimators for FPGAs (AN, MH, ANC, PB), pp. 862–869.
DATEDATE-2002-PonomarevKG #estimation #named
AccuPower: An Accurate Power Estimation Tool for Superscalar Microprocessors (DP, GK, KG), pp. 124–129.
DocEngDocEng-2002-WibowoW #categorisation #feature model
Simple and accurate feature selection for hierarchical categorisation (WW, HEW), pp. 111–118.
VLDBVLDB-2002-ChakrabartiRS #classification #linear #multi #performance
Fast and Accurate Text Classification via Multiple Linear Discriminant Projections (SC, SR, MVS), pp. 658–669.
ICPRICPR-v1-2002-LiuCR #adaptation #estimation #parametricity #using
Accurate Dense Optical Flow Estimation Using Adaptive Structure Tensors and a Parametric Model (HL, RC, AR), pp. 291–294.
ICPRICPR-v2-2002-OlagueH #detection #flexibility #metric #modelling #multi #recognition
Flexible Model-Based Multi-Corner Detector for Accurate Measurements and Recognition (GO, BH), pp. 578–583.
ICPRICPR-v3-2002-BeaudoinB #fourier #image
An Accurate Discrete Fourier Transform for Image Processing (NB, SSB), p. 935–?.
ICPRICPR-v3-2002-LiuL #image #validation
Inverse Validation for Accurate Range Image Registration with Structured Data (YL, FL), pp. 537–540.
KDDKDD-2002-ZadroznyE #classification #multi #probability
Transforming classifier scores into accurate multiclass probability estimates (BZ, CE), pp. 694–699.
HPCAHPCA-2002-SkadronAS #locality #modelling
Control-Theoretic Techniques and Thermal-RC Modeling for Accurate and Localized Dynamic Thermal Management (KS, TFA, MRS), pp. 17–28.
ISMMISMM-2002-Henderson #garbage collection
Accurate garbage collection in an uncooperative environment (FH), pp. 256–263.
DATEDATE-2001-GaoW #algorithm #graph #modelling
A graph based algorithm for optimal buffer insertion under accurate delay models (YG, DFW), pp. 535–539.
VLDBVLDB-2001-Gibbons #query
Distinct Sampling for Highly-Accurate Answers to Distinct Values Queries and Event Reports (PBG), pp. 541–550.
CIKMCIKM-2001-YuXEK #collaboration #performance
Selecting Relevant Instances for Efficient and Accurate Collaborative Filtering (KY, XX, ME, HPK), pp. 239–246.
LCTESLCTES-OM-2001-LeeEMC #embedded #energy
An Accurate Instruction-Level Energy Consumption Model for Embedded RISC Processors (SL, AE, SLM, NC), pp. 1–10.
LCTESLCTES-OM-2001-UnnikrishnanSL #analysis #automation #garbage collection #memory management
Automatic Accurate Live Memory Analysis for Garbage-Collected Languages (LU, SDS, YAL), pp. 102–111.
PPoPPPPoPP-2001-MorrisL #distributed #estimation #memory management
Accurate data redistribution cost estimation in software distributed shared memory systems (DGM, DKL), pp. 62–71.
DACDAC-2000-GrunDN #compilation #memory management
Memory aware compilation through accurate timing extraction (PG, NDD, AN), pp. 316–321.
DACDAC-2000-YeVKI #design #energy #estimation
The design and use of simplepower: a cycle-accurate energy estimation tool (WY, NV, MTK, MJI), pp. 340–345.
ICPRICPR-v1-2000-Farneback #estimation #modelling #parametricity #performance #using
Fast and Accurate Motion Estimation Using Orientation Tensors and Parametric Motion Models (GF), pp. 1135–1139.
ICPRICPR-v2-2000-JuanV #classification #edit distance #normalisation #on the #performance #string
On the Use of Normalized Edit Distances and an Efficient k-NN Search Technique (k-AESA) for Fast and Accurate String Classification (AJ, EV), pp. 2676–2679.
ICPRICPR-v3-2000-ChoiLS #algorithm #performance
An Efficient and Accurate Algorithm for Extracting a Skeleton (WPC, KML, WCS), pp. 3750–3753.
ICPRICPR-v3-2000-MaedaINTS #algorithm #fuzzy #image #segmentation #using
Rough and Accurate Segmentation of Natural Color Images Using Fuzzy Region-Growing Algorithm (JM, CI, SN, NT, YS), pp. 3642–3645.
ICPRICPR-v4-2000-ChouB #image #locality
Accurate Localization of Edges in Noisy Volume Images (PcC, MB), pp. 4760–4763.
ICSEICSE-2000-LiangH #analysis #performance
Light-weight context recovery for efficient and accurate program analyses (DL, MJH), pp. 366–375.
DACDAC-1999-AlpertDQ
Buffer Insertion with Accurate Gate and Interconnect Delay Computation (CJA, AD, STQ), pp. 479–484.
DACDAC-1999-GuerraFTSTZ #integration #modelling
Cycle and Phase Accurate DSP Modeling and Integration for HW/SW Co-Verification (LMG, JF, DT, CS, BT, VZ), pp. 964–969.
DACDAC-1999-HadjiyiannisRD #architecture #evaluation #performance
A Methodology for Accurate Performance Evaluation in Architecture Exploration (GH, PR, SD), pp. 927–932.
DACDAC-1999-PeesHZM #architecture #modelling #named #programmable
LISA — Machine Description Language for Cycle-Accurate Models of Programmable DSP Architectures (SP, AH, VZ, HM), pp. 933–938.
DACDAC-1999-SimunicBM #embedded #energy #simulation
Cycle-Accurate Simulation of Energy Consumption in Embedded Systems (TS, LB, GDM), pp. 867–872.
DACDAC-1999-VandecappelleMBCV #design #feedback #memory management #multi #using
Global Multimedia System Design Exploration Using Accurate Memory Organization Feedback (AV, MM, EB, FC, DV), pp. 327–332.
DATEDATE-1999-CostaSC #modelling #performance
Efficient Techniques for Accurate Extraction and Modeling of Substrate Coupling in Mixed-Signal IC’s (JPC, LMS, MC), pp. 396–400.
DATEDATE-1999-GuerraRRFR #fault #generative
An Accurate Error Control Mechanism for Simplification Before Generation Algorihms (OG, JDRG, ER, FVF, ÁRV), p. 412–?.
ICDARICDAR-1999-LiangHP #segmentation #statistics
A Statistically based, Highly Accurate Text-line Segmentation Method (JL, RMH, ITP), pp. 551–554.
HPDCHPDC-1999-SupinskiK #grid
Accurately Measuring MPI Broadcasts in a Computational Grid (BRdS, NTK), pp. 29–37.
DATEDATE-1998-CostaCS #modelling #performance #simulation
Efficient Techniques for Accurate Modeling and Simulation of Substrate Coupling in Mixed-Signal IC’s (JPC, MC, LMS), pp. 892–898.
DATEDATE-1998-Kazmierski98a #interface #simulation
Fuzzy-logic digital-analogue interfaces for accurate mixed-signal simulation (TJK), pp. 941–944.
CIKMCIKM-1998-Sanderson #tool support
Accurate User Directed Summarizatiion from Existing Tools (MS), pp. 45–51.
ICMLICML-1998-FrankW #generative #optimisation #set
Generating Accurate Rule Sets Without Global Optimization (EF, IHW), pp. 144–151.
ICPRICPR-1998-Heikkila #bound #detection
Moment and curvature preserving technique for accurate ellipse boundary detection (JH), pp. 734–737.
ICPRICPR-1998-HeikkilaS #3d #estimation #image #linear #metric #sequence
Linear motion estimation for image sequence based accurate 3-D measurements (JH, OS), pp. 1247–1250.
ICPRICPR-1998-OlagueM #3d
Optimal camera placement to obtain accurate 3D point positions (GO, RM), pp. 8–10.
ICPRICPR-1998-SegenK #3d #gesture #interface #performance #recognition
Fast and accurate 3D gesture recognition interface (JS, SK), pp. 86–91.
KDDKDD-1998-KeoghP #classification #clustering #feedback #performance #representation
An Enhanced Representation of Time Series Which Allows Fast and Accurate Classification, Clustering and Relevance Feedback (EJK, MJP), pp. 239–243.
LCTESLCTES-1998-LiuG #analysis #automation #bound
Automatic Accurate Time-Bound Analysis for High-Level Languages (YAL, GG), pp. 31–40.
DACDAC-1997-CroixW #logic #performance #synthesis
A Fast And Accurate Technique To Optimize Characterization Tables For Logic Synthesis (JFC, DFW), pp. 337–340.
DACDAC-1997-ForzanFG #megamodelling #performance #standard
Accurate and Efficient Macromodel of Submicron Digital Standard Cells (CF, BF, CG), pp. 633–637.
DATEEDTC-1997-ArabiK #performance #testing #using
Efficient and accurate testing of analog-to-digital converters using oscillation-test method (KA, BK), pp. 348–352.
DATEEDTC-1997-CrenshawS #estimation
Accurate high level datapath power estimation (JEC, MS), pp. 590–596.
ICDARICDAR-1997-ShiP #recognition
Font Recognition and Contextual Processing for More Accurate Text Recognition (HS, TP), pp. 39–44.
VLDBVLDB-1997-AlsabtiRS #algorithm
A One-Pass Algorithm for Accurately Estimating Quantiles for Disk-Resident Data (KA, SR, VS), pp. 346–355.
PEPMPEPM-1997-HornofN #analysis #imperative
Accurate Binding-Time Analysis For Imperative Languages: Flow, Context, and Return Sensitivity (LH, JN), pp. 63–73.
POPLPOPL-1997-ShapiroH #analysis #performance #points-to
Fast and Accurate Flow-Insensitive Points-To Analysis (MS, SH), pp. 1–14.
DACDAC-1996-EliasM #modelling #scalability
Extracting Circuit Models for Large RC Interconnections that are Accurate up to a Predefined Signal Frequency (PJHE, NPvdM), pp. 764–769.
DACDAC-1996-HuangCCL #generative #simulation
Compact Vector Generation for Accurate Power Simulation (SYH, KCC, KTC, TCL), pp. 161–164.
DACDAC-1996-WunderLM #concept #layout #modelling #named #simulation
VAMP: A VHDL-Based Concept for Accurate Modeling and Post Layout Timing Simulation of Electronic Systems (BW, GL, KDMG), pp. 119–124.
PLDIPLDI-1996-BurgerD #float
Printing Floating-Point Numbers Quickly and Accurately (RGB, RKD), pp. 108–116.
ICPRICPR-1996-HattoriS
Accurate rangefinder with laser pattern shifting (KH, YS), pp. 849–853.
ICPRICPR-1996-LeeKB #recognition
Highly accurate recognition of printed Korean characters through an improved grapheme recognition method (JSL, OJK, SYB), pp. 447–451.
ICPRICPR-1996-PietikainenNMO #classification
Accurate color discrimination with classification based on feature distributions (MP, SN, EM, TO), pp. 833–838.
DACDAC-1995-KonukFL #fault #network #performance #simulation
Accurate and Efficient Fault Simulation of Realistic CMOS Network Breaks (HK, FJF, TL), pp. 345–351.
DACDAC-1995-MehtaBOI #estimation #process
Accurate Estimation of Combinational Circuit Activity (HM, MB, RMO, MJI), pp. 618–622.
PLDIPLDI-1995-Patterson #branch #predict
Accurate Static Branch Prediction by Value Range Propagation (JRCP), pp. 67–78.
DATEEDAC-1994-WangFF
An Accurate Time-Domain Current Waveform Simulator for VLSI Circuits (JHW, JTF, WSF), pp. 562–566.
PLDIPLDI-1994-WagnerMGH #optimisation
Accurate Static Estimators for Program Optimization (TAW, VM, SLG, MAH), pp. 85–96.
ICLPILPS-1994-CharlierRH #abstract interpretation #framework #prolog
An Abstract Interpretation Framework which Accurately Handles Prolog Search-Rule and the Cut (BLC, SR, PVH), pp. 157–171.
SIGMODSIGMOD-1993-SuLRD #estimation
An Instant and Accurate Estimation Method for Joins and Selection in a Retrieval-Intensive Environment (WS, YL, NR, YD), pp. 79–88.
ICSEICSE-1993-UralY #data flow #modelling #representation
Modeling Software for Accurate Data Flow Representation (HU, BY), pp. 277–286.
DACDAC-1992-RaghavanBR #named #performance #problem #simulation
AWESpice: A General Tool for the Accurate and Efficient Simulation of Interconnect Problems (VR, JEB, RAR), pp. 87–92.
ICALPICALP-1992-KirschenhoferPS #analysis #how #probability #problem
How to Count Quickly and Accurately: A Unified Analysis of Probabilistic Counting and Other Related Problems (PK, HP, WS), pp. 211–222.
PLDIPLDI-1990-Clinger #float #how
How to Read Floating-Point Numbers Accurately (WDC), pp. 92–101.
PLDIPLDI-1990-SteeleW #float #how
How to Print Floating-Point Numbers Accurately (GLSJ, JLW), pp. 112–126.
PLDIBest-of-PLDI-1990-Clinger90a #float #how
How to read floating point numbers accurately (with retrospective) (WDC), pp. 360–371.
PLDIBest-of-PLDI-1990-SteeleW90a #float #how
How to print floating-point numbers accurately (with retrospective) (GLSJ, JLW), pp. 372–389.
DACDAC-1989-IshiuraTY #behaviour #logic #simulation #verification
Time-Symbolic Simulation for Accurate Timing Verification of Asynchronous Behavior of Logic Circuits (NI, MT, SY), pp. 497–502.
DACDAC-1989-RoyA #approach #novel #using #verification
A Novel Approach to Accurate Timing Verification Using RTL Descriptions (KR, JAA), pp. 638–641.
ICLPNACLP-1989-JacobsL #alias #approximate #logic programming #performance #source code
Accurate and Efficient Approximation of Variable Aliasing in Logic Programs (DJ, AL), pp. 154–165.
DACDAC-1988-ChangCS #performance
An Accurate and Efficient Gate Level Delay Calculator for MOS Circuits (FCC, CFC, PS), pp. 282–287.
DACDAC-1986-HwangKN #modelling #verification
An accuration delay modeling technique for switch-level timing verification (SHH, YHK, ARN), pp. 227–233.
DACDAC-1985-MuraokaIKMH #analysis #named
ACTAS: an accurate timing analysis system for VLSI (MM, HI, HK, MM, KH), pp. 152–158.
SIGMODSIGMOD-1984-Piatetsky-ShapiroC #estimation #tuple
Accurate Estimation of the Number of Tuples Satisfying a Condition (GPS, CC), pp. 256–276.
DACDAC-1980-dAbreuT #concurrent #fault #functional
An accurate functional level concurrent fault simulator (MAd, EWT), pp. 210–217.
DACDAC-1979-KjelkerudT #deduction #fault #generative #logic #simulation #testing #using
Generation of hazard free tests using the D-algorithm in a timing accurate system for logic and deductive fault simulation (EK, OT), pp. 180–184.
DACDAC-1978-Evans #simulation
Accurate simulation of flip-flop timing characteristics (DJE), pp. 398–404.
DACDAC-1976-ChicoixPG #network #scalability #simulation
An accurate time delay model for large digital network simulation (CC, JP, NG), pp. 54–60.
DACDAC-1969-Bening #logic #simulation
Accurate simulation of high speed computer logic (LCBJ), pp. 103–112.

Bibliography of Software Language Engineering in Generated Hypertext (BibSLEIGH) is created and maintained by Dr. Vadim Zaytsev.
Hosted as a part of SLEBOK on GitHub.